"uuid","repository link","title","author","contributor","publication year","abstract","subject topic","language","publication type","publisher","isbn","issn","patent","patent status","bibliographic note","access restriction","embargo date","faculty","department","research group","programme","project","coordinates"
"uuid:fe1477d9-9cb4-4ef5-a39d-a09d4d609005","http://resolver.tudelft.nl/uuid:fe1477d9-9cb4-4ef5-a39d-a09d4d609005","When are battery electric vehicles economically convenient? A sensitivity analysis based on multi-carrier residential energy system renovation modelling","Rinaldi, Lorenzo (Politecnico di Milano); Golinucci, Nicolò (Politecnico di Milano); Guerrieri, Giulia (Politecnico di Milano); Sanvito, F.D. (TU Delft Energie and Industrie); Rocco, Matteo Vincenzo (Politecnico di Milano); Colombo, Emanuela (Politecnico di Milano)","","2024","Investing in low-carbon technologies, including light-duty vehicles, is a strategy to decarbonize the residential sector and private mobility. This work aims to assess the parameters driving the economic convenience of battery electric vehicles (BEVs) for a household, and what are the economic implications of BEV adoption on the total cost of the residential energy system in case of house renovation. An archetypal household energy system has been modelled for the Italian context, where strong residential energy efficiency incentives have been put in place in recent years. Adopting a least-cost-oriented energy system optimization model, 33’600 residential energy system configurations have been analysed through an extensive sensitivity analysis carried out focusing on crucial input parameters, classified as behavioural (annual travelled distance, expected ownership time of the car), energy-related (electricity and heating demand, house location, PV installed capacity), and economic (grid electricity price, gasoline prices and incentives on BEV purchase). Results show that integration with PV installation is the parameter most strongly correlated with BEV adoption, followed by annual travelled distance and ownership time. Moreover, results suggest that an increase in electricity prices has a lower impact on disincentivizing BEVs adoption compared to how much an equivalent increase in gasoline prices disincentivizes ICEVs adoption. Valuable insights reveal that, within the range of the Italian average gasoline price, BEV-based energy systems remain competitive. This holds even with a high electricity price, provided a minimum of 3 kW photovoltaic capacity is installed. In light of the ongoing energy crisis in Europe, these findings are promising for BEV adoption, particularly if accompanied by BEV incentive policies.","Battery Electric Vehicles (BEV); Economic convenience drivers; Residential energy technologies synergy; Sensitivity analysis","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:d46d5f09-9a3b-4887-a4b5-17690920cb1d","http://resolver.tudelft.nl/uuid:d46d5f09-9a3b-4887-a4b5-17690920cb1d","Non-Assembly Additive Manufacturing of Medical Devices","Lussenburg, K.M. (TU Delft Medical Instruments & Bio-Inspired Technology)","Breedveld, P. (promotor); Sakes, A. (copromotor); Delft University of Technology (degree granting institution)","2024","Additive manufacturing, or 3D printing, offers a new paradigm for the way functional products are designed, manufactured, and assembled. Its additive nature provides the ability to create complex-shaped parts, without an increase in production time or costs, which would be difficult to produce with conventional manufacturing. In addition, integration of different functions and materials allows for the production of completely functional assemblies or mechanisms that can be produced in a single production step, known as non-assembly additive manufacturing. These mechanisms are functional immediately after 3D printing, without requiring additional assembly steps. Non-assembly mechanisms have some advantages over traditional assembly-based mechanisms, as they reduce the processing time and costs, and allow for an increase in complexity....","non-assembly design; Additive manufacturing (AM); 3D printing; Design for manufacture and assembly; medical device; surgical instruments; miniaturization","en","doctoral thesis","","978-94-6496-092-1","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:b8954e95-15d9-430d-b026-71f4cf99ef23","http://resolver.tudelft.nl/uuid:b8954e95-15d9-430d-b026-71f4cf99ef23","On ice mechanics in ice-induced vibrations","Owen, C.C. (TU Delft Offshore Engineering)","Metrikine, A. (promotor); Hendrikse, H. (copromotor); Delft University of Technology (degree granting institution)","2024","The imminence of anthropogenic climate change has motivated a global energy transition towards sustainable power generation. Offshore wind—an important contributor to the energy transition—is expanding, not only in turbine size and number of installations, but also into regions with harsher environmental conditions. One of those conditions in places such as the Baltic Sea is drift ice. Offshore wind turbine support structures, with vertical sides at the waterline, must be designed to survive dynamic ice-structure interaction when ice fails in crushing against the structure. For a safe and efficient design of the support structure, dynamic ice-structure interaction resulting in ice-induced vibrations must be considered. Therefore, both an understanding of the problem and accurate modeling for the prediction of the development of ice-induced vibrations are required.
Significant progress has been made in recent years on the topic of ice-induced vibrations, and a numerical model for prediction of ice-induced vibrations has been developed based on the principles of velocity-dependent deformation and failure behavior of ice, and contact area variation between ice and structure during interaction. However, uncertainty remains regarding physical mechanisms within the ice which govern ice-induced vibrations. The ice mechanics involved in the development of ice-induced vibrations is therefore the main topic of this thesis.
The main objective was to investigate and identify the ice mechanics involved in the development of ice-induced vibrations, especially in the regime of frequency lock-in as historically defined. It was hypothesized that dynamic recrystallization played a relevant role in the ice mechanics involved in ice-induced vibrations. To test the hypothesis, ice mechanics experiments were performed at the ice laboratory specifically developed at Delft University of Technology for this purpose.
To identify grain-scale mechanisms in ice, such as dynamic recrystallization, a method was devised to elucidate ice thin section textures and (quarter) fabrics by means of crossed-polarized transmitted light and interference coloration of ice. An attempt was made to apply the method to the laboratory experiments which applied compressive loading to the edge of a thin freshwater columnar-grained ice plate, laterally confined by glass plates. Crossed-polarized transmitted light was shone through the glass plates to observe the grain structure of the ice during cyclic compression with a haversine velocity waveform. The loading and confinement scenario was intended to reproduce a vertical section of the ice edge during frequency lock-in vibrations. The experimental design demonstrated that the grain-scale mechanics of dynamic recrystallization did not obviously contribute to the peak load-velocity relation associated with frequency lock-in vibrations. As expected, fracture initiated on the grain scale was responsible for load drops. But, more interestingly, stress relaxation during periods of low relative velocity between ice and structure occurred rapidly. Following the stress relaxation, when velocity increased, the peak load was higher than previous brittle peak loads. The results indicated that the mechanisms involved in the stress relaxation were occurring on a scale smaller than the grain size. A loading path dependency was also observed with respect to the peak load-velocity relation.
Ice penetration experiments at the Aalto Ice and Wave Tank in ethanol-doped cold model ice were performed with a rigid structure, controlled oscillation, and a single-degree-of-freedom structure, and comparison of results showed that the peak global ice loads depended on the amount of time spent at low relative velocities where an ice strengthening effect developed. This has implications for the so-called velocity effect and compliance effect in design of structures subject to dynamic ice-structure interaction.
Overall, the load signals from the ice mechanics experiments on freshwater ice resembled the load signals obtained from the controlled-oscillation experiments from the model-scale ice tank tests. The qualitatively similar velocity and resulting load patterns give confidence in the idea that the mechanisms involved in both types of experiments were similar, even for different ice types and loading scenarios.
These similar results demonstrate a link in the ice mechanics across different ice types and loading scenarios, which may be explained with further research on path-dependent constitutive ice behavior, and with scrutiny regarding ice dislocation and grain boundary mechanics. Suggestions for future research are proposed, including the testing of strain rate-varying uniaxial compression of ice and ice penetration experiments with haversine velocity waveforms.","dynamic ice-structure interaction; ice-induced vibrations; frequency lock-in; c-axis; interference coloration; ice microstructure; ice fabric; ice texture; image processing; birefringence; grain boundary; controlled oscillation; ice failure length; anelasticity; ice crushing; model tests; compliance effect; velocity effect","en","doctoral thesis","","978-94-6366-819-4","","","","","","2024-04-08","","","Offshore Engineering","","",""
"uuid:dc575434-78fd-475d-81ed-f2a6a6d46845","http://resolver.tudelft.nl/uuid:dc575434-78fd-475d-81ed-f2a6a6d46845","Integrated Electrical Steady-State Power Flow Simulations on Transmission and Distribution Networks","Kootte, M.E. (TU Delft Mathematical Physics)","Vuik, Cornelis (promotor); van Gijzen, M.B. (promotor); Delft University of Technology (degree granting institution)","2024","Integrated electrical power flow simulations are concerned with solving the steady-state load flow problem on integrated transmission and distribution electricity networks. We have developed a framework to run these simulations efficiently, whilst keeping in mind the differences between these network types and accommodating the practical considerations of system operators. We need such a framework to analyse the interaction that these systems might have as a result of the energy transition.
To develop a framework to run integrated power flow simulations, we have worked in two stages. Firstly, we have studied how we can model an integrated network. We have found two ways of modelling an integrated network: using a homogeneous configuration in which both networks are modelled using three phases and using a hybrid network configuration in which both networks keep their original configuration but in which the coupling substation takes care of the phase dimension mismatch between the two sides. Next to that, we have found two ways of solving an integrated system: either by coupling them into one system and solving that as a whole (we call this the unified approach) or by keeping two separate systems and iterating between these networks (we call this the Manager‐Fellow Splitting (MFS) method).
We have concluded that the unified methods are generally faster than MFS methods and that a hybrid network configuration leads to faster results, making the interconnected method the most efficient.
In the second stage, we have focused on the efficiency of these simulations. During every Newton‐Raphson iteration in power flow simulations, a linear system is solved. We have therefore studied several Krylov subspace and preconditioning techniques that can solve this linear system efficiently. We have applied Krylov and preconditioning combinations to integrated network simulations to check again the performances of the simulations on large test cases . During this stage, we applied them to networks up to a size of 800,000 buses as we were interested in efficient scaling of the methods that were originally the object of study.
In the second stage, we saw that the MFS methods were performing better than unified methods. Furthermore, preconditioned Krylov subspace methods had a similar performance to direct methods. t is difficult to judge why this happened. A reason could be that the library in which we performed these simulations, PETSc, is optimised for parallel computations in which multiple smaller blocks are solved at the same time whilst we were doing only sequential computations.
Finally, we have striven to incorporate operational convenience for Transmission and Distribution System Operators (TSOs and DSOs) during the development of this integration framework, by considering their computational and privacy concerns. The way that this framework is built, can take away some of their concerns.
To summarise, we have created an open‐source framework to run efficient steady-state power flow simulations on integrated transmission and distribution networks. This framework is tested on simplified test cases but shows potential for large system simulations. Moreover, it takes into account the considerations of system operators and can be utilised in other applications besides integrated analysis.","Power Flow; Numerical analysis; Newton-Krylov methods; Iterative methods","en","doctoral thesis","","978-94-6483-990-6","","","","","","","","","Mathematical Physics","","",""
"uuid:6b1e6a9c-e014-4092-bbd6-a35cce0503a1","http://resolver.tudelft.nl/uuid:6b1e6a9c-e014-4092-bbd6-a35cce0503a1","Structure Guided Directed Evolution of Enzymes","Hüppi, S.N. (TU Delft BT/Biocatalysis)","Hollmann, F. (promotor); Delft University of Technology (degree granting institution)","2024","Our ability to tailor enzymatic properties is a critical factor for biocatalyst application in the industrial sector. Although many wild-type enzymes have been found capable of promiscuously catalysing desired anthropogenic reactions, their activity and selectivity for non-natural transformations is often poor. Consequently, it is crucial to optimise enzymes such that they can be effectively integrated into industrial processes. Notable added advantages in this context are that enzymes are considered 'green' catalysts - enhancing the perceived value of products in today's environmentally-conscious society – and that biocatalysts can carry out intricate chemistries with exceptional regio- and stereoselectivity, complementing traditional organic synthesis.","Biocatalysis; Enzymes","en","doctoral thesis","","","","","","","","2024-04-24","","","BT/Biocatalysis","","",""
"uuid:2ee2a492-6588-46db-aa6a-7056fd37fd24","http://resolver.tudelft.nl/uuid:2ee2a492-6588-46db-aa6a-7056fd37fd24","Gate-tunable kinetic inductances for superconducting circuits","Splitthoff, L.J. (TU Delft QRD/Andersen Lab)","Kouwenhoven, Leo P. (promotor); Andersen, C.K. (copromotor); Delft University of Technology (degree granting institution)","2024","Superconducting circuits in cryogenic environments form an excellent material platform for the realization and study of quantum systems.
In this thesis, we continue the exploration of novel types of circuit elements which expand the circuit quantum electrodynamics toolbox to enable exotic, and potentially better circuit implementations. To this end, we combine the study of condensed matter systems and circuit quantum electrodynamics in what is called hybrid cQED experiments to arrive at the implementation of gate-tunable kinetic inductances for superconducting circuits. This discovery shed new light on the physics of gate-tunable kinetic inductances and enabled the observation of emergent phenomena in gate-tunable metamaterials, in particular the phase transition in a bosonic Su-Schrieffer-Heeger chain. Moreover, as gate-tunable kinetic inductances became available we realized tunable resonators and parametric amplifiers for enhanced control and readout of superconducting circuits.","Gate-tunable superconducting circuits; Resonator-based parametric amplifiers; Topological metamaterials; Proximitized nanowires","en","doctoral thesis","","978-94-6384-540-3","","","","","","2024-04-15","","","QRD/Andersen Lab","","",""
"uuid:33283954-fd1d-40c9-a6bf-7bd020350bbe","http://resolver.tudelft.nl/uuid:33283954-fd1d-40c9-a6bf-7bd020350bbe","Context-specific value inference via hybrid intelligence","Liscio, E. (TU Delft Interactive Intelligence)","Jonker, C.M. (promotor); Murukannaiah, P.K. (copromotor); Delft University of Technology (degree granting institution)","2024","Human values are the abstract motivations that drive our opinions and actions. AI agents ought to align their behavior with our value preferences (the relative importance we ascribe to different values) to co-exist with us in our society. However, value preferences differ across individuals and are dependent on context. To reflect diversity in society and to align with contextual value preferences, AI agents must be able to discern the value preferences of the relevant individuals by interacting with them. We refer to this as the value inference challenge, which is the focus of this thesis. Value inference entails several challenges and the related work on value inference is scattered across different AI subfields. We present a comprehensive overview of the value inference challenge by breaking it down into three distinct steps and showing the interconnections among these steps.","Values; Natural Language Processing; Morality; Ethics; Explainable AI; Active Learning; Hybrid Intelligence","en","doctoral thesis","","978-94-6366-840-8","","","","","","","","","Interactive Intelligence","","",""
"uuid:697b44bb-0bf5-4e9a-878c-626cdb831bf3","http://resolver.tudelft.nl/uuid:697b44bb-0bf5-4e9a-878c-626cdb831bf3","Green Health: Examining the role of green space characteristics and their proximity in green space health pathways","Cardinali, M. (TU Delft Heritage & Architecture)","Pottgiesser, U. (promotor); van Timmeren, A. (promotor); Beenackers, Mariëlle A. (copromotor); Delft University of Technology (degree granting institution)","2024","This doctoral thesis critically examines green space characteristics and their proximity to residents in their ability to help reduce the global disease burden of non-communicable diseases. By dissecting three pivotal pathways of theorized green space health effects through increased physical activity, increased social cohesion, and reduced air pollution, the thesis aims to provide new insights into which green space characteristics drive these relationships and in which distance they occur. To achieve these aims, this thesis develops reporting guidelines for the research field, a QGIS script for automatization of green space indicator development and uses two complementary sources for data collection. It builds on the self-reported data on physical activity, social cohesion, air pollution, health and mental health from the URBiNAT project and its case studies in the four European satellite neighbourhoods Nantes-Nord (France), Porto-Campanhã (Portugal), Sofia-Nadezhda (Bulgaria), and Høje-Taastrup (Denmark) and complements it with a rigorous spatial analysis. This enabled a rigorous sensitivity analysis based on up to 135 structural equation models per pathway. The results of this doctoral research revealed distinct green space characteristics and proximities that drive each pathway, including thresholds where these associations disappear or even change direction. It concludes that interconnected, multi-use green corridors are more beneficial than isolated patches for all analysed health pathways, challenging current municipal green space strategies to shift focus from mere ratios to green mobility infrastructures. Although rooted primarily in European contexts and of a cross-sectional nature, the doctoral research provides new evidence for urban planning and public health. It emphasizes the practical implications of how to design green spaces to address health concerns. The results not only resonate with the WHO's Urban Health Research Agenda but also provide tangible recommendations for a healthier human habitat.","green space; greenness; health; well-being; mediation","en","doctoral thesis","A+BE | Architecture and the Built Environment","978-94-6366-849-1","","","","","","","","","Heritage & Architecture","","",""
"uuid:1e9082db-02d5-44d6-8c3e-c08932162d65","http://resolver.tudelft.nl/uuid:1e9082db-02d5-44d6-8c3e-c08932162d65","Resource management in wireless networks","Raftopoulou, M. (TU Delft Network Architectures and Services)","Van Mieghem, P.F.A. (promotor); Litjens, R. (copromotor); Delft University of Technology (degree granting institution)","2024","Following the trend of previous years, the number of devices, and hence the traffic in cellular networks is increasing. Moreover, new applications with stringent requirements are envisioned. Examples of such applications include collaborative learning and coverage extension with drones. To accommodate the traffic with its respective Quality of Service (QoS) requirements and to support new challenging applications in the Radio Access Network (RAN), we need to develop new algorithms and tools for efficient resource management. In this dissertation, resource management in the RAN is considered in three distinct areas.
In Chapter 2 we provide an introduction to the key concepts, which establish the technological context of the following chapters. The first part of this dissertation focuses on serving traffic with diverse requirements in the context of 5G networks. In 5G, RAN slicing has been introduced, to support services with diverse QoS requirements in the same network infrastructure. Moreover, RAN slicing allows the Mobile Network Operators (MNOs) to configure customer-specific slices. In Chapter 3, we assess RAN slicing in terms of the traffic handling capacity for an Industry 4.0-inspired scenario. For the assessment, we compare a network with isolated slices and a non-sliced network. Extensive simulations show that the non-sliced network can serve more traffic than the sliced network while satisfying the same class-specific QoS requirements. Considering that RAN slicing will be adopted by the MNOs, this result highlights that additional radio resource management mechanisms are needed when RAN slicing is configured. To that end, in Chapter 4 we evaluate RAN slicing in combination with allowing slices to use idle resources of other slices, in a realistic smart city environment. The results show that idle resource sharing significantly improves the traffic performance. However, it is not until RAN slicing is further combined with other technology features, i.e. flexible numerology and mini-slots that it provides better traffic performance than non-sliced networks.
The second part of this dissertation focuses on the application of collaborative learning, and more specifically on Federated Learning (FL) in resource-constrained wireless networks. In Chapter 5, we characterise agents by their importance in the learning process and the resource efficiency of their wireless channel. Then, we provide a general agent selection framework to indicate which agents should participate in the learning process. Extensive simulations in various scenarios verify the potential of the proposed framework. Additionally, it is revealed that in scenarios where agents have small data sets or the latency requirement is stringent, it is more beneficial to perform pure learning-based agent selection. In Chapter 6 we extend the previously proposed framework to perform joint agent selection and resource allocation. We describe the problem in resource-constrained vehicular wireless networks with Multi-User Multiple Input Multiple Output (MU-MIMO) capable base stations. To approximate the optimal solution of the problem, we propose the Vehicle-Beam-Iterative (VBI) algorithm. Then, we evaluate the VBI algorithm in scenarios related to vehicular communications. The results show that in scenarios where the vehicles have the same data set sizes, the application-specific accuracy targets are achieved faster than in scenarios where the data set sizes are different. Additionally, it is shown that MU-MIMO improves the convergence time of the global FL model.
In the third part of this dissertation, the deployment of a drone swarm is addressed. In Chapter 7 we study the link density is Random Geometric Graphs (RGGs). Specifically, we very accurately approximate the link density in any two- and three-dimensional rectangular spaces with the Fréchet distribution. Then, we express the minimum number of nodes needed to ensure network connectivity in terms of the link density. Finally, we model a drone swarm with a RGG and we estimate the required size of the swarm such that communication among all drones can be ensured.
The conclusions of this dissertation and the directions for future work are presented in Chapter 8.
Advanced convex economic model predictive control (CEMPC) methods have garnered attention lately in the wind turbine control community. Such techniques possess several advantages apart from those inherent in being subsets of the model predictive control (MPC) family. First, it is capable of accounting for multiple economic objectives for wind turbines, such as power production optimization, fatigue load reduction, and excessive actuation limitation, in a straightforward and unified way. This also means that the trade-off calibration between the economic objectives (by weight tuning) can be done with ease. Additionally, the convexity of the underlying optimization control problem (OCP) guarantees that a globally optimal solution can be found with high numerical effectiveness, which may lead to real-time feasibility. This thesis, in particular, is focused on the development of a unified CEMPC framework, combining the potentials of two emerging CEMPCs in the wind turbine area, namely the power-and-energy CEMPC and the quasi-linear parameter-varying model predictive control (qLPV-MPC), for addressing multiple wind turbine structural loads.
The former achieves its convexity by exchanging nominal wind turbine variables, such as blade pitch, generator torque, and rotational speed, with alternative variables in terms of aerodynamic and generator powers and rotor kinetic energy. This results in the OCP containing linear dynamics, convex constraints, and concave objectives to be maximized. Being originally focused on fulfilling power gradient requirements from a grid code, a fatigue load mitigation consideration was introduced later on for fore-aft tower motion in the literature. Unfortunately, little attention was paid to the mitigation of the more weakly-damped side-side tower loading, as well as blade fatigue loads.
Such a knowledge gap is filled in this thesis; in particular, both key components' fatigue loads are mitigated by exploiting the individual blade pitching capabilities of the power-and-energy CEMPC framework. Since, in this framework, blade pitch actuation is achieved mainly by manipulating aerodynamic power inside the CEMPC, a redefinition of the latter is necessary to enable such a feature. To be precise, multiple aerodynamic powers, each representing that of a single blade, were employed as decision variables of the CEMPC instead of a single quantity. Further mapping of the aerodynamic powers into side-side blade forces, as well as augmentation of side-side tower dynamics into the CEMPC's internal model, enables counteractive control actions for reducing side-side tower load. Mapping the powers into blade and rotor moments enables alleviation of the blade loads.
On the other hand, the utilization of qLPV-MPC for deploying a passive wind turbine tower resonance prevention by dynamically optimal frequency skipping has been gaining attention in the literature. For enabling active load cancelation in this framework, however, a periodic load estimation is needed. In this thesis, such an estimation scheme is developed, employing a Kalman filtering method. Aligned with the qLPV-MPC implementation for the aforementioned passive method, the internal model of the filter is rendered in a demodulated fashion by applying a model demodulation transformation (MDT) to an extended wind turbine side-side tower dynamics. Measurement signal demodulation (MSD) is utilized for capturing the slow-varying components of wind turbine tower measurements to be fed to the Kalman filter. The filter is thus capable of not only estimating the demodulated periodic load signals but also those of the unknown and unmeasured tower states with good agreement with the ground truth.
The next challenge addressed in this thesis is the provision of an active control method specifically aimed at tackling the side-side periodic loading of the tower. A family of repetitive control methods, namely modulation\-/demodulation control (MDC), is adopted in this thesis to handle the cancellation of the periodic loading. In principle, MDC consists of output signal demodulation, projecting the frequency component of interest (namely the rotor frequency) in the signal into low-frequency quadrature and in-phase representations. On these axes, diagonal single-input, single-output (SISO) controllers can be designed, resulting in control signals, which, by a modulation process, are translated into a single control signal, being an additive generator torque signal, oscillating at the frequency of the disturbance and thereby canceling it. A phase offset, with its optimal value determined by the plant's phase at the disturbance frequency, is needed and included in the modulation. This results in the full decoupling of the control channels, as well as the correction of an occurring gain sign flip due to the varying excitation frequency, which could have deteriorated the controller's performance and induced instabilities. The MDC extends a conventional tower damper controller specifically aimed at mitigating the tower loading at its natural frequency. As a result, both the tower load components at the natural frequency and the rotor frequency are mitigated simultaneously.
This thesis has, thus, highlighted the significant role various coordinate transformations play in advancing state-of-the-art wind turbine control, be it a transformation of signals into a different set of variables in power and energy terms or into different time scales. The former has enabled the formulation of power-and-energy CEMPC for side-side tower load and blade loads mitigation, extending this framework's fatigue load mitigation capabilities. The latter transformation, demonstrated by the MDT, paves the way for estimating unknown and unmeasurable periodic load and tower states in a demodulated manner, essential in activating the periodic load cancelation feature of the novel qLPV-MPC method. The MDC method has successfully enabled active side-side periodic tower load cancelation by leveraging a modulation-demodulation scheme, another way of transforming coordinates into different time scales where convenient yet effective control system design can be made. This thesis has, therefore, provided elements required for constructing a unified CEMPC framework, where the benefits of the said coordinate transformations may be further harnessed.","","en","doctoral thesis","","978-94-6366-842-2","","","","","","","","","Team Jan-Willem van Wingerden","","",""
"uuid:158d75d1-e0f9-4547-bab3-3389e5c0e1f6","http://resolver.tudelft.nl/uuid:158d75d1-e0f9-4547-bab3-3389e5c0e1f6","Input design and data-driven approaches based on convex optimization for fault diagnosis in linear systems","Noom, J. (TU Delft Team Michel Verhaegen)","Verhaegen, M.H.G. (promotor); Soloviev, O.A. (copromotor); Smith, C.S. (copromotor); Delft University of Technology (degree granting institution)","2024","The complexity of automated systems has grown considerably during the past decades. This convolutes the observation of possible faults in these systems. If not being revealed timely, such faults can lead to catastrophic failures. As a result, there is a continuous interest in sophisticated fault diagnosis techniques. Since it is generally desired to diagnose faults in the earliest possible stages, computational challenges are imposed on the algorithms. Whereas the field of fault diagnosis comprises of a large variety of techniques in various categories, these computational challenges appear to emerge wide-ranging.
At the same time, convex optimization has developed as a valuable tool to solve a large variety of mathematical problems with computational efficiency. This computational efficiency is achieved by exploiting favorable structures of the problem. Depending on the specific problem, these structures vary in difficulty to be recognized or arranged. Moreover, some problems lead to a convex optimization problem naturally, while other problems first need some kind of relaxation or sequential process in order to employ convex optimization.
This thesis explores how convex optimization can be utilized in order to solve fault diagnosis problems with computational efficiency. The state-of-the-art is studied for multiple computationally challenging categories of fault diagnosis: online input design approaches, diagnosis of many concurrent faults, and data-driven approaches. First, online input design approaches facilitate fault diagnosis by computing discriminating input sequences during system operation. Since the input is calculated in real-time those approaches allow only limited computational effort, whereas adequate input determination typically appears to be nontrivial. In this contribution it is shown that an established upper bound on the error probability for linear candidate models with Gaussian noise is concave in the most challenging discrimination conditions. This finding allows to use sequential convex programs for online determination of a discriminating input with low computational effort.
The second contribution in this thesis regards the cantilever dynamics in high-speed atomic force microscopy. Due to the oscillatory behavior above the scrutinized sample, the cantilever typically has intermittent physical contact with the sample. This leads to a large number of (dynamically dependent) impulsive faults. Instead of performing an intractable explicit examination of all (combinations of) hypotheses, this contribution applies sparse estimation as a convex optimization method in order to diagnose these concurrent faults. In a simulation study, the resulting effect on the sample height reconstruction is discernible both qualitatively and quantitatively with respect to the conventional approach to sample height reconstruction in atomic force microscopy.
The third contribution introduces a novel problem formulation for model-free data-driven fault diagnosis. Instead of separate time periods for system identification and fault diagnosis in typical data-driven approaches, model-free data-driven fault diagnosis aims for the simultaneous system identification and fault diagnosis from one single data set. Whereas this is originally a non-convex bilinear problem, a proposed solution reformulates it as a convex optimization problem using a so-called lifting technique. Furthermore, online evaluation of this optimization problem is facilitated by a developed recursive implementation. The proposed methodology is tested both on simulation data and real-life flight test data.
By demonstrating the potential of convex optimization to a deliberate selection of fault diagnosis problems, this thesis serves as a source of inspiration for solving a wider variety of fault diagnosis problems efficiently. Furthermore, various elements related to convex optimization and its recursive implementation presented in this thesis have additional relevance to the general field of control science beyond fault diagnosis. Future applications of the presented methodology can arise for instance in the data-driven control in the presence of disturbances, or recursive blind deconvolution of real-time image sequences.","Fault diagnosis; Convex optimization; Kalman filtering; System identification; Linear systems","en","doctoral thesis","","978-94-6384-567-0","","","","","","","","","Team Michel Verhaegen","","",""
"uuid:ab99217e-5ae7-4322-b4c9-311547a3feb9","http://resolver.tudelft.nl/uuid:ab99217e-5ae7-4322-b4c9-311547a3feb9","Product lifetime extension through design: Encouraging consumers to repair electronic products in a circular economy","van den Berge, R.B.R. (TU Delft Responsible Marketing and Consumer Behavior)","Mugge, R. (promotor); Magnier, L.B.M. (copromotor); Delft University of Technology (degree granting institution)","2024","Our production and consumption patterns of electronic products exceed the limits of what one planet can handle. Prolonging product lifetimes decreases the value losses caused by the destruction of existing products and lowers the amount of e-waste. Repair is an impactful strategy to tackle the issues associated with the production and consumption of electronic products. However, most discarded products are never repaired during their lifetime. Literature proposed several design for repair strategies, predominantly from a technical (engineering) perspective. However, a technically repairable design may not automatically result in repair behavior. Consumers and their behavior play a key role in prolonging the lifetimes of our daily used products.
The objective of this thesis is to explore the role of design in stimulating consumers to extending product lifetimes via repair. A consumer perspective investigates why consumers decide to prematurely replace products and their barriers towards repair. Design and marketing strategies to stimulate repair (e.g., support in failure diagnosis, modularity, and lifetime labels) are identified from literature. The effectiveness, boundaries and the required conditions of these strategies are tested in several empirical studies. They showed that high perceived repair self-efficacy, explicit cues guiding the repair act, and specific information about product’s reliability and upgradeability can increase consumers’ repair intentions.
By adopting a consumer-centric approach, this thesis offers contributions to design research on product lifetime extension and repair. However, creating a repairing society is not solely a consumer’s responsibility. One should realize that product lifetime extension requires a shift in current industry practice and businesses organization, as well as the design of appropriate policies. Therefore, a systemic approach and cooperation between all involved stakeholders is required. Designers, researchers and policymakers can use our insights to stimulate much-needed consumer repair practices of (electronic) products within a circular economy.
The first part of this dissertation focuses on clustering the nodes of a network or community detection. Here, the nodes of a network are partitioned into several clusters and the objective is to precisely determine the cluster memberships based on only the network topology. Many clustering methods assume that the true number of clusters is known a priori. In Chapter 2, we investigate how exactly to find this number of clusters for a given graph. We discuss several modularity maximization and spectral clustering methods, and we outline how they can be used to find the number of clusters. We compare the performance of several different algorithms by evaluating these methods on benchmark graph models where the ground truth clusters are known.
In the second part, we explore network representations in the hyperbolic space. In Chapter 3, we extend the 2-dimensional random hyperbolic graph model to a hyperbolic space of arbitrary dimensionality. Our rescaling of the model parameters and variables casts the random hyperbolic graph model of any dimension to a unified mathematical framework, such that the degree distribution is invariant to the dimensionality of the space. We analyze the different connectivity regimes of the model and their limiting cases. In Chapter 4, we describe how hyperbolic graphs are built on a connection principle based on similarity, and we identify a class of real-world networks in which the links are driven by principles of complementarity rather than similarity. We propose a framework for embedding complementarity-driven networks into hyperbolic space and we describe the ensuing complementarity random hyperbolic graph model. In Chapter 5, we further investigate the topological properties of the complementarity random hyperbolic graph.
The third and final part of the dissertation centers on semantic networks, which describe semantic relations between words or concepts. In Chapter 6, we systematically analyze the topological properties of a large, multilingual dataset of semantic networks. Our investigation covers both universal and language-specific structural properties of these networks. We examine the roles that the connection principles of similarity and complementarity play in their link formation, and we discuss how a deeper understanding of these organizing principles benefits applications in natural language processing.","Complex networks; Complementarity; Similarity; Hyperbolic geometry; Network clustering","en","doctoral thesis","","978-94-6366-845-3","","","","","","","","","Network Architectures and Services","","",""
"uuid:d9cae0f9-23ca-45ab-83a1-0965014db6b7","http://resolver.tudelft.nl/uuid:d9cae0f9-23ca-45ab-83a1-0965014db6b7","High-Performance Multilevel Class-D Audio Amplifiers","Zhang, H. (TU Delft Electronic Instrumentation)","Makinwa, K.A.A. (promotor); Fan, Q. (copromotor); Delft University of Technology (degree granting institution)","2024","This thesis describes the analysis, design, prototype implementation, and measurement results of high-performance Class-D amplifiers (CDAs) for audio applications.","","en","doctoral thesis","","","","","","","","","","","Electronic Instrumentation","","",""
"uuid:7cd49965-5106-4a28-8609-cbcb7aeec0d5","http://resolver.tudelft.nl/uuid:7cd49965-5106-4a28-8609-cbcb7aeec0d5","Rural futures for young adults: Rural development and regeneration in the Netherlands","Koreman, M.C.J. (TU Delft Urban Development Management)","Korthals Altes, W.K. (promotor); Spaans, M. (copromotor); Delft University of Technology (degree granting institution)","2024","Young adults are essential in the future of rural municipalities. They can revive places in decline and create new opportunities. But what future dreams, plans and opportunities do they have? Embark on a journey through the Dutch countryside to uncover the future dreams of young adults. Delve into the dreams, plans, and obstacles shaping the future of rural municipalities. Through the vibrant tapestry of cultural festivals, witness the revitalization of once-declining communities. Additionally, examine the innovative re-use of vacant farm buildings, offering promising opportunities for regeneration, economic growth and entrepreneurship.
However, amidst these prospects lies a challenge: the political landscape, where urban interests often overshadow rural needs. Shedding light on these dynamics and navigating its complexities, this research aims to empower rural communities. It suggests how to pave the way towards better policies for rural municipalities in the Netherlands. Where young adults can build their rural futures.","Young adults; Rural municipalities; Personal futures; Community-led; Rural development; Spatial justice; The Netherlands","en","doctoral thesis","A+BE | Architecture and the Built Environment","978-94-6366-841-5","","","","","","2024-04-12","","","Urban Development Management","","",""
"uuid:78be5850-2df9-40fe-973d-e537d0d172c0","http://resolver.tudelft.nl/uuid:78be5850-2df9-40fe-973d-e537d0d172c0","Machine Learning-Induced Epistemic Injustice in Medicine and Healthcare","Pozzi, G. (TU Delft Ethics & Philosophy of Technology)","van den Hoven, M.J. (promotor); Duran, J.M. (copromotor); Delft University of Technology (degree granting institution)","2024","The advancement of AI-based technologies, such as machine learning (ML) systems, for implementation in healthcare is progressing rapidly. Since these systems are used to support healthcare professionals in crucial medical practices, their role in medical decision-making needs to be epistemologically and ethically assessed. However, a central issue at the intersection of the ethics and epistemology of ML has been largely neglected. This pertains to the careful scrutiny of how ML systems can degrade individuals’ epistemic standing as receivers and conveyors of knowledge and, thereby, perpetrate epistemic injustice. Since ML systems are powerful epistemic entities that are not easily contestable, and their decision-making rationale is often inaccessible, it is crucial to consider their role in creating imbalances in patients’ disfavor and the ways to mitigate such imbalances. This is especially important when it comes to interactions between patients and physicians, in which questions of credibility, trust, and understanding are central. Against this background, the overarching purpose of this dissertation is to fill this research gap by providing a framework to identify and, on occasion, mitigate epistemic injustices that are ML-induced, i.e., that emerge specifically due to the role that ML systems play in patient-physician interactions.","ethics of AI; epistemology of AI; machine learning-induced epistemic injustice; trustworthy AI; medical machine learning; automated hermeneutical appropriation","en","doctoral thesis","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:110bc70f-0e08-431d-bd41-00293f04ecee","http://resolver.tudelft.nl/uuid:110bc70f-0e08-431d-bd41-00293f04ecee","If it ain't broke, don't fix it: Optimizing the predictive aircraft maintenance schedule with Remaining Useful Life prognostics","de Pater, I.I. (TU Delft Air Transport & Operations)","Mulder, Max (promotor); Mitici, M.A. (copromotor); Delft University of Technology (degree granting institution)","2024","Predictive aircraft maintenance is a maintenance strategy that aims to reduce the number of failures, the number of inspections, the number of maintenance tasks and the aircraft maintenance costs. Aircraft are equipped with health monitoring systems, where sensors continuously measure the condition of the aircraft components. In predictive maintenance, these sensor measurements are used to estimate the time left until the failure of these components, called the Remaining Useful Life (RUL). These RUL prognostics are subsequently used to optimize the aircraft maintenance schedule. There are several challenges that complicate the implementation of predictive aircraft maintenance in practice. In this thesis, the threemain challenges are addressed.","Predictive maintenance; Remaining Useful Life prognostics; Aircraft maintenance","en","doctoral thesis","","","","","","","","","","","Air Transport & Operations","","",""
"uuid:2f2dfc76-5e29-4a04-84c1-3d337e3bf645","http://resolver.tudelft.nl/uuid:2f2dfc76-5e29-4a04-84c1-3d337e3bf645","Engineering Synthetic Cells through Module Integration and Evolution","Restrepo Sierra, A.M. (TU Delft BN/Gijsje Koenderink Lab; TU Delft BN/Christophe Danelon Lab)","Danelon, C.J.A. (promotor); Koenderink, G.H. (promotor); Delft University of Technology (degree granting institution)","2024","Life, the most complex and admirable machine that one could think of has evolved over billions of years to display a beautiful variety of mechanisms that keep cells adapting, self-maintaining, reproducing, and evolving. If we think about it, what is this magic? What are the mechanisms behind life’s origins and wonderful coordination? Attracted by these intricates, different scientific disciplines have for long studied all life’s scales to grasp the fundamental principles of life. In particular, the synthetic biology field has set the goal of discerning life until the point that a minimal synthetic cell can be fully recreated in a controlled laboratory set-up. Synthetic cells, modular enough to be crafted by scientists, could not only reveal fundamental insights of how life works, but can also help unlock great biotechnological applications that lie beyond the reach of our current technologies and understanding of life. In this thesis, we delve into how in vitro evolution, module integration, and high throughput characterization are valuable steps to consider for accelerating the bottom-up assembly of artificial cells.","synthetic biology; synthetic cell; liposomes; cell-free gene expression; module integration; DNA replication; phospholipid biosynthesis; in vitro evolution","en","doctoral thesis","","978-94-6384-563-2","","","","","","","","","BN/Gijsje Koenderink Lab","","",""
"uuid:239cbb59-90d6-49b9-9dc5-ea6addb3d6e1","http://resolver.tudelft.nl/uuid:239cbb59-90d6-49b9-9dc5-ea6addb3d6e1","Homeostasis in intestinal organoids at the single cell level","Kok, R.N.U. (TU Delft BN/Sander Tans Lab)","Tans, S.J. (promotor); Ten Wolde, Pieter Rein (copromotor); Delft University of Technology (degree granting institution)","2024","How does the small intestine maintain itself? What mechanism does it use to achieve
homeostasis, and how optimal is this mechanism? We approached these questions
mainly using cell tracking in organoids, for which we developed new cell trackers.
We found that the intestinal crypt uses a surprisingly simple and effective strategy.
Finally, we showed that cell segmentation and tracking is possible in organoids without fluorescent markers.","organoids; small intestine; homeostasis; cell tracking","en","doctoral thesis","","","","","","","","","","","BN/Sander Tans Lab","","",""
"uuid:ca8b3b1e-41db-4481-a5ab-f4f6d446ed2d","http://resolver.tudelft.nl/uuid:ca8b3b1e-41db-4481-a5ab-f4f6d446ed2d","Sustainability of bio-based plastics in a circular economy","Ritzen, L. (TU Delft Design for Sustainability)","Balkenende, R. (promotor); Bakker, C.A. (promotor); Sprecher, B. (copromotor); Delft University of Technology (degree granting institution)","2024","Plastics have become indispensable in modern life due to their versatility and affordability. However, their widespread use has resulted in far-reaching environmental damage, including the accumulation of plastic waste, fossil fuel depletion, and significant greenhouse gas emissions. Bio-based plastics have been proposed as a sustainable, circular solution to the environmental issues associated with plastics. However, bio-based plastics are not implicitly sustainable or circular. These aspects are influenced by how a plastic is produced and how it is recovered at end-of-life, implying that careful attention needs to be paid to material development and product design. This thesis explores the sustainability and circularity of bio-based plastics by looking at: how they are perceived by value chain actors, potential recovery pathways in a circular economy, and environmental impact.
Although bio-based plastics have the potential to be sustainable, the emissions associated with producing them depend heavily on the biomass sourcing. At the same time, bio-based plastics are not de-facto biodegradable and thus efficient recovery at end-of-life needs to be guaranteed. Circular product design with bio-based plastics requires careful consideration of biomass sourcing and recovery. Although much information regarding these aspects is still missing, the research presented in this dissertation provides some guidelines for circular product design with bio-based plastics. In order to reduce environmental impacts, bio-based plastics should be produced with agricultural by-products or with biomass types with a high conversion efficiency. Biomass for bio-based plastics should be cultivated with minimal use of land, water, chemicals and fossil fuels. Environmental impacts can be reduced further by using renewable energy in the production process. Product designers should also consider what recovery pathway they want to target at end-of-life of a product. The plastic composition and product architecture need to reflect the targeted recovery pathway.","","en","doctoral thesis","","978-94-6384-555-7","","","","","","2024-04-08","","","Design for Sustainability","","",""
"uuid:f3ed96a3-c436-4027-a3fc-5c22a9ee905d","http://resolver.tudelft.nl/uuid:f3ed96a3-c436-4027-a3fc-5c22a9ee905d","Systems for Digital Self-Sovereignty","Stokkink, Q.A. (TU Delft Data-Intensive Systems)","Epema, D.H.J. (promotor); Pouwelse, J.A. (promotor); Delft University of Technology (degree granting institution)","2024","The digital world is evolving toward representing - and serving the interconnection of - natural persons. Instead of depending on the intrastructure of Big Tech companies and governments, users can cooperate and use their hardware to form public infrastructure. Instead of existing by virtue of a reference in some institution's database, users can interact based on a digital representation of their own choosing. It is no longer sufficient to depend on users to act out of system-imposed altruism. A new digital world is emerging that aims to provide systems that respect the rights of users to control their own digital representation. The complete control over one's own representation and all the data that belongs to it is what we know as Self-Sovereignty.
Solutions for digital Self-Sovereignty are wildly sought after, though their solution space remains woefully underexplored. Numerous global entities, e.g., the European Union, have stated their support for Self-Sovereign systems. However, many old problems of peer-to-peer systems that have gone ignored for decennia resurge with the need for Self-Sovereignty. For example, interconnections in peer-to-peer networks are vulnerable to attacks using fake identities and attackers can manipulate peers by depriving them of data. As most deployed peer-to-peer solutions have very little incentive for disruption by attackers, we have seen very few attacks. However, cryptocurrencies have shown that these attacks do surface when there is sizable monetary gain for attackers. In order to secure our future digital society, we must define and study these systems for Self-Sovereignty.
In this thesis we take the first steps toward defining the systems that can power a Self-Sovereign ""Web3"" ecosystem. In particular, we explore systems that apply Self-Sovereignty for identity, for public infrastructure, and for the execution of shared code. We describe four prototype mechanisms to form a guide for future work and to derive their general properties. Each mechanism is evaluated as realistically as possible. Thereby, this thesis mostly fulfills an exploratory role to guide the further evolution of our digital world.","anonymity; Web3; Sybil; smart contract; Self-Sovereign; reputation; replication; pseudonymity; privacy; peer-to-peer; network latency; network; local-first; identity management; green; gossip; decentralization; blockchain","en","doctoral thesis","","978-94-6366-839-2","","","","","","","","","Data-Intensive Systems","","",""
"uuid:22d798db-61a0-47c8-a686-3602e6ee62cb","http://resolver.tudelft.nl/uuid:22d798db-61a0-47c8-a686-3602e6ee62cb","Brackish Waters: Integrating Justice in Climate Adaptation and Long-Term Water Management","Brackel, A.K.C. (TU Delft Ethics & Philosophy of Technology)","Doorn, N. (promotor); Pesch, U. (copromotor); Delft University of Technology (degree granting institution)","2024","Brackish waters can be found in transition zones between freshwater rivers and saltier seas. These dynamic coastal landscapes harbor multiple functions such as housing, agriculture, nature, and industry. Because of climate change, existing borders between fresh and saline water - and between land and water - are becoming contested. Extreme rainfall, typhoons, heat waves, and droughts occur more frequently and are expected to intensify. Shifting water levels and chloride concentrations affect which livelihoods and land use practices can be sustained in the future.
Land use transformations may be needed to adapt to climate hazards such as flooding, drought, and sea-level rise. Climate risks can be reduced when people or infrastructures are moved out of areas exposed to climate hazards. Examples of these so-called exposure reduction measures are zoning, managed retreat, buy-outs, the elevation of the water table in agricultural land or projects such as the Dutch Room for the River program. However, land use changes are often contested by the people currently living and working on those lands.
This dissertation aims to contribute to the debate about just transitions in climate adaptation and land use transitions in the Netherlands and beyond. Anticipating climate risk also means anticipating conflicts about what to protect and what to let go. Not everyone will agree about the necessity of these adaptation measures, nor about what ‘just’ climate adaptation actually means at the local level. This research therefore describes the prevalence of competing justice claims in multiple adaptation controversies. At the same time, this dissertation further develops a capabilities-based approach to climate adaptation ethics.","climate adaptation; justice; water management; conflict; involuntary land use change","en","doctoral thesis","","978-94-6384-547-2","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:5d444c43-0e3a-4912-838c-5a9c20ffee97","http://resolver.tudelft.nl/uuid:5d444c43-0e3a-4912-838c-5a9c20ffee97","Comprehensive Human Oversight over Autonomous Weapon Systems","Verdiesen, E.P. (TU Delft Information and Communication Technology)","Dignum, M.V. (promotor); Santoni De Sio, F. (promotor); Delft University of Technology (degree granting institution)","2024","","","en","doctoral thesis","","978-94-6496-075-4","","","","","","2024-04-04","","","Information and Communication Technology","","",""
"uuid:a45acef5-5ef9-4797-be5e-08498566ec8a","http://resolver.tudelft.nl/uuid:a45acef5-5ef9-4797-be5e-08498566ec8a","Wind turbine blade damage detection using aerodynamic noise","Zhang, Y. (TU Delft Wind Energy)","Watson, S.J. (promotor); Avallone, F. (promotor); Delft University of Technology (degree granting institution)","2024","Wind energy is one of the most important renewable energy sources, effectively addressing climate change issues and promoting sustainable development on a global scale. Blade failures may cause long shut-down times and may present a safety hazard. Continuous and real-time monitoring of the blade conditions is helpful for finding blade damage at an early stage and for predicting its development. Non-contact damage detection methods have the advantage of easy and flexible installation and deployment, especially for current in-service wind turbines. This thesis aims to investigate and develop a new non-contact method for wind turbine blade damage detection based on measurements of aerodynamic noise. The principle of the proposed method relies on the fact that damage to the blade may modify the boundary layer over the blade surface and the flow field around the blade, and, as a consequence, alter the noise generated aerodynamically. This noise propagates to the far-field and be measured by microphones, which could provide a remote way to detect blade damage. In this thesis, the detection of two types of damage, trailing edge crack and leading edge erosion, is experimentally investigated in the wind tunnel. The results show that the proposed aeroacoustics-based approach can effectively detect the damage mentioned above under some circumstances, which might be a promising solution complementing traditional damage detection methods in wind farms in the future.","wind turbine blade damage; aerodynamic noise; trailing edge crack; leading edge erosion; damage detection","en","doctoral thesis","","978-94-6384-556-4","","","","","","2024-04-03","","","Wind Energy","","",""
"uuid:270173e7-6ce6-4a71-ac42-79eab09cce5f","http://resolver.tudelft.nl/uuid:270173e7-6ce6-4a71-ac42-79eab09cce5f","Stress Evolution in Early-Age Cementitious Materials Considering Autogenous Deformation and Creep: New experimental and modelling techniques","Liang, M. (TU Delft Materials and Environment)","Schlangen, E. (promotor); Šavija, B. (promotor); Delft University of Technology (degree granting institution)","2024","Since the introduction of cementitious materials, shrinkage-induced earlyage cracking (EAC) has emerged as a significant issue that negatively influences the function, durability, and aesthetics of concrete structures like dams, tunnels, and underground garages. This thesis aims to develop new experimental and modelling techniques that help resolve this longlasting issue, with a particular emphasis on the EAC induced by AD (AD). Unlike the thermal and drying deformation which are induced by heat and moisture transport, respectively, the AD is an intrinsic behavior caused by the self-desiccation of the hydration of cementitious materials. The ADinduced EAC risk is especially high when it comes to modern (or future) cementitious materials, such as high-performance concrete, ultra-highperformance concrete, and alkali-activated slag concrete.","Early-age cracking; autogenous deformation; creep/ relaxation; Temperature-Stress-Testing-Machine; finite element model; machine learning","en","doctoral thesis","","978-94-6366-843-9","","","","","","","","","Materials and Environment","","",""
"uuid:4a1519ba-3542-4d8f-ab91-2342e8f5bb1a","http://resolver.tudelft.nl/uuid:4a1519ba-3542-4d8f-ab91-2342e8f5bb1a","Understanding Adversary Behavior via XAI: Leveraging Sequence Clustering To Extract Threat Intelligence","Nadeem, A. (TU Delft Algorithmics)","Lagendijk, R.L. (promotor); Verwer, S.E. (promotor); Delft University of Technology (degree granting institution)","2024","Understanding the behavior of cyber adversaries provides threat intelligence to security practitioners, and improves the cyber readiness of an organization. With the rapidly evolving threat landscape, data-driven solutions are becoming essential for automatically extracting behavioral patterns from data that are otherwise too time-consuming to discover manually. This dissertation advocates the use of machine learning (ML) to obtain insights into adversary behavior for creating AI-assisted practitioners. However, developing adversary behavior models is challenging since cyber data is often unlabeled, noisy, infrequent, and contains intricate patterns that evolve over time. We demonstrate that sequential features are effective at addressing these challenges. Yet, they have limited interpretability and algorithmic support.
This dissertation starts by defining the notion of explainability as it is currently used within cybersecurity by systematizing available literature in Chapter 2. We find that the literature frequently relies on black-box models that use off-the-shelf explanation methods without considering the explanation stakeholders. In contrast, literature on sequence learning models that are interpretable by design is severely limited.
We address these challenges by developing special algorithms that learn sequential patterns from infrequent events, and evolving data in an unsupervised setting. We utilize these algorithms to create interpretable tool-chains for understanding the behavior of various types of adversaries. We show that it is possible to learn interpretable models (even for complex sequential data in an unsupervised setting) that provide more insights than just prediction probabilities, while achieving competitive performance. In doing so, we encourage the security community to look beyond accuracy scores, and focus on extracting actionable insights from ML models. We make our tool-chains open-source.
The first part of this thesis models the strategies employed by human threat actors. Chapters 3 and 4 develop a novel paradigm of attack graphs (AG) that are learned directly from intrusion alerts for capturing attacker strategies. The attacker strategies are learned using our S-PDFA model, which is interpretable, fast, and effective. We learn alert-driven AGs from 3 open-source datasets, and show their ability to compress over 1.4 million alerts in 401 AGs in under 5 minutes. The AGs provide actionable intelligence regarding strategic differences and fingerprintable paths. They also reduce analyst alert fatigue by triaging critical attacks.
The second part of this thesis models the capabilities exhibited by automated threat actors (malware). Chapters 5 and 6 develop an explainable sequence clustering tool-chain to automatically characterize the network behavior of malware samples. We use this tool-chain to create behavioral profiles of 1196 real-world malware samples for explaining their capabilities. We also develop a streaming sequence clustering algorithm for real-time behavior profiling, which is evaluated on 5 datasets and against 4 clustering algorithms. By automatically creating behavioral profiles of bot-infected hosts in real-time, we distinguish benign and malicious hosts with 100% accuracy.","Cybersecurity; Explainable machine learning; Behavior modeling","en","doctoral thesis","","978-94-6366-828-6","","","","","","","","","Algorithmics","","",""
"uuid:3f2cad24-d7f5-4b19-9630-9f40207275ec","http://resolver.tudelft.nl/uuid:3f2cad24-d7f5-4b19-9630-9f40207275ec","Research on Urban Heritage Values based on the UNESCO Historic Urban Landscape (HUL) Approach: The case study of Suzhou","Huang, H. (TU Delft History, Form & Aesthetics)","van Thoor, M.T.A. (promotor); Hein, C.M. (promotor); Delft University of Technology (degree granting institution)","2024","As far as a historic city is concerned, a city is a dynamic complex which consists of many different interrelated and interactive elements. It is unreasonable to assess urban heritage by using a single value category. The evaluation of urban heritage values needs to develop a theoretical framework to represent the relationships between different elements. In view of the above issues, there is so far still a lack of systematic study on urban heritage values in Chinese academic circles. Therefore, it is necessary to construct the value system of urban heritage by adopting a scientific method.
This study aims to build up an integrated value system to facilitate the identification of urban heritage values, so that the complexity of urban heritage values is revealed through connections of different elements. The research work includes theoretical construction and a case study. First, the HUL is interpreted as a method of spatialtemporal scale by discussing the philosophical framework of HUL. Based on this finding, the gap between HUL at the operational level and the heritage value theories is filled. Second, as a case study, the analysis of the ancient city Suzhou is a verification of the value system of urban heritage in the practical sense. It also proves that the constructed value system is reasonable and achievable for urban conservation in the Chinese context.","historic urban landscape; spatial-temporal scale; ynamic and structural value system","en","doctoral thesis","A+BE | Architecture and the Built Environment","978-94-6366-836-1","","","","","","","","","History, Form & Aesthetics","","",""
"uuid:a24dac77-8bfd-4850-826b-c99fa5a7ace2","http://resolver.tudelft.nl/uuid:a24dac77-8bfd-4850-826b-c99fa5a7ace2","Dynamic laser speckle imaging for velocimetry in blood flow: A numerical study","van As, K. (TU Delft ChemE/Transport Phenomena)","Kenjeres, S. (promotor); Kleijn, C.R. (promotor); Bhattacharya, N. (promotor); Delft University of Technology (degree granting institution)","2024","Cardiovascular diseases are one of the leading causes of death worldwide, for example by causing strokes. Timely diagnosis of such diseases is pivotal for a patient’s chance of survival. Furthermore, in the present world in which medical expenses are going through the roof, we can save greatly on costs if certain diseases are detected in an earlier stage. To that end, our research is focused on improving medical measurement techniques, to give doctors a greater arsenal to combat these diseases.
Ideally, a measurement technique is cheap, accurate, and all while causing minimal discomfort to the patient. Light-based techniques have proven previously to have great potential to fulfil that role. For example, that tiny device that you can put on your finger, and similarly the sensor in a sports watch, are able to measure your heart rate using light. For our research we have developed a computer model, such that we can use the power of modern computing. Our model is able to predict how light is reflected by red blood cells flowing through an artery. The computer is then able to rapidly simulate many scenarios, producing a lot of data about what the reflected light looks like for each scenario. From that data, we are able to say something about what a certain pattern in the reflected light says about the underlying system: the flowing red blood cells.
As a first step, we have used our model to figure out how we can determine the heart rate from the reflected light. You could argue that that’s nothing special, as your sports watch can already do precisely that, but it’s an important step nonetheless, since our technique is different than what your sports watch is doing. Namely, the data our technique provides is more complex, but as a consequence also contains much more information and thereby yields a greater potential if we just become able to extract that information from the data.
Therefore, our second step was to determine the exact velocity of the red blood cells from the reflected light, which is quite of a magical thing when you think about it: even though we cannot ‘see’ the red blood cells directly, we can still ‘see’ how fast they are moving. Although we succeeded in determining the velocity, in reality a doctor will likely need to do some tweaking to account for patient-specific factors, such as skin tone.
Finally, we studied the disease atherosclerosis, in which accumulating cholesterol causes arteries to become more narrow, which ultimately could lead to a stroke. The narrowing of an artery, alters the flow behavior of the red blood cells, which we were able to pick up by studying changing patterns in the reflected light from our simulations. By extension, it should be possible to use reflected light to detect atherosclerosis, rapidly and cheaply flagging patients who are at risk.
We have shown the potential of reflected light techniques for medical diagnosis purposes. Although further research and work is still required to put these techniques into practice for doctor’s to use, we have set the groundwork to enable these techniques in the not-too-distant future.
One factor that can impair the performance of a deep net is a distribution shift between the training data and the test data. Depending on the availability of either data or label, some coping strategies for distribution shifts are domain adaptation, domain generalization, transfer learning and multi-domain learning. We first show how domain adaptation can help to mitigate the gap between historic and modern photos for visual place recognition. We show that this can be realized by focusing the network on the buildings rather than the background with an attention module. In addition, we introduce a domain adaptation loss to align the source domain and the target domain. We thenmove to domain generalization and show that learning domain invariant representations cannot lead to good performance for domain generalization. We suggest to relax the constraint of learning domain invariant representation by learning representations that guarantee a domain invariant posterior, but the resulting representations are not necessarily domain invariant. We coin this type of representation as hypothesis invariant representation. Finally, we study multi-domain learning and transfer learning with the application of deep learning to classify Parkinson’s disease. We show that a temporal attention mechanism is key for transferring useful information from large non-medical public video datasets to Parkinson videos. Weights are learned for various tasks involved in this Parkinson dataset to decide a final score for each single patient.
A deep net is also sensitive to malicious attacks, e.g., adversarial classification attacks or explanation attacks. Adversarial classification attacks manipulate the classification result while explanation attacks change the explanation heatmap but do not alter the original classification results. We notice that the robustness to an adversarial classification attack is linked to the shape of the softmax function and can be improved by using a polynomial softRmax, which is based on a Cauchy class conditional distribution. This also shows that the performance of deep learning is sensitive to the choice of class conditional distribution. Regarding the explanation attacks, we design several ways to attack the GradCAM explanation heatmap to become a predetermined target explanation which does not explain the classification result.
We further explore the influence of human trainers in hyperparameter tuning during the learning of deep nets. A user study is designed to explore the correlation between the performance of a network and the human trainer’s experience of deep learning. Experience of deep learning is found to be correlated with the performance of the deep net.","","en","doctoral thesis","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:a324902b-a157-4244-9cf3-1ca627ef641b","http://resolver.tudelft.nl/uuid:a324902b-a157-4244-9cf3-1ca627ef641b","Cleanroom in an SEM","Jeevanandam, G. (TU Delft ImPhys/Hagen group)","Hagen, C.W. (promotor); Kruit, P. (promotor); Delft University of Technology (degree granting institution)","2024","The work described in the doctoral thesis aims to enhance the functionality of a scanning electron microscope (SEM) by integrating miniaturized versions of cleanroom tools used in microfabrication. The thesis presents the integration of substrate heating, in-situ thermal atomic layer deposition (ALD), sputtering, and thermal evaporation within the SEM. These techniques are expected to enable fast and efficient fabrication of proof-of-concept devices with minimal resources. The thesis also discusses the challenges, limitations, and future work of the integrated cleanroom processes in SEM.","","en","doctoral thesis","","978-94-6384-541-0","","","","","","2024-03-22","","","ImPhys/Hagen group","","",""
"uuid:19906f66-bca0-46e6-9af8-584a8ee0a73c","http://resolver.tudelft.nl/uuid:19906f66-bca0-46e6-9af8-584a8ee0a73c","Bacterial chromosome organization by ParB proteins","Tišma, M. (TU Delft BN/Cees Dekker Lab)","Dekker, C. (promotor); Dogterom, A.M. (promotor); Delft University of Technology (degree granting institution)","2024","This thesis explores the mechanisms that underlie chromosome organization in bacteria. Bacteria are considered amongst the simplest living organisms on our planet. They lack the cellular organization found in other domains of life (Archaea or Eukaryotics) and often have simpler life cycles. Over the past decade, we gained increasing knowledge pointing to the fact that bacteria allocate a lot of resources to precisely organize their genome within the cell, and to segregate two genomes after DNA replication to daughter cells.
In this thesis, I investigated DNA organization and segregation systems in a model system bacterium Bacillus subtilis. I approached this feat both from the in vivo aspect – imaging in a live bacterium, and from the in vitro aspect – observing isolated proteins and DNA molecules. This holistic approach allowed me to gain deep insight into the proteins and mechanisms needed for DNA organization and segregation....","Single-molecule Biophysics; Single-molecule fluorescence; In vitro Assays; Magnetic Tweezers; ParABS; Chromosome Segregation; ParB Protein; Supercoiling; DNA Dyes; ParB-ParB Recruitment; DNA Condensation; Live Cell Imaging","en","doctoral thesis","","","","","","","","","","","BN/Cees Dekker Lab","","",""
"uuid:4a6b3544-c7ce-4456-af3e-000c64d531d7","http://resolver.tudelft.nl/uuid:4a6b3544-c7ce-4456-af3e-000c64d531d7","Variability of the raindrop size distribution: model and estimation uncertainties across different scales","Gatidis, C. (TU Delft Atmospheric Remote Sensing)","Russchenberg, H.W.J. (promotor); Schleiss, M.A. (copromotor); Delft University of Technology (degree granting institution)","2024","Precipitation is a profoundly important meteorological process and a crucial component of the water cycle. Thus, the continuous and reliable monitoring of precipitation at global scale is fundamental for scientific sectors such as numerical weather prediction and hydrology. However, accurately estimating precipitation, its type and intensity at planetary scale remains a notoriously challenging task. While point measurements from rain gauges provide generally accurate direct rain observations, their lack of spatial coverage is a significant limitation. Therefore, global-scale precipitation monitoring heavily relies on remote sensing sensors, such as weather radars (ground-based or spaceborne). Radars are capable of indirectly measuring rainfall over extended domains but with a higher level of uncertainty. For accurate rainfall estimates from radar, the complex microphysical properties of rain must be known or inferred. The drop size distribution (DSD) plays a crucial role by offering valuable insights into the microphysical properties of precipitation and linking radar observations to physical quantities such as rainfall intensity. However, similar to rainfall, DSD exhibits significant variability in space and time. The objective of this PhD thesis is to better understand the small-scale variability of rainfall, contributing to the improvement of quantitative precipitation estimation. In this study various critical aspects around DSD which are often overlooked such as DSD measurements, modeling and retrievals across different scales are investigated.","Drop size distribution (DSD); Rainfall microphysics; DSD retrievals; Rainfall variability; Disdrometer; DSD model; μ-Λ relationship; Scale","en","doctoral thesis","","978-94-6384-545-8","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:a3931544-84fe-4fbd-a227-72ad21a3c402","http://resolver.tudelft.nl/uuid:a3931544-84fe-4fbd-a227-72ad21a3c402","Numerical Analysis of Low-Prandtl Jets in Turbulent Forced Convection Regimes","Cascioli, E. (TU Delft ChemE/Transport Phenomena)","Kenjeres, S. (promotor); Kleijn, C.R. (promotor); Delft University of Technology (degree granting institution)","2024","The current need to ensure an effective and prompt transition of the energy sector towards zero-carbon has renewed the interest for nuclear technology. Small Modular Reactors (SMRs) seem particularly interesting for their reduced capital cost, operational flexibility and enhanced safety and security. Different SMR concepts are being developed around the world and the liquid metal-cooled technology is one of the most convincing design options. Liquid Metal Fast Reactor (LMFR) technology was identified as one of the possible Generation IV reactor options too....","Jets; Low-Prandtl Fluids; Forced Convection; Turbulent Heat Transfer","en","doctoral thesis","","978-94-6366-829-3","","","","","","","","","ChemE/Transport Phenomena","","",""
"uuid:5330a6bb-a7b3-4345-ab2d-e82ad3a0e527","http://resolver.tudelft.nl/uuid:5330a6bb-a7b3-4345-ab2d-e82ad3a0e527","MOFs in Motion: Piezoelectricity and Rotational Dynamics of linkers in Metal-Organic Frameworks","Mula, S. (TU Delft ChemE/Catalysis Engineering)","van der Veen, M.A. (promotor); Grozema, F.C. (promotor); Delft University of Technology (degree granting institution)","2024","Metal–organic frameworks (MOFs) are a class of hybrid materials with metal-based inorganic nodes connected by organic linkers via strong coordination bonds. These building blocks can be arranged in 3-D crystalline lattices to synthesize structures with varying pore sizes and a variety of structures (tuneability). These hybrid materials possess exceptional porosity and large surface areas, making them suitable for applications in gas separation and storage, catalysis, and biomedical fields. MOFs also exhibit remarkable flexibility, which is determined by the topology of the framework and the degrees of freedom between bond angles in the organic linkers or coordination bonds between the organic linkers and inorganic nodes. One among the major categories of flexibility in MOFs is the rotational dynamics of organic linkers. The structural dynamics can have a pronounced influence on gas adsorption, diffusion and optical properties. Chapter 4 and Chapter 5 study the rotational dynamics of terephthalate linkers in functionalized MIL-53 MOFs by varying the steric interactions between the linkers using computational methods like ab initio molecular dynamics and classical molecular dynamics. Using the remarkable porosity, structural flexibility, and tuneability features of MOFs as central handles, in this thesis, we aim to study the (a) Piezoelectric properties in MOFs for their application as energy harvesters and (b) Rotational dynamics of linkers in MOFs. It is well-known that MOFs possess a high degree of flexibility and permanent porosity. High porosity of MOFs leads to low dielectric constants. This, together with higher flexibility of MOFs, makes them promising candidates for piezoelectric energy harvesting. Although all non-centrosymmetric MOFs are piezoelectric, their piezoresponse has hardly been studied thoroughly. Chapter 2 and Chapter 3 of this thesis will investigate the structure-property relationships of piezoelectric properties in MOFs through computational methods, and provide design guidelines that can contribute to the development of high-performing piezoelectrics.","Metal organic frameworks; structure–property relationships; piezoelectricity; rotational dynamics","en","doctoral thesis","","","","","","","","","","","ChemE/Catalysis Engineering","","",""
"uuid:276f2e9f-677b-4e46-8ab5-7de40c8e454c","http://resolver.tudelft.nl/uuid:276f2e9f-677b-4e46-8ab5-7de40c8e454c","Simulating Dynamics of Institutions","Ale Ebrahim Dehkordi, Molood (TU Delft Energie and Industrie)","Herder, P.M. (promotor); Ghorbani, Amineh (promotor); Delft University of Technology (degree granting institution)","2024","In society, institutions are the foundation that governs human behaviour through rules, norms, and regulations. The actions and interactions of individuals are shaped by these institutions, forming a cyclic system with numerous parameters and factors. Altering any of these factors, triggers the entire system to transition into a new state that comprises new emergent institutions. This process can take anywhere from days to thousands of years.
Employing agent-based models and simulation techniques enables the study of the emergence and transformation of institutions in a shorter timeframe, with reasonable cost, and under diverse parameters and conditions.
The purpose of this dissertation is to enhance institutional theories by generating new insights, testing hypotheses, and offering support to researchers, historians, policymakers, and social scientists who are studying institutional dynamics. The outcomes of this research may assist in the identification of successful institutions and the comprehension of the factors that contribute to their success....","institutions; institutional modelling; institutional evolution; values; value change; wealth inequality; cooperation; common-pool resources; machine learning; agent-based modelling; modelling purpose","en","doctoral thesis","","978-94-6366-834-7","","","","","","","","","Energie and Industrie","","",""
"uuid:e5b2f672-9dd2-498f-828f-f587b509e298","http://resolver.tudelft.nl/uuid:e5b2f672-9dd2-498f-828f-f587b509e298","High-Temperature Oxidation of Steels Investigating the Kinetics of High-Temperature Oxidation of Steels Through Experimental, Numerical, and Data-Driven Approaches","Aghaeian, S. (TU Delft Team Amarante Bottger)","Bottger, A.J. (promotor); Mol, J.M.C. (promotor); Delft University of Technology (degree granting institution)","2024","H igh-temperature (HT) oxidation plays a significant role in various stages of the steelmaking process, including hot rolling. When exposed to high temperatures and oxygen partial pressure, the steel composition near the surface can be altered as alloying elements deplete. Additionally, the characteristics of the oxide scale, such as thickness and phase composition, vary depending on the oxidation conditions. Due to the experimental challenges of studying such rapid processes under extreme conditions, predictive models are necessary to estimate the substrate surface and oxide scale composition as well as the general oxidation rate of the alloy....","High-Temperature Oxidation; Diffusion-Based Models; Oxidation Kinetics; Machine Learning Models; Oxidation of Steels","en","doctoral thesis","","978-94-6483-837-4","","","","","","","","","Team Amarante Bottger","","",""
"uuid:7660e4df-420c-4283-ab05-fd5ea6ec5a1b","http://resolver.tudelft.nl/uuid:7660e4df-420c-4283-ab05-fd5ea6ec5a1b","Development of experimental and analytical/modelling methods for the investigation of biomass pyrolysis and gasification in a novel indirect fluidized bed reactor","Tsekos, C. (TU Delft Large Scale Energy Storage)","de Jong, W. (promotor); Padding, J.T. (promotor); Delft University of Technology (degree granting institution)","2024","The modern world is faced with a multitude of environmental and socio-economic issues, stemming from the way that energy is used and converted. Climate change due to anthropogenic activities (use of fossil fuel resources and its associated CO2 equivalent emissions), has greatly affected humankind and nature in general, by leading to extreme weather phenomena and reducing the quality of life especially of people belonging to vulnerable communities. The unsustainable practices of the energy sector and the increased energy and materials needs of the public, have brought the situation to a point where immediate action is required to mitigate the effects of climate change. Furthermore, as became apparent by studying the impact of the COVID-19 pandemic and the Russo-Ukrainian war, the global energy market is heavily exposed to price and availability shocks that have a significant negative impact on the quality of life of people globally also in the very short term. Overall, the transition of the energy sector to a green and renewable alternative is essential and bioenergy constitutes a crucial piece of the puzzle of such a sustainable future....","","en","doctoral thesis","","978-94-6496-063-1","","","","","","","","","Large Scale Energy Storage","","",""
"uuid:158da0dc-e2a8-4c59-8af8-5b50b2b96c94","http://resolver.tudelft.nl/uuid:158da0dc-e2a8-4c59-8af8-5b50b2b96c94","Interplay of Structural and Light-induced Carrier Dynamics in Metal Halide Perovskites","Zhao, J. (TU Delft ChemE/Opto-electronic Materials)","Savenije, T.J. (promotor); Houtepen, A.J. (copromotor); Delft University of Technology (degree granting institution)","2024","As one of the fastest-growing renewable energy technologies, photovoltaics play an increasingly important role in the global energy transition. Over the past decade, metal halide perovskite solar cells (PSCs) have emerged as the most promising candidates for next-generation solar cells, with a certified power conversion efficiency of 26.1% for single-junction cells. Despite these significant advances in this performance, understanding the fundamental optoelectronic properties of various compositions is crucial to improve the efficiency and stability of the development of single-junction and multi-junction solar cells, including perovskite/silicon and all-perovskite tandem solar cells. In this thesis, we have investigated the generation, recombination, and extraction of photo-generated carriers in various metal halide perovskites (MHPs) in combination with selective transport layers (TLs) mainly using the time-resolved microwave conductivity (TRMC) technique. Moreover, structural properties were revealed using various techniques including XRD, XPS, and SEM. In addition, different deposition methods of perovskite thin films are studied with the aim of providing insights into the relationship between structure and optoelectronic properties.....","","en","doctoral thesis","","","","","","","","2024-03-19","","","ChemE/Opto-electronic Materials","","",""
"uuid:353d390a-9b79-44f1-9847-136a6b880e12","http://resolver.tudelft.nl/uuid:353d390a-9b79-44f1-9847-136a6b880e12","Power to the airborne wind energy performance model: Estimating long-term energy production with an emphasis on pumping flexible-kite systems","Schelbergen, M. (TU Delft Wind Energy)","Watson, S.J. (promotor); Schmehl, R. (promotor); Delft University of Technology (degree granting institution)","2024","The potential of utility-scale airborne wind energy (AWE) systems to contribute significantly to the energy transition hinges on their large-scale deployment, which depends on the cost-competitiveness and complementarity with conventional wind turbines. Central to the assessment of these metrics is understanding long-term energy production, which is influenced by the variability of wind profiles. This thesis investigates the significance of wind profile variability on annual energy production estimation for AWE systems. The study establishes the climatology of vertical wind profiles and expands flight operation models of AWE systems. By synthesising these aspects, a new energy production estimation framework is developed to incorporate variations in the wind profile shape. This framework is utilised to assess the impact of different wind profile shapes on the energy production estimation. The research underlines the need to move away from conventional wind energy calculation methods and offers a more suitable alternative for AWE systems. The framework offers a valuable tool for increasing the understanding of the viability of large-scale deployment of AWE systems.","Airborne wind energy; flexible-kite system; performance modelling and optimisation; energy production estimation; test flight data analysis; power production characterisation; vertical wind profile characterisation","en","doctoral thesis","","978-94-6384-549-6","","","","","","","","","Wind Energy","","",""
"uuid:2b7eca2c-3dbd-48f2-8514-147cab28d1a4","http://resolver.tudelft.nl/uuid:2b7eca2c-3dbd-48f2-8514-147cab28d1a4","Multiscale Extended Finite Element Method for the Simulation of Fractured Geological Formations","Xu, F. (TU Delft Applied Mechanics)","Sluys, Lambertus J. (promotor); Hajibeygi, H. (promotor); Delft University of Technology (degree granting institution)","2024","In the prevailing context of the 21st century, characterized by a predominant reliance on oil and gas, or in the promising future where green energy shapes a human society committed to net-zero emissions, the role of underground fractured formations in energy production and storage remains pivotal and irreplaceable. Geological faults typically act as non-permeable sealing boundaries for reservoirs used in storage, including those for hydrogen and carbon dioxide. In contrast, artificial fractures can serve as highly permeable conduits for fluid flow into wellbores, particularly in applications such as enhanced geothermal reservoirs. In the past decade, the hazardous consequences of failing to predict the geomechanics behaviors of fractured formations have led to a pronounced focus on developing simulation strategies that are both accurate and efficient for fractured formations.
It is challenging to understand formations riddled with fractures. From a computational perspective, the complex fracture networks typically demand a way finer unstructured grid. However, using such an unstructured grid is impractical for real-world applications due to their high computational load. Conversely, coarser grids paired with strategies such as homogenization could result in loss of crucial details. Heterogeneous properties of geological formations that span on large length sizes require the simulation strategies to be scalable, in order to be relevant.
This thesis proposes a novel approach named as multiscale extended finite element method (MS-XFEM) to tackle these challenges. The challenges related to discretization are resolved by applying the extended finite element method (XFEM) which allows for the use of structured grids. This simplified mesh, however, leads to an augmented matrix size due to extra degrees of freedom (DOFs) introduced by enrichments. A multiscale approach is therefore combined with XFEM. The computational process is operated on the larger yet sparser coarse grids and then the coarse scale mesh solutions are interpolated back to fine scale mesh. The novelty of this work is to involve the fractures into basis functions only, thus the coarse scale system is constructed based on a finite element method. More importantly, this construction of basis functions is fully algebraic and can be updated locally and adaptively for the simulation of propagating fractures.
This method has been implemented and tested to prove its efficiency and accuracy. All tests results prove the good qualities of solutions computed from MS-XFEM when compared to fine scale XFEM solutions. Basis functions are constructed successfully with the algebraic method. These tests reveal the potential of the MS-XFEM in simulating real-world subsurface fractured formations.","multiscale extended finite element method; geological fractured formation; fractures propagation; deformation of fractured formation","en","doctoral thesis","","978-94-6366-827-9","","","","","","","","","Applied Mechanics","","",""
"uuid:bbd11d77-f64a-4498-a0b8-16975f6e1e77","http://resolver.tudelft.nl/uuid:bbd11d77-f64a-4498-a0b8-16975f6e1e77","Computational design of patient-specific orthopedic implants: from micro-architected materials to shape-matching geometry","Garner, E. (TU Delft Biomaterials & Tissue Biomechanics)","Zadpoor, A.A. (promotor); Wu, J. (promotor); Delft University of Technology (degree granting institution)","2024","Background: Despite over a century’s worth of technical improvements, the longterm survivability associated with orthopedic implants continues to fall short. In contrast to earlier designs, implant failure is no longer caused by structural failure of the implant itself. Rather, it results from the implant’s long-term detrimental effects on the surrounding bone tissue. Over time, changes in mechanical loading conditions induce a reduction in bone density, increasing the risk of fracture, and destabilizing the bone-implant interface. The mechanisms which drive peri-prosthetic bone loss are complicated and inter-related. Add to this the unique morphological variations among patients, and an optimal one-size-fits-all solution seems unlikely.....
To alleviate the data movement bottleneck, contemporary research revisits a concept historically known as Computation-In-Memory (CIM) or, alternatively, Processing-In-Memory (PIM). At its core, CIM emphasizes positioning computational capabilities close to, or within, the memory units storing the data. This placement might be within memory chips, in memory controllers, amid caches, or embedded in the logic layers of 3D-stacked memories. As a computational model, architectures leveraging CIM (referred to as CIM architectures) stand to tackle the issue of data movement overhead inherent in the von-Neumann architecture by diminishing or outright eradicating the data movement between computational locales and data storage areas. Moreover, from a techno-logical perspective, emerging memory technologies, including memristive devices and circuits, show potential to replace traditional memory systems, addressing some of the challenges posed by CMOS-based designs.
Irrespective of the specific CIM architecture deployed to optimize performance or energy efficiency in modern applications, there are substantial practical challenges to address and ponder upon first. Both system designers and developers face these hurdles and design decisions, which are critical to surmount CIM’s widespread acceptance across various computational areas and application domains.
In this dissertation, our focus is twofold: (1) We delve into the acceleration and streamlined execution of various steps in two pivotal application realms: genomics and ML; and (2) We explore several emerging memory technologies alongside circuit and architectural strategies, that show promise in enhancing CIM designs, specifically tailored for modern applications.
Therefore, in this thesis, we identify and propose strategies and designs to ameliorate the constrained performance of key kernels in genomics and ML. Recognizing that applications within these realms consist of diverse functions or kernels, it is imperative for a designer to possess a thorough understanding of them. Each function/kernel can be characterized by distinct data and control flows, calling for varied features to be enabled in either a von-Neumann or a CIM architecture. To enhance the efficacy of each function/kernel, we first profile them individually and then within a larger context of their corresponding pipeline, followed by discerning the best avenues for their memory mapping in a CIM architecture. We then undertake a concurrent assessment of essential adjunct components alongside the memory array, commonly referred to as the peripheries. For a designer, proficiency in the applications executable on a CIM system leveraging emerging memory technologies is indispensable. Grasping the fundamental characteristics of CIM and having an overarching view of its scope becomes vital prior to its integration. We aim to aggregate critical application features, improvement opportunities, and design decisions and refine them to their core essence. Through this, we aspire to shed light on present design options and identify kernels demanding heightened attention. Such insights can be instrumental in revealing prospective directions, encompassing supported kernels along with their respective merits and trade-offs.
We exploit emerging technologies and architect state-of-the-art CIM designs that optimally serve the targeted kernels, keeping a holistic improvement perspective at the forefront. Delving into emerging (memory) technologies, such as memristive devices like PCM and STT-MRAM, is crucial. These devices provide a suite of advantages, including non-volatility, compactness, and a natural aptitude for conducting logical operations (for instance, the logical AND). Additionally, other emerging technologies, such as integrated photonics, have the potential to enhance the CIM paradigm further with their capacity for high-frequency and low-latency functions. Our ambition is to integrate multiple such technologies, harnessing their distinct attributes, to craft a CIM design that surpasses the SotA counterparts across key benchmarks, be it in execution speed or energy.
This thesis demonstrates that when CIM is fused with emerging (memory) technologies, there is a marked enhancement in the performance of several Genomics pipelines and Machine Learning applications. It is our aspiration and conviction that the evaluations, methodologies, and findings detailed in this dissertation will empower the broader community to comprehend and address contemporary and upcoming challenges that revolve around enhancing the performance and energy efficiency of modern applications through the integration of (re)emerging computing paradigms and technologies. Additionally, our work provides insights for adapting these technologies to novel applications, ensuring they deliver optimal benefits.","Computation-In-Memory; Processing-in-Memory; Bioinformatics; Computer Architecture; Hardware/Software Co-Design; Memristor","en","doctoral thesis","","978-94-6384-534-2","","","","","","","","","Computer Engineering","","",""
"uuid:03dae8b4-4b3e-49af-8783-807882c62338","http://resolver.tudelft.nl/uuid:03dae8b4-4b3e-49af-8783-807882c62338","Analysis and Synthesis of Shell Flexures","van de Sande, W.W.P.J. (TU Delft Mechatronic Systems Design)","Herder, J.L. (promotor); Delft University of Technology (degree granting institution)","2024","Compliant shell mechanisms are defined as spatially curved thin-walled structures able to transfer or transform motion, force or energy through elastic deflection. They are a sub-category of compliant mechanisms which also gain there motion from elastic deformation. As such they store energy during motion, in addition to providing desired kinematics. One major benefit of this attribute is that several functions of a mechanism or a machine can be integrated into a single monolithic part; this is often called function integration.
Certain force-deflection behaviour can be purposefully designed by tailoring the energy storage over the range of motion. This is useful for passive exoskeletons where shell mechanisms are used to compensate the user's body weight and thereby decrease the fatigue accumulated during work. Other applications can be medical devices which often need specific kinetics while operating in a small environment. Shell mechanisms or shell flexures provide different kinetic behaviour than their flat counterparts: the wire flexure and leaf spring flexure. These properties of shell flexures can be leveraged to create more compact force generators.
Shell mechanism research is a relatively new field, with articles introducing novel designs with a specific behaviour in mind, such as constant force or moment generators. The state of the art presents what shell mechanisms are capable of. However, the state of the art provides little guidance in how to analyse and design shell mechanisms in general. The objective of this thesis is to propose tools for the analysis and design of compliant shell mechanisms or flexures and to develop understanding of this class of mechanisms. This thesis is divided into three parts.
Part I presents the eigenscrew decomposition as a tool to understand and design the kinetics of all compliant (shell) mechanisms. Part II discusses the properties of a buckled tape spring and a method to synthesise a wide array of force-deflection behaviour. In Part III, a novel category of shell mechanisms is introduced. A curved surface is patterned with a lattice, which is able to deform in the membrane of the shell. This is opposed to other shell mechanism that work primarily through the bending of the membrane.","","en","doctoral thesis","","978-94-6366-837-8","","","","","","","","","Mechatronic Systems Design","","",""
"uuid:0825bf0c-33d6-4ec9-b2e1-ce3870596537","http://resolver.tudelft.nl/uuid:0825bf0c-33d6-4ec9-b2e1-ce3870596537","Navigating a Petroleumscape: Shaping transnational oil modernity at the crossroads of global flows and local territories","Sarkhosh, R. (TU Delft History, Form & Aesthetics)","Hein, C.M. (promotor); Karimi, P. (copromotor); Delft University of Technology (degree granting institution)","2024","The thesis explores Ahwaz's transformation throughout the 20th century, emphasizing the profound impact of the oil industry on the city's architectural and urban development. From its emergence in obscurity to its prominence before the Iran-Iraq war, Ahwaz's journey mirrors the far-reaching influence of oil on urban modernity. The narrative weaves a complex tapestry of global dynamics and local distinctiveness, detailing how oil shaped not only the city's landscape but also its identity. The research underscores the intricate interplay between global forces and local characteristics, showcasing Ahwaz as a resilient blend of history, culture, and urban development. It highlights the city's defiance of simplistic categorizations, celebrating its diverse industries and the convergence of global influences. The study concludes by positioning Ahwaz as a living testament to the enduring legacy of the past, an ever-evolving urban canvas that reflects the complex interplay of architecture, culture, and power, perpetuated by the transformative force of petroleum.","petroleumscape; global flows; local territories; modern urchitecture & urban planning; crosscultural exchanges; Ahwaz; Iran","en","doctoral thesis","","978-94-6366-832-3","","","","","","2024-03-11","","","History, Form & Aesthetics","","",""
"uuid:ca535619-5459-4345-adf4-8c768c6934f6","http://resolver.tudelft.nl/uuid:ca535619-5459-4345-adf4-8c768c6934f6","Navigating complexity: agent-based simulations for climate-resilient economies","Taberna, A. (TU Delft Policy Analysis)","Filatova, T. (promotor); Nikolic, I. (promotor); Delft University of Technology (degree granting institution)","2024","Amid the Anthropocene, the escalating threat of flooding, driven by extreme rainfall and sea-level rise, challenges societies worldwide. In the last two decades, floods have impacted billions and inflicted colossal economic losses. Concurrently, the global trend towards urbanization predicts that by 2050, about 70\% of the global population will inhabit urban areas. This demographic trend, heavily influenced by agglomeration forces, further underscores the vulnerability of these urban centers, many of which are precariously situated in flood-prone areas. Given the confluence of escalating climate risks and the surge in populations settling in vulnerable zones, a pressing question emerges: How will rapidly urbanizing coastal societies adapt to intensifying flood risks in the face of escalating climate-induced shocks and changing regional economic landscapes?
To address this multifaceted issue, this dissertation delves into the complex nexus between climate shocks, regional economic dynamics, and societal responses. Central to this exploration is the creation of innovative simulation tools tailored to incorporate the autonomous adaptation strategies of various actors within a regional economic framework. This thesis stands at the forefront of a new wave of computational models that encompass risk and embed resilience into complex adaptive systems.
I commence by examining the current advancements and gaps in employing Agent-Based Models to unravel the dynamics of flood risk and adaptation assessments. In this exploration, I underscore the pivotal role of human actions in shaping risks and resilience within flood-prone urban settings.
Building on this foundation, I introduce the Climate-Economy Regional Agent-Based (CRAB) model. The CRAB model employs an evolutionary perspective to provide a comprehensive view of the balances struck between the driving forces of economic agglomeration and the counteracting pressures of climate hazards. It focuses on the decision-making of heterogeneous agents, representing households and firms, as they navigate the choice of relocation between safer inland regions and hazard-exposed coastal zones.
Venturing further, I enhance the CRAB model to embody autonomous household adaptation behaviors, drawing from empirical data. Here, I challenge the traditional reliance on rational agents in sustainability models, unveiling a notable adaptation deficit when juxtaposed against boundedly-rational choices gleaned from real-world surveys. This nuanced exploration uncovers how varied adaptive capacities can potentially accentuate inequality and impede resilience.
Subsequently, I include in the CRAB model a layered risk strategy that encompasses an array of climate change adaptation measures. This refined model, enriched by extensive behavioral and flood data, bridges existing gaps in the current understanding of feedback loops and cascading effects triggered by flood shocks within a socio-economic system of boundedly-rational agents.
In conclusion, this dissertation pioneers a unique trajectory in understanding societal responses to the specter of flooding, offering invaluable insights and frameworks for devising future climate-resilient strategies.","Agent-based models,; resilience; flood risk; agglomeration forces; survey; climate change adaptation; distributional impacts; path dependency","en","doctoral thesis","","978-90-361-0736-5","","","","","","","","","Policy Analysis","","",""
"uuid:facb282f-74a1-446d-bbc6-5ed294602ed2","http://resolver.tudelft.nl/uuid:facb282f-74a1-446d-bbc6-5ed294602ed2","Microstructural phenomena in pearlitic railway steels","Mattos Ferreira, V. (TU Delft Team Maria Santofimia Navarro)","Sietsma, J. (promotor); Petrov, R.H. (promotor); Delft University of Technology (degree granting institution)","2024","The railway industry constantly seeks advancements in train speed, axle load capacity, reliability, and rail longevity. Rails undergo complex and severe loading during operation due to wheel/rail contact, resulting in two main damage mechanisms: rolling contact fatigue (RCF) andwear. Furthermore, frictional heating during wheel/rail contact causes local temperature rise, leading to microstructural processes on the rail surface, known as white etching layer (WEL) and brown etching layer (BEL). This project aims to gain insight into the microstructural changes in rail steels, with a primary focus on understanding the origins of detrimental surface layers like WEL and BEL. By achieving this understanding, the lifespan of the rails can be extended and the maintenance frequency can be reduced, which has significant effects on the sustainability of the railway network as well as overall life cycle costs. Additionally, the project explores the microstructural characteristics of recently developed steel grades with enhanced resistance to rolling contact fatigue....","","en","doctoral thesis","","978-94-6483-777-3","","","","","","","","","Team Maria Santofimia Navarro","","",""
"uuid:812de44e-36fb-4e5d-acf7-973f38d965de","http://resolver.tudelft.nl/uuid:812de44e-36fb-4e5d-acf7-973f38d965de","Design for urban vertical-axis wind turbines: balancing performance and noise","Brandetti, L. (TU Delft Wind Energy)","van Wingerden, J.W. (promotor); Watson, S.J. (promotor); Mulders, S.P. (copromotor); Delft University of Technology (degree granting institution)","2024","In urban areas, vertical-axis wind turbines (VAWTs) show promise due to their omnidirectional design, addressing challenges faced by traditional horizontal-axis turbines (HAWTs). Despite significant progress in urban VAWTs, extensive multidisciplinary research is needed to optimise their efficiency and use in such environments.
This dissertation addresses this gap in four aspects. First, a low-fidelity noise model based on state-of-the-art literature is developed, allowing fast, acceptable, and accurate predictions for preliminary design stages of the primary noise sources on an urban VAWT. Then, a wind speed estimator and tip-speed ratio (WSE-TSR) tracking controller is designed to maximise the power production of an urban VAWT in turbulent wind conditions. This WSE-TSR tracking controller turned out to be an ill-posed problem, impacting the turbine and controller performance in the presence of model uncertainty. Follows the presentation of an approach that combines frequency-domain analysis and multi-objective optimisation, demonstrating its effectiveness in assessing and calibrating torque control strategies, thereby contradicting earlier assumptions and establishing new perspectives on performance optimisation for real-world wind turbines. Based on these collective findings, a decision-making framework is derived, capable of striking a balance between VAWT performance and noise acceptance, allowing for the first time to consider psychoacoustic annoyance as a metric.
In summary, this thesis contributes significantly to advancing the understanding of the complex dynamics of VAWTs, specifically focusing on human acoustic perception nearby, laying the groundwork for the successful integration of VAWTs into urban landscapes.","vertical-axis wind turbines; aerodynamics; aeroacoustics; control; optimisation; noise","en","doctoral thesis","","978-94-6496-046-4","","","","","","","","","Wind Energy","","",""
"uuid:2c4f8ca0-f44a-485a-99d6-d7952c902fa2","http://resolver.tudelft.nl/uuid:2c4f8ca0-f44a-485a-99d6-d7952c902fa2","Tackling the weathering with low ranks: Handling the complex near surface of land seismic data with low-rank-based methods","Alfaraj, Ali (TU Delft ImPhys/Medical Imaging; TU Delft ImPhys/Verschuur group)","Verschuur, D.J. (promotor); Herrmann, F.J. (promotor); Delft University of Technology (degree granting institution)","2024","Imaging and inversion with seismic data recorded with sources and receivers at the surface are powerful tools to infer knowledge about the subsurface. However, creating an image with seismic data is unfortunately not as easy as taking a picture with a smartphone. The estimated subsurface models in many situations are far from ideal due to the low quality nature of the data. One of the reasons can be weathering of the near-surface geology that generates unconsolidated material characterized by slow velocity with rapidly varying, heterogeneous and season-dependent nature. Acquiring seismic data on such near-surface leads to complex wave propagation, posing challenges to imaging and inversion. In this dissertation, we tackle the weathering effects during seismic data processing, imaging and inversion with low-rank-based methods.
One approach to tackle the weathering effects on seismic data is removing them during seismic data processing. To do so for 2D data, we propose a model-independent low-rank-based near-surface estimation and correction in the midpoint-offset-frequency domain. In this domain, ideal data exhibit low rank structures, which get destroyed due to the influence of the weathering layers. Accordingly, the method makes use of the redundant nature of seismic data that allows for accurate approximation by low-rank matrices. To estimate the time shifts that compensate for the weathering effects, we cross-correlate a data set influenced by the near-surface weathering layers with its low-rank approximated version. Since we estimate time shifts (commonly referred to as statics) and no longer the directly low-rank approximated data, we avoid losses of the amplitude information. To improve the estimated statics and to alleviate the need for accurate rank selection for low-rank approximation, we implement the method in an iterative and multi-scale fashion. Since the low-rank approximation deteriorates at high frequencies, we utilize its better performance at low frequencies and exploit the common statics amongst different frequency bands. Using synthetic and field data, we demonstrate the performance of the proposed proposed, which requires no knowledge of the subsurface model, demands minimal data pre-processing, and provides accurate solutions with high computational efficiency compared to existing techniques.
When seismic data acquired on complex near-surface are additionally subsampled for economical reasons, such as monitoring of sequestrated carbon dioxide and hydrogen, the problem is further exacerbated. Both the weathering layers and randomized subsampling render coherent energy incoherent. Therefore, they both contribute to destruction of the low-rank structure commonly associated with statics-free densely-sampled data. Frugal data acquisition in complex near-surface regimes makes separation of the distinct sampling and weathering effects on the rank structure difficult, which as a result lead to poor reconstruction. To overcome that, we propose to reconstruct the data with joint rank-reduction-based near-surface correction and interpolation. The method simultaneously accounts for the weathering and subsampling effects to provide accurate reconstruction. Since low-rank approximation is used for near-surface correction, we also utilize it in rank-minimization interpolation as a cost-free initial solution to the optimization problem. As both near-surface correction and interpolation operate in the midpoint-offset domain, we avoid the cost of transformations back and forth from the source-receiver to midpoint-offset transform domain. Consequently, the proposed reconstruction, which shows its potential on synthetic and field data, additionally increases the computational efficiency.
While the aforementioned near-surface correction deals with 2D data, the Earth is a 3D object that requires acquisition of 5D data for proper subsurface model estimation. For 5D data, the limitations and challenges of conventional near-surface correction methods are magnified. To avoid them, we propose a 5D model-independent low-rank-based near-surface correction. To compute the singular value decomposition of 5D data volumes with 1 temporal and 4 spatial dimensions, which is necessary for low-rank approximation, we need to perform matricization of the 5D data, i.e. organization of the 5D data into matrices. At the same time, it is essential that the chosen organization domain reveals the underlying low-rank structure. Therefore, we first analyze different matricization domains that can be used to organize the 5D data. Similar to the 2D case, we show that --- in the potential domain --- the near-surface weathering layers render coherent energy incoherent, which results in slowly decaying singular values compared to the statics-free data that are of low-rank nature. The proposed method, which we show on synthetic and field data, enjoys the same benefits of the proposed method for 2D data, in addition to being able to capture the 3D nature of the Earth.
Due to the complex nature of the near-surface and due to its impact on the subsurface model, the near-surface model gets treated separately from the subsurface model. However, the optimal goal is not to remove the near-surface effects with data processing, but to accurately estimate near- and sub-surface models simultaneously. To do so, we use the inherent scale separation of joint migration inversion that estimates a low-wavenumber velocity and high-wavenumber reflectivity. Since rapid variations in surface elevation and near-surface model result in high wavenumber effects, they end up affecting the reflectivity model. At the same time, the estimated reflectivity influences velocity estimation. Consequently, JMI provides erroneous subsurface models in the presence of complex weathering layers. To mitigate that, we use multi-scale low-rank updates in the reflectivity domain. The proposed method reduces the near-surface effects at the initial iterations, but it allows more details of the near-surface model to enter the solution at later iterations. In the end, we estimate accurate near- and sub-surface models simultaneously without the need to bypass the weathering layers.","Near surface; Low-rank; Weathering; Interpolation; Imaging; Statics; Land seismic data; Velocity estimation; Inversion","en","doctoral thesis","","978-94-93330-65-8","","","","","","2025-03-06","","","ImPhys/Medical Imaging","","",""
"uuid:e508869c-25b6-41b1-b8f0-1b51a4180717","http://resolver.tudelft.nl/uuid:e508869c-25b6-41b1-b8f0-1b51a4180717","Wet Biomass Treatment: Energy and Sanitation System Concepts","Recalde Moreno Del Rocio, M.D.R. (TU Delft Energy Technology)","Aravind, P.V. (promotor); Boersma, B.J. (promotor); Delft University of Technology (degree granting institution)","2024","The global market for the wastewater treatment industry has been compelled to devise new concepts due to several factors. Currently, approximately 80% of untreated wastewater is discharged worldwide. The conventional technology for municipal solid waste treatment, which has been in use for over a century, is only partially effective in terms of effluent quality and energy balance. The highly flammable CH4 gas emitted from municipal solid waste landfills impacts the chemical composition of the atmosphere, potentially affecting the Earth. Thus, new concepts for wastewater treatment should address multiple social challenges related to energy and sanitation technologies. A well–implemented wastewater treatment system not only contributes to mankind wellbeing, which results in more effective population planning, but also ensures the optimal utilization of environmental resources.....","Solid oxide cell; exergy destruction; exergy efficiency; wet biomass; energy efficiency","en","doctoral thesis","","978-94-6473-422-5","","","","","","","","","Energy Technology","","",""
"uuid:bf291028-ef7e-4a6c-9a22-9bae9fde591c","http://resolver.tudelft.nl/uuid:bf291028-ef7e-4a6c-9a22-9bae9fde591c","Rethinking Privacy in the Age of Social Robots","Coggins, T.N. (TU Delft Ethics & Philosophy of Technology)","van de Poel, I.R. (promotor); Kudina, O. (copromotor); Delft University of Technology (degree granting institution)","2024","In the introduction of this thesis, I contend that robot ethics, as a research field, generally treats privacy as the appropriate distribution of information, and therefore overlooks privacy concerns raised by robots beyond this conceptualization’s purview. I illustrate this contention by evaluating a hypothetical case involving a household companionship robot via contemporary robot ethics literature focusing on privacy. I argue that this corpus cannot identify a variety of privacy concerns raised by such robots because it relies on a narrow interpretation of privacy that can only recognize privacy harms of an informational nature. I posit that privacy represents considerably more than implied by the interpretations offered by robot ethicists. Most crucially, it signifies our need to withdraw sporadically from social engagements. Considering that robots - like the one described in the case mentioned - simulate what it is like to interact with other humans, I argue that such machines will produce privacy concerns when they successfully create the impression that another person is present during moments when their users wish to be left alone. I highlight that some researchers from robot ethics have discussed issues of this kind but rarely frame them as privacy concerns, thus leaving a significant literature gap I attempt to fill via my research. I conclude the introduction by presenting a close reading of relevant privacy scholarship to evidence the claims made above and lay the theoretical foundation for the dissertation....","privacy; social robots; human-robot-interactions; housework; norms; performativity; robot ethics","en","doctoral thesis","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:2879077b-f96f-4380-800a-d796611ba26a","http://resolver.tudelft.nl/uuid:2879077b-f96f-4380-800a-d796611ba26a","Bridging Worlds: Augmented Reality for Pedestrian-Automated Vehicle Interactions","Tabone, W. (TU Delft Human-Robot Interaction)","de Winter, J.C.F. (promotor); Happee, R. (promotor); Delft University of Technology (degree granting institution)","2024","This thesis explores how automated vehicles will interact with pedestrians in the urban environment through augmented reality technology. Nine distinct AR interfaces were designed, developed, and evaluated to assess how different design elements (symbols, text, colour) and distinct mappings of the AR (on the road, on the vehicle, or head-locked) would affect comprehension, and ultimately whether the pedestrian would trust and be convinced to cross in front of an automated vehicle displaying a safe message. Using increasing levels of ecological validity, from an online questionnaire to a CAVE simulator and an AR HMD experiment, the evaluation also explored how different AR anchoring (and mapping) positions affect pedestrians' crossing initiation times and the intuitiveness of the message. The thesis also explores the use of diminished reality (removal of information) to assist pedestrians in occluded scenarios, as well as the utilisation of Large Language Models in evaluating qualitative data in experiments. The outcomes of the thesis are a set of guidelines based on empirical evidence on how to design effective AR interfaces which promote safe and transparent interactions between pedestrians and automated vehicles.","automated vehicles; Augmented Reality; pedestrians; interactions; virtual reality; simulators; Eye-tracking; Large Language Models; Interface design","en","doctoral thesis","","978-94-6496-045-7","","","","","","","","","Human-Robot Interaction","","",""
"uuid:8bd55ce1-adc7-486d-9bfa-7aa7b929bbe3","http://resolver.tudelft.nl/uuid:8bd55ce1-adc7-486d-9bfa-7aa7b929bbe3","Sand-Mud Morphodynamics","Colina Alonso, A. (TU Delft Coastal Engineering)","Wang, Zhengbing (promotor); van Maren, D.S. (promotor); Delft University of Technology (degree granting institution)","2024","The world’s coasts and deltas offer a multitude of valuable ecosystem services, providing safety against flooding and economic benefits. Many of these systems are, however, under pressure by climate change and increasing human activities. Protecting these systems and preservation of their multiple functions requires a thorough understanding of their morphodynamic behaviour. The sediment bed in many coastal systems worldwide is composed of two sediment types: sand and mud. While most previous research focused on the individual sediment dynamics of sand and mud, little is still known about how combined sand-mud morphodynamics differs from the sum of individual sediment fractions. In order to assess the impacts of anthropogenic interventions and climate change, we thus need to better understand sand-mud morphodynamics.
This research aims to improve the understanding of large-scale morphodynamics in sandmud tidal systems. This is done by investigating processes related to long-term deposition, sediment supply, sand-mud interaction, and segregation of sand and mud. We focus on generic idealized cases, as well as on case studies in the Wadden Sea — an example of a heavily-impacted system whose existence is threatened by sea level rise (SLR). Unique long-term data sets of its hydrodynamics, bathymetry and sediment composition are available, making this an excellent area to study the morphological responses to human interventions in detail, and to improve our understanding of sand-mud morphodynamics.
Analysis of the morphological evolution after a closure in the Western Dutch Wadden Sea (Chapter 2) illustrates the importance of distinguishing between the response of sandy and muddy sediments when analyzing the morphodynamic impact of an intervention. Our findings reveal that sand and mud respond on different temporal and spatial scales. Moreover, the results show that the contribution of mud to the total infilling was much larger than the average mud content in the top layer of the bed, because mud preferentially deposits in areas with high net sedimentation rates. This demonstrates that the contribution of sediment types to morphological change is not necessarily reflected by the spatial bed composition.
Up to now, the availability of mud to the Wadden Sea was poorly known, while we know that this availability is crucial for predicting the response to future climate change. Therefore, a first system-wide mud budget of the Wadden Sea has been developed (Chapter 3), revealing a nearly closed balance between the sources and the sinks. This observation implies that disturbing the mud balance at one location will impact downdrift areas. Anthropogenic sediment extraction provides the second largest sink, even surpassing salt marsh deposition. Field data suggest that a mud deficit already exists in some areas of the Wadden Sea, which will only become more pronounced with increased SLR rates. Mud is thus a finite resource similar to sand, and should be treated as such in sediment management strategies. Furthermore, local interventions may have consequences in downdrift areas, stressing the need for a cross-bordering perspective.
The influence of small-scale sand-mud interaction on large-scale modeled morphodynamic development has been studied by implementing two abiotic interactions (erosion interaction and roughness interaction) in a process-based model (Chapter 4). Model output was converted into metrics that describe the macro-scale configuration of the modeled systems, allowing a quantitative comparison of scenarios. The results demonstrate that sand-mud interaction can significantly impact tidal basin evolution, especially having a large influence on the intertidal flat shape, size and composition.
Lastly, we have seen that the mud content of the sediment bed in tidal systems is often bimodally distributed, indicating a preferential sand-mud segregation (Chapter 5). Bimodality represents the existence of two stable equilibrium conditions, which result from sediment deposition processes (and not erosion processes), and can be expected for a large range of suspended sediment concentrations in sand-mud systems. In order to correctly reproduce this bimodal character in process-based models, and therefore correctly modeling the bed sediment composition, one must account for erosion interaction in the model set-up — despite the role of deposition as a driving mechanism.
In conclusion, this dissertation illustrates the importance of a sand-mud perspective in morphodynamic studies, considering the contribution of both sediment types to the morphodynamic development as well as their interactions. We have seen that advancing our understanding of sand-mud morphodynamics requires combined data-based and modeling approaches, adopting a system-wide perspective, and considering the interactions between the various spatial and temporal scales. Morphological metrics, such as the ones that have been presented, are essential for the evaluation and comparison of model results and coastal morphology worldwide. Enabling successful and sustainable management of coasts and deltas will require further increasing our understanding of sand-mud morphodynamics through additional measurements and modeling studies. Developing a system understanding should be at the heart of all of these studies.","sand-mud; morphodynamics; tidal basins; Wadden Sea; numerical modeling","en","doctoral thesis","","78-94-6366-816-3","","","","","","","","","Coastal Engineering","","",""
"uuid:b265aa7e-fb37-42b9-9104-c00f5d3e0453","http://resolver.tudelft.nl/uuid:b265aa7e-fb37-42b9-9104-c00f5d3e0453","Smart Grid standards policy in context: A discursive-institutionalist analysis of government intervention in the European Union and the United States","Muto, M.S. (TU Delft Organisation & Governance)","Herder, P.M. (promotor); de Bruijn, J.A. (promotor); Delft University of Technology (degree granting institution)","2024","Starting around 2005 and for several years, the creation of a “Smart Grid” became a key element in the quest of policymakers to operationalize the goal of “sustainable development”. In official discourse, the Smart Grid promised improved energy security and a way to support the realization of ambitious targets on reduced carbon emissions and increased use of renewable resources. Additionally, the Smart Grid was presented with the lure of “green innovation” and jobs.
The imperative of realizing these vision(s) of the Smart Grid put unprecedented focus on the world of ICT standardization. Without an agreed set of interoperability standards, promising pilot projects would not scale in a meaningful way, and the European Union (EU) and the United States (US) federal government departed from established practice within this policy domain and intervened to encourage, coordinate and accelerate standardization activities.
This thesis explores how such a policy of intervention was constructed in EU and US official policy texts. It does this by building a conceptual framework with elements from discourse theory and neo-institutionalism that aims to understand the factors of policy change in a highly technical area in the absence of crisis or repeated policy failure. How is the need to develop an agreed set of ICT interoperability standards understood as a policy problem, and how is intervention in the standardization process legitimated? What does the policy response to the challenge of Smart Grid standardization say regarding current understandings about the proper role of government and the potential for industry self-organization in policy areas relating to new technologies?
In pursuing the above questions, this thesis contributes to our understanding of a field that is under-developed yet of growing importance. As our societies are increasingly attempting to solve important challenges through the large-scale application of ICTs (Smart Transport, Smart Homes, Smart Cities), we need a better understanding of policy alternatives that go beyond the typical dichotomy of legislation versus self-regulation.","","en","doctoral thesis","","","","","","","","","","","Organisation & Governance","","",""
"uuid:e76f59d3-6c81-417e-90bd-b5270a2c55ad","http://resolver.tudelft.nl/uuid:e76f59d3-6c81-417e-90bd-b5270a2c55ad","Interface-resolved simulations of dense particulate flows: Studies on sedimentation and slurry pipe flow","Shajahan, M.T. (TU Delft Multi Phase Systems)","Breugem, W.P. (promotor); Poelma, C. (promotor); Delft University of Technology (degree granting institution)","2024","Dense suspension flows, both in the natural environment and industrial settings, are complex phenomena with significant implications. From rivers shaping landscapes to industrial processes involving slurry transport, these flows hold a prominent position in numerous sectors. This thesis delves into a specific facet of these intricate flows: slurry transport within horizontal pipes. Slurry, a mixture of solid particles and a viscous fluid, presents a challenging arena due to its dynamic nature, encompassing multiple flowregimes and diverse phenomena that govern its behavior. This research seeks to unravel the complexities of slurry transport, presenting a comprehensive analysis using interface-resolved Direct Numerical Simulation (DNS). In the context of slurry
transport (also referred to as sediment transport), a horizontal pipe is a conduit where particles suspended in a viscous fluid are transported. The dynamics of this transport are governed by several dimensionless numbers, each highlighting distinct aspects of
the flow. Prominently, in this work we explore the role of the Reynolds number (Re) which encapsulates the balance between inertial and viscous forces, the Galileo number (Ga) which characterizes the competition between inertial and viscous effects in particle settling under gravity, and concentration of particles which has an influence on particle-particle and particle-fluid interactions. Key flow dynamics that determine the behaviour of the flow include turbulent mixing, gravitational settling of particles, and shear-induced particle migration due to particle-stress gradients. Practical applications of slurry transport are numerous, spanning industries such as mining, agriculture, and chemical processing. Slurry transport is of particular relevance to the dredging industry in the Netherlands to maintain its inland waterways and for land reclamation projects. However, pipeline operators grapple with issues ranging from pressure drop and the prevention of bed formation to the control of excessive pipe abrasion, silting risks, and production instability. These challenges stem from the intricate interplay of particle behavior, fluid dynamics, and pipeline geometry....","sediment transport; slurry flow; transport regimes; flow transition; secondary flow; turbulence modulation; multiparticle interactions; dense suspensions; sedimentation; path instabilities; wake-trapping; drafting-kissing-tumbling; kinematic waves; direct numerical simulation; immersed boundary method; soft-sphere collision model; high-performance computing","en","doctoral thesis","","978-94-6496-054-9","","","","","","","","","Multi Phase Systems","","",""
"uuid:667642da-a182-4b7c-bc10-864e4fc16674","http://resolver.tudelft.nl/uuid:667642da-a182-4b7c-bc10-864e4fc16674","Integration Technologies for Smart Catheters","Li, J. (TU Delft Electronic Components, Technology and Materials)","Dekker, R. (promotor); French, P.J. (promotor); Delft University of Technology (degree granting institution)","2024","Around 10% of the population will have to go through a catheterization procedure for the treatment of a cardiovascular disease at a certain stage of their lives. During such a procedure, smart catheters will be the ""eyes and ears"" of the surgeons, significantly improving the diagnosis and treatment. However, there have been very limited improvements and innovations in smart catheters over the past decade, as most smart catheters are manufactured with technical point solutions, and therefore cannot sustain themselves with enough production volume for continuous innovation. Consequently, Flexto- Rigid (F2R) was developed as an interconnect platformfor heterogeneous integration of electronic components in submillimeter formfactors. F2R is an open technology platformthat can serve many smart catheter applications from a variety of manufactures. It consists of multiple small and thin silicon islands connected by thin flexible interconnects, which allows devices and components to be mounted with standard assembly techniques or directly fabricated onto the F2R platform. This thesis presents innovations in F2R-based applications, integration, and process optimization for smart catheters. The first part of the thesis is an example of applying F2R for making a miniaturized device, a submillimeter optical data link module (ODLM). With smart catheters migrating from analog to digital instruments, an optical interposer is needed to realize highspeed optical data transmission. The biggest challenge is the form factor of the optical interposer, as it needs to fit into a catheter tip that is inserted inside human veins. This challenge falls exactly in the scope of F2R. The ODLM was fabricated, assembled, and integrated into an ICE catheter demo system. The second part of the thesis presents high-density embedded trench capacitor integration in the F2R platform. Compared to assembling discrete capacitors on F2R, embedded capacitors in the F2R substrate save space in the catheter tip and bring the decoupling capacitors directly underneath the ASICs, resulting in better performance. The work involved the trench capacitor process development, especially the high-aspect ratio (HAR) DRIE trench etching process. More importantly, the trench capacitor process was optimized to be compatible with the standard F2R process. The last part of the thesis presents the work on improving the fabrication process of the F2R platform. The largest bottleneck and most critical step of F2R is the ""buried trench"" process, which creates separated thin silicon islands. The buried trenches consist of thin oxide membranes, that are very sensitive to thin-film stress and other mechanical forces, resulting in reduced production yield. Cavity-BOX SOI eleminates the ""buried trench"" process by introducing a patterned buried oxide layer. The patterned buried oxide mask allows an intact wafer surface during the process until the final DRIE process, which separates the wafer in one go using this oxide mask. The production yield can be significantly improved using the cavity-BOX SOI for the F2R process. A deep brain stimulation (DBS) probe test structure was fabricated with the cavity-BOX SOI based F2R process to demonstrate the technology concept. A method to align the patterns on the wafer to the patterned buried oxide mask was developed.","Cardiovascular diseases; smart catheters; intravascular ultrasound (IVUS) catheter; Flex-to-Rigid (F2R); optical transmitters; optical interconnections; trench capacitors; HAR (High Aspect Ratio) DRIE; SOI substrate; cavity-SOI; cavity-BOX; buried hard-etch mask; miniaturization; deep brain stimulation (DBS); foldable devices; MEMS; Microfabrication; Microassembly","en","doctoral thesis","","978-94-6366-821-7","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:5d837786-9598-460b-b1cb-54dfe7008095","http://resolver.tudelft.nl/uuid:5d837786-9598-460b-b1cb-54dfe7008095","Microstructure development in a case-carburized bearing steel","Abraham Mathews, J. (TU Delft Team Maria Santofimia Navarro)","Sietsma, J. (promotor); Santofimia, Maria Jesus (promotor); Petrov, R.H. (promotor); Delft University of Technology (degree granting institution)","2024","WIND turbines play a crucial role in the global transition towards a sustainable energy future. Maximizing energy production and ensuring a reliable operation is essential to harnessing the full potential of wind energy. Among the critical components, the main shaft bearings have for several years been a focal point due to their significant downtime. In this context, a tribochemical treatment called case-carburization has gained notable attention for enhancing the microstructure of these bearings, to improve their reliability. Case-carburization is a surface treatment technique capable of modifying steel to exhibit a combination of properties such as high fatigue strength, toughness, and wear resistance, that are essential for these bearings as they operate in high-load-bearing environments. In a multi-stage heat treatment process involving case-carburization as the initial stage, the microstructure development at each stage is affected by the final microstructure of the preceding stage. Therefore, a comprehensive understanding of the microstructure at every stage is crucial for assessing its impact on the final microstructure and its properties. This Ph.D. research investigates the microstructure evolution throughout a four-stage heat treatment: carburization, sub-critical isothermal treatment, hardening, and tempering. The second stage is where the sole difference lies with regard to the heat treatment parameters, and is performed along two different routes, also in industrial practise, called the ""bainitic route"" and ""pearlitic route"". One of the primary goals of this research is to understand the microstructure development during the different stages of the two heat treatment routes and to provide an understanding of the microstructural features that can potentially affect the properties/performance of bearings. Additionally, this research also aims to identify the specific stage at which these features form and to provide insight into their formation mechanisms to explore strategies to rectify or mitigate the formation of detrimental features in the microstructure....","","en","doctoral thesis","","978-94-6384-542-7","","","","","","","","","Team Maria Santofimia Navarro","","",""
"uuid:0d57b4ce-42c2-423e-a4e0-b62b6a842a54","http://resolver.tudelft.nl/uuid:0d57b4ce-42c2-423e-a4e0-b62b6a842a54","Revitalizing CMUTs","Kawasaki, S. (TU Delft Electronic Components, Technology and Materials)","Dekker, R. (promotor); Giagka, Vasiliki (copromotor); Delft University of Technology (degree granting institution)","2024","CMUTs (Capacitive Micromachined Ultrasonic Transducers) are causing a technological revolution. Research over the last decade showed that CMUTs can sufficiently replace traditional ultrasound technology based on the bulk PZT, along with other benefits such as lower assembly cost, broader bandwidth and monolithic integration capability with ASICs. Furthermore, devices can be fabricated from with non-toxic materials and eliminate the environmental impact that is associated to PZT. As a result, in recent years we are seeing low-cost consumer level ultrasound imaging technology becoming available for point of care diagnostics devices from startup companies. However, surprisingly, CMUT technology adoption is still lagging behind what we would expect. Thus, in this thesis three novel CMUT applications are investigated to show-case the untapped potentials of CMUTs which should lead to further traction for the CMUT field. By reading this work it is my wish that the reader could understand the hugely prosperous future of CMUTs.","Ultrasound; MEMS; CMUT; ultrasound power transfer; pre-charged CMUTs; microfluidic particle separation; ultrasound neurostimulation","en","doctoral thesis","","978-94-6473-390-7","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:654f32ea-d3df-4804-8d67-eb2dd89d20e5","http://resolver.tudelft.nl/uuid:654f32ea-d3df-4804-8d67-eb2dd89d20e5","Securing Power Side Channels by Design","Aljuffri, A.A.M. (TU Delft Computer Engineering)","Hamdioui, S. (promotor); Taouil, M. (copromotor); Delft University of Technology (degree granting institution)","2024","The security of electronic devices holds the greatest importance in the modern digital era, with one of the emerging challenges being the widespread occurrence of hardware attacks. The aforementioned attacks present a substantial risk to hardware devices, and it is of utmost importance to comprehend the potential detrimental effects they may cause. Side-channel attacks are a class of hardware attacks that exploit information unintentionally leaked by a device during its operation. These leaks manifest in various forms, including power consumption, time variations, and thermal dissipation. The fundamental danger posed by side-channel attacks is their ability to infer sensitive information from these unintended emissions. To address the heightened risks associated with side-channel attacks, this thesis focuses on three main research topics.","Side Channel Analysis; Power Attacks; Countermeasures; Leakage Assessment Framework","en","doctoral thesis","","978-94-6384-544-1","","","","","","","","","Computer Engineering","","",""
"uuid:764408e4-72c1-4cf9-8bff-1ce20b8944b2","http://resolver.tudelft.nl/uuid:764408e4-72c1-4cf9-8bff-1ce20b8944b2","Interactive Intelligence: Multimodal AI for Real-Time Interaction Loop towards Attentive E-Reading","Lee, Y. (TU Delft Web Information Systems)","Specht, M.M. (promotor); Migut, M.A. (copromotor); Delft University of Technology (degree granting institution)","2024","E-learning has shifted the traditional learning paradigms in higher education, offering more flexible, ubiquitous, and personalized learning experiences. The previous years COVID-19 pandemic required a re-calibration of education to accommodate virtual learning environments from the traditional classroom-based education. Widespread learning platforms and digital devices have accelerated the adoption of e-learning , and now, it plays a central role in formal and informal education.","Machine learning; Deep learning; Computer vision; Multimodal reasoning; Learning Analytics; Human Attention; E-reading; Real-time feedback loop; Human-Robot Interaction (HRI); Human-Computer Interaction (HCI)","en","doctoral thesis","","978-94-6366-824-8","","","","","","","","","Web Information Systems","","",""
"uuid:3a1703bb-38e1-4449-9551-92367c3d416b","http://resolver.tudelft.nl/uuid:3a1703bb-38e1-4449-9551-92367c3d416b","Anaerobic digestion of excess sludge by cascade digesters","Guo, H. (TU Delft Sanitary Engineering)","de Kreuk, M.K. (promotor); van Lier, J.B. (promotor); Delft University of Technology (degree granting institution)","2024","The management and disposal of excess sludge is one of the main challenges for wastewater treatment facilities across the world. Anaerobic digestion (AD) is a widely accepted treatment method for stabilizing excess sludge due to its robustness, ability to reduce pathogens, and capacity to convert the biochemical energy enclosed in organic compounds into biogas. However, the efficiency of converting excess sludge organics into biogas using conventional continuous stirred tank reactors (CSTR) is relatively low, primarily due to the slow hydrolysis rate. Various enhancement technologies, including thermal, chemical, and enzymatic methods, have been developed to accelerate the hydrolysis rate. Among these, enzymatically enhanced hydrolysis has attracted attention for its advantages, such as the absence of toxic byproduct formation and the ability to operate under moderate conditions. However, the scaling-up of these methods to industrial scale presents ongoing challenges. The research in this dissertation explored the feasibility of an innovative cascade anaerobic digestion (CAD) technology, consisting of differently sized CSTR digesters in series. The overall objective of the CAD technology is to achieve enzymatically enhanced hydrolysis of excess sludge in the first reactor stages.","","en","doctoral thesis","","978-94-93353-61-9","","","","","","","","","Sanitary Engineering","","",""
"uuid:cc4494e6-df20-42c3-8e43-68dfeafc78b4","http://resolver.tudelft.nl/uuid:cc4494e6-df20-42c3-8e43-68dfeafc78b4","Adaptive Reuse of Urban Heritage in Contested Urban Contexts","Yarza Perez, A.J. (TU Delft Heritage & Architecture)","van der Hoeven, F.D. (promotor); Rocco, Roberto (promotor); Delft University of Technology (degree granting institution)","2024","The world is facing global challenges that are dramatically changing the social and physical environments, resulting in cultural confrontation. Rapid urban growth, and gentrification increase urban pressure while jeopardizing social cohesion, multicultural values and local economies. Moreover, environmental factors associated with climate change challenge the way cities respond
and adapt, as their assets have to be re-designed to meet the current and future generation needs.
One response to these challenges is adaptive reuse, the transformation of the function of an underused structure into a new use. This process turns the cities’ elements in decline into development catalysers. The adaptation to these changes is often a source of conflict, as urban policies lack citizen engagement in the redefinition of public space, resulting in more disagreement. This is particularly acute when addressing contested communities, as their continuous evolution directly influence the adaptation of cultural heritage.
Considering these aspects, this research question is responded: ‘How can socio-spatial conflicts that result from contested identities be mitigated through the adaptive reuse of urban heritage?’.
The relations between Adaptive Reuse, Urban Heritage and Contested Identities are studied, resulting in the research’s objective: to develop an integrative methodology to evaluate urban heritage adaptive reuse alternatives in contested urban contexts, using the case of Acre (Israel).
This final outcome is proposed as a tool for decision-makers and urban planners that provides information-based results to be applied in urban design practice, aiming to translate the theory into practice, and to bridge the gap between global goals and local issues.","Adaptive reuse; urban heritage; conflict; urban resilience; Acre; Israel","en","doctoral thesis","A+BE | Architecture and the Built Environment","978-94-6366-825-5","","","","","","","","","Heritage & Architecture","","",""
"uuid:16494021-9bd2-4089-8808-5ad9dffadc5d","http://resolver.tudelft.nl/uuid:16494021-9bd2-4089-8808-5ad9dffadc5d","The impact of third generation sequencing on haplotype assembly","Shirali Hossein Zade, R. (TU Delft Pattern Recognition and Bioinformatics)","Reinders, M.J.T. (promotor); Abeel, T.E.P.M.F. (promotor); Delft University of Technology (degree granting institution)","2024","The genome encompasses an organism’s full DNA, organized into chromosomes within the cell nucleus. Humans have 46 paired chromosomes, and within these pairs, genetic information is grouped as haplotypes—genetic packages passed from one generation to the next, ensuring genetic diversity. While DNA sequencing produces short fragments or reads, assembling these back into a complete genome can be complex. The presence of multiple, similar haplotypes in some organisms amplifies this complexity, emphasizing the need for specialized techniques to accurately capture these subtle genetic variations.
In this thesis, we dive into the de novo and haplotype assembly challenges. We aim
to tackle haplotype assembly challenges and find better ways to accurately assemble the genetic puzzle pieces. Along the way, we introduce a new tool for haplotype assembly designed to make the process more interpretable.","Haplotype assembly; Genome assembly; Third generation sequencing; Genome repeats","en","doctoral thesis","","978-94-6384-539-7","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:17b83ad6-3179-4a10-8194-90c4e6c768b2","http://resolver.tudelft.nl/uuid:17b83ad6-3179-4a10-8194-90c4e6c768b2","Printed spark ablation nanoparticle films for microelectronic applications","van Ginkel, H.J. (TU Delft Electronic Components, Technology and Materials)","Zhang, Kouchi (promotor); Vollebregt, S. (copromotor); Delft University of Technology (degree granting institution)","2024","This thesis is about the application and characterisation of spark ablation generated nanoparticles in microelectronics. It opens in chapter 1 with a general motivation of the need for advanced materials and for nanotechnology in particular. It then describes what nanoparticles are and why they are promising materials. Some of their advantages are a high chemical reactivity, a high specific surface area, and the display of quantum effects at that scale. The chapter ends by presenting the research questions and giving the thesis structure.
Chapter 2 provides a technological background for the rest of the thesis based. It describes several applications of nanoparticles within microelectronics not researched during this PhD project: as die-attach materials, chemical sensors, or catalysts. It continues with the description and discussion of several competing nanoparticle synthesis methods and goes in-depth on the theory of spark ablation generation. It describes the effects of various parameters that govern the mass generation rate, particle size, and composition. This theory is important to be able to interpret the results in the other chapters. Impaction deposition is then described in this chapter since it is the method of printing all samples in this thesis. It explains how this method prints dots or lines of nanoparticles, that they have a Gaussian cross-section profile, and how specific deposition parameters affect the deposit. Lastly, the chapter gives a detailed description of the spark ablation synthesis and deposition equipment with which all the experiments in this thesis are performed. The generator, components, gasses, pressures, and materials are all described with diagrams and specifications. Typical synthesis and deposition settings for the generation of Au nanoparticle deposits are given (1 kV, 5 mA, 1.5 L min.¡1 Ar or N2 and 1mmnozzle distance).
The first chapter with results, chapter 3, presents a method to measure the mass deposition rate of the nanoparticle printer. Measuring the mass of microgram scale deposits is challenging due to the high sensitivity required for an accurate measurement. Balances are sensitive to changes in pressure, temperature or humidity that can already give too big errors. One solution already applied in thin film deposition methods is the use of quartz crystal microbalances (QCMs). Their resonance frequency is dependent on their mass, and thus, we can use the frequency shift during deposition to measure a mass change. The Sauerbrey equation that is used for that conversion must be valid, so a special method was developed to comply to all of its conditions. A concentric circular pattern of Au nanoparticles was printed on 10 MHz QCMs to measure the mass deposition rate. It was found that the deposition rate scales linearly with the generation current of the spark, as expected from theory, but also showing the losses in the system are either constant or scale linearly too. The film density was surprisingly constant for all tested synthesis and deposition settings, at 15.95 g cm¡3, or a porosity of £p Æ 0.18. The density was compared to models presented in literature, and it is proposed that the impaction energy likely compacts the porous structure during deposition until this density is reached. The QCM method can be applied for process monitoring using commercially available equipment and open-source software.
The first applications of printed conducting nanoparticle films are discussed in chapter 4. It describes the conductive properties of such films and the effect of annealing on their conductivity. It was found that an untreated Au film conducts 22 times worse than bulk Au. Several applications are then discussed. Here it was demonstrated that printed Au nanoparticle lines can be applied as interconnect materials as an alternative to wire bonding. Next, a method was presented to miniaturize the deposits even further by using lithography and lift-off. This reached a line width at the minimum of the lithography equipment available, at 1.2 ¹m, without significantly changing the nanostructure.
Chapter 5 deals with the application of spark ablation generated nanoparticles as thermoelectric materials. It describes in detail the synthesis and characterization of Bi2Te3 nanoparticles and their thermoelectric properties. The main finding was that the thermal conductivity was drastically lower than bulk Bi2Te3 and comparable to the state of the art for Bi2Te3 nanostructured materials, reaching a minimum of 0.2Wm¡1 K¡1 at room temperature. Unfortunately, the electrical conductivity was reduced by at least a factor 1000, easily undoing any efficiency gains from reduced thermal conductivity. Suggestions are given to possibly improve this trade-off. Additionally, this chapter shows how quickly nanostructured materials like the ones in this thesis oxidize after synthesis. From the moment the sample is printed, it gains mass and loses conductivity, so this must be counteracted if a non-noble metal is to be applied.
The final chapter before the conclusions, chapter 6, showcases another application of printed nanoparticles: as UV-sensing material. It shows the results obtained using ZnO nanoparticles to create a UV sensor that is insensitive to visible light. The nanoparticles were deposited over electrodes to fabricate a resistor that has two orders of magnitude electrical resistance reduction when exposed to 265 nm UV light. The response was slow, with 79 seconds to reach 90% of the maximum response and 82 seconds to get back to 10% again. This is attributed to the adsorption and desorption of oxygen under the influence of UV light and can be prevented by packaging the sensor. The contact behaviour between the metal electrodes and ZnO nanoparticles proved to be too unpredictable to reliably create a Schottky diode, which would have had a higher response. This dissertation ends with a list of the conclusions, the answers to the research questions, and finally, some suggestions for future work.
State-of-the-art Earth system models are used for simulations, and results calculated with the EMAC model are subsequently compared with simulations performed elsewhere with the LMDZ-INCA model. The comparison to a third model, i.e. WACCM, with a very similar – but independent – model setup allows even further clarification. For model validation satellite measurements (ozone, water vapor) and aircraft measurements (ozone, water vapor, temperature) are taken into account.
After the introduction in the first chapter, the second chapter is a general description of the Earth system including anthropogenic perturbations, in particular perturbations from subsonic, supersonic and hypersonic aircraft emissions followed by a detailed explanation of methods and the EMAC model setup in the third chapter. A new research finding in the context of middle atmospheric chemistry is the increased methane and nitric acid oxidation following hypersonic emissions. This effect results in a (photo-)chemical net production of water vapor and eventually increases water vapor perturbations further, which is described in detail in chapter 4. In chapter 5 an analysis of atmospheric dynamics and transport of emitted trace gases in the middle atmosphere underlines the importance of the Brewer-Dobson circulation and shows the impact of polar stratospheric clouds on water vapor perturbations during polar winter. The evaluation of multiple hypersonic aircraft designed for different cruise altitudes shows that their climate impact increases with cruise altitude and can be approximately 10-20 times as much as a conventional aircraft (chapter 6). Emissions at different hypersonic cruise altitude and latitude regions show that the climate impact can vary more with latitude of emission than with altitude of emission (chapter 7). With rf_of_hypersonic_trajectories() a software was developed to estimate the climate impact of aircraft design and flight trajectory/network options in seconds based on robust results from Earth system modelling. Using the software it is shown that a cruise altitude optimization loop can reduce the overall climate impact of a state-of-the-art aircraft design (chapter 8).
There are two methodological highlights to mention in the context of the EMAC model. The first is a new MESSy submodel H2OEMIS, which was created as part of this thesis. H2OEMIS is an interface to include water vapor emissions in EMAC model simulations, which was not possible before. This submodel will generally be of interest for future evaluations of e.g. any vehicles emitting water vapor and the impact of volcanic eruptions with EMAC. The secondmethodological highlight is the application of a novel speed-up technique during simulation runs, which reduces the simulated years by twothirds. To conclude the summary, the four following points are important to take away. This thesis brought
• A new research finding on middle atmospheric chemistry: The identification of a chemical feedback that enhances the water vapor perturbation lifetime albeit an increasing chemical water vapor destruction
• A robust estimate of the climate impact of hypersonic aircraft for both specific aircraft designs and general atmospheric and radiative sensitivities showing a large altitude and latitude dependence
• An easily accessible tool for researchers and companies to estimate the climate impact of new hypersonic aircraft designs with low cost and low time
• An estimate how the development of hypersonic aircraft would contribute to a road map to a climate optimal aircraft industry compared to conventional aircraft
acoustodynamics (cQAD) are used to develop quantum acoustic devices that are coupled to superconducting qubits. cQAD enabled the demonstrations of quantum ground state cooling mechanical objects, generating mechanical Fock-states, and Schrödinger cat states of motion. This makes quantum acoustic devices appealing candidates for applications such as quantum metrology, information processing, and quantum memory.
This thesis focuses on the coupling between a planar superconducting transmon qubit and a high-overtone bulk acoustic resonator (HBAR) and explore its possibilities. Here,experimental demonstrations are shown where the transmon is used to drive the HBAR into a phonon lasing state making it a superconducting single-atom phonon laser. Furthermore, the transmon-HBAR device is used to probe the nature of ghost modes observed in strongly driven nonlinear systems.","cQAD; cQED; HBAR; Highovertone bulk acoustic resnotor (HBAR); Quantum acoustics; superconducting qubit; transmon","en","doctoral thesis","","978-90-8593-588-9","","","","","","","","","QN/Steele Lab","","",""
"uuid:15f5628b-9175-4ef3-8f39-41a97cb7749a","http://resolver.tudelft.nl/uuid:15f5628b-9175-4ef3-8f39-41a97cb7749a","System behaviour in prestressed concrete T-beam bridges","Ensink, S.W.H. (TU Delft Concrete Structures)","Hendriks, M.A.N. (promotor); Lantsoght, E.O.L. (promotor); Delft University of Technology (degree granting institution)","2024","About 70 prestressed concrete T-beam bridges, constructed in the Netherlands between 1953–1977, are still in use today with many located in the main highway network. This type of bridge consists of prefabricated and prestressed T-shaped beams, with an integrated deck slab, cross-beams and transverse prestressing. Even if these bridges are well maintained, two important factors demand the current need for assessment: (1) increased traffic loading and (2) potential lack of shear resistance. Using traditional assessment methods it was concluded that about 50% of these bridges do not fulfil the current design code requirements. However, this does not automatically imply that these bridges are structurally unsafe, since some potentially significant additional load-transfer mechanisms are not taken into account in a traditional assessment. This is strengthened by the observation that, in general, these bridges do not show any signs of distress....","compressive membrane action; arching action; T-beam bridge; collapse test; assessment; Nonlinear Finite Element Analysis","en","doctoral thesis","","","","","","","","","","","Concrete Structures","","",""
"uuid:8570eb94-279e-4a3c-b662-b999fdac517c","http://resolver.tudelft.nl/uuid:8570eb94-279e-4a3c-b662-b999fdac517c","Reader-friendly Edible Binarycodes and Sensors Based on Smart Hydrogel","Zhang, M. (TU Delft Engineering Thermodynamics)","Mendes, E. (promotor); Eral, H.B. (copromotor); Delft University of Technology (degree granting institution)","2024","Food and medicines are two of the most essential categories of goods for human beings, providing vital nourishment and healthcare. However, as these products are commercialized and distributed on a global scale, consumers face the threat of counterfeit and deteriorated products. In response, this dissertation presents four prototypes consisting of On-Dose-Authentication (ODA) binarycodes and battery-less indicators based on smart hydrogel that are edible and reader-friendly to address these issues.
First, a microfluidic platform for continuous synthesis of hydrogel microparticles with superparamagnetic colloids (SPCs) embedded at prescribed positions has been established. The shape of the cross-linked microparticle is independently controlled by stop-flow lithography, whereas the position of trapped SPCs is dictated by virtual magnetic molds made of 2D nickel patches facilitating magnetic trapping. The spatial positions of trapped SPCs collectively function as a binary code matrix for product authentication. The proposed magnetic microparticles will contribute to the development of soft matter-inspired product quality control, tracking, and anti-counterfeiting technologies. (Chapter 2)
Second, a Physical Unclonable Functions (PUF) algorithm was developed to enhance the ODA binary codes' safety level. This algorithm exploits the diameter and coordinates of spheres as input, abandoning color and intensity as inputs, enabling imaging using common illumination and low-magnification microscopy hence lifting the reading constraints to advanced labs that are usually found in other current graphical PUF systems. Two sets of Poly(ethylene glycol) diacrylate ODA-PUF tags that can be read out via this algorithm were fabricated. The sets are single-diameter PUF leveraging random distributed superparamagnetic colloids of identical diameters and multiple-diameter PUF utilizing vortexed sunflower oil drops of various diameters, respectively. The performance of the single-diameter system was investigated. It passed NIST Statistical tests, demonstrating sufficient randomness, ideal bit uniformity, Hamming distance, and device uniqueness. The encoding capacity of the single-diameter system was found to be $9.2\times10^{18}$, which can satisfy labeling the annual output of Aspirin. (Chapter 3)
Third, a humidity indicator has been created that mechanically bends and rolls itself irreversibly upon exposure to high humidity conditions. The indicator is made of two food-grade polymer films with distinct ratios of a milk protein, casein, and a plasticizer, glycerol, that are physically attached to each other. Based on the thermogravimetric analysis and microstructural characterization, the bending mechanism is a result of hygroscopic swelling and consequent counter diffusion of water and glycerol. Guided by this mechanism, the rolling behavior, including response time and final curvature, can be tuned by the geometric dimensions of the indicator. As the proposed indicator is made of food-grade ingredients, it can be placed directly in contact with perishable products to report exposure to undesirable humidity inside the package, without the risk of contaminating the product or causing oral toxicity in case of accidental ingestion - features that commercial inedible electronic and chemo-chromatic sensors cannot provide presently. (Chapter 4)
Finally, an alginate TTI bead that encapsulates betacyanin, a natural colorant extracted from purple pitaya, is proposed to continuously monitor and reflect the temperature history of the perishable products to diagnose the storage conditions. The instability of betacyanin is exploited to demonstrate undesirable temperature abuse through visual color changes. The thermochromic change of the purple pitaya extract and the pitaya-extract-encapsulated bead was investigated under various temperatures, pH, and gaseous atmosphere conditions. Experimental results show that the proposed TTI exhibits an irreversible thermochromic change under a wide operation temperature range up to at least 100 \textdegree C with negligible disturbance from the gaseous composition. (Chapter 5)","Anti-counterfeiting; Binary code; Smart hydrogel; PUF; Humidity indicator; Time-temperature indicator","en","doctoral thesis","","978-94-6366-812-5","","","","","","","","","Engineering Thermodynamics","","",""
"uuid:5c1b165c-4708-45fb-b12a-96b3f4f86f15","http://resolver.tudelft.nl/uuid:5c1b165c-4708-45fb-b12a-96b3f4f86f15","Supporting seat design for Smartphone use during travel","Udomboonyanupap, S. (TU Delft Applied Ergonomics and Design)","Vink, P. (promotor); Boess, S.U. (copromotor); Delft University of Technology (degree granting institution)","2024","This study investigates the impact of smartphone use on passengers' comfort during travel, focusing on train trips. The literature review reveals that smartphones have become the primary activity for train passengers, leading to discomfort and potential musculoskeletal issues, particularly in the neck, shoulders, arms, and back. The study aims to enhance the vehicle seat environment to alleviate these issues.
A questionnaire was administered to passengers, revealing that the main smartphone activities include listening to music, watching videos, reading, and texting. Most passengers prefer using smartphones with arm support, although a high discomfort score related to armrest use was noted. The study suggests exploring smartphone holders for watching videos and improving armrests for texting.
Passenger needs for the seating environment were collected through context mapping and co-creation techniques. Different age groups showed varied preferences in smartphone activities, with younger passengers and employees primarily using smartphones for entertainment, while older individuals engaged in diverse activities. The study emphasizes the importance of arm support, charging facilities, Wi-Fi, and considerations for special passenger groups like the disabled in future interior designs.
Chapters 5, 6, and 7 discuss design aspects to enhance smartphone comfort. An adjustable armrest is recommended, and experiments suggest an optimal trunk angle for smartphone use. A specially designed armrest reduced neck discomfort but increased discomfort in the upper arms, emphasizing the need for adjustable height. Chapter 8 provides specific recommendations for armrest height levels during various smartphone activities and proposes the use of smartphone holders.
In conclusion, the study suggests implementing adjustable armrests, smartphone holders, and considering the duration of smartphone use in future vehicle interior designs. These improvements aim to enhance body posture and reduce discomfort for passengers during smartphone usage. Further testing with end-users is recommended to validate these proposed solutions.","passengers; seat; comfort; design; smartphone use","en","doctoral thesis","","978-94-6366-811-8","","","","","","","","","Applied Ergonomics and Design","","",""
"uuid:5f21aff9-85e5-435e-8402-704263064e66","http://resolver.tudelft.nl/uuid:5f21aff9-85e5-435e-8402-704263064e66","Channel response of an engineered river to climate change and human intervention","Ylla Arbos, C. (TU Delft Rivers, Ports, Waterways and Dredging Engineering)","Blom, A. (promotor); Schielen, R.M.J. (copromotor); Delft University of Technology (degree granting institution)","2024","Humans have intervened in rivers for centuries. River engineering measures have aimed at protecting populations against flooding, ensuring reliable and safe navigation, providing freshwater for drinking, domestic and industrial use, irrigation, and energy supply, and providing opportunities for recreation. All around the world, measures such as channelization (i.e., channel narrowing and shortening), dam construction, or channel diversion have allowed for the proliferation of human settlements, technological progress, and an improved quality of life.
Despite the various socio-economic benefits of human intervention in rivers, engineering measures have side effects, often unaccounted for, or simply unknown before they manifest. This is because, by modifying the channel characteristics (geometry, planform, size of the bed surface sediment), or its controls (water discharge, sediment supply, base level), engineering measures alter the equilibrium state of a river. In response, rivers adjust toward the new equilibrium state through bed incision or aggradation, changes in channel width or sinuosity, or changes in the bed surface grain size distribution. This response may extend over hundreds of kilometers, and develop during decades to centuries....","rivers; channel adjustment; climate change; human intervention; Rhine","en","doctoral thesis","","978-94-6366-808-8","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:ef90d088-f7ac-4767-a926-3b4bac9497e9","http://resolver.tudelft.nl/uuid:ef90d088-f7ac-4767-a926-3b4bac9497e9","Alkaliphilic Life: Adaptation strategies by Caldalkalibacillus thermarum","de Jong, S.I. (TU Delft BT/Environmental Biotechnology)","van Loosdrecht, Mark C.M. (promotor); McMillan, D.G.G. (copromotor); Delft University of Technology (degree granting institution)","2024","Alkaliphiles thrive in environments with a pH of 8.5 or above, while maintaining an internal pH closer to neutral. Thus, alkaliphilic microorganisms have a proton gradient inverted with respect to the normal orientation. Intuitively, this would nullify the potential to generate energy via respiration with regularly oriented respiratory chains that rely on proton-coupled ATP synthases. Yet, alkaliphilic respiratory chains are oriented traditionally and are actively used. The question therefore is how they are able to create conditions conducive to such behaviour. In addition, attempts to answer that question will hopefully also clarify how alkaliphiles acidify their cytoplasm with respect to the exterior milieu in the first place. This thesis details methods required to study these questions and provides some answers regarding alkaliphilic life. This thesis focuses on a single category of alkaliphiles: the low-salt gram positive alkaliphiles. These microbes have just a single membrane, the proteins therein, and a cell wall to generate conditions suitable for energy generation and other transport mechanisms. In short, it can be regarded as the most basic system to study an alkaline, or basic, problem....","Alkaliphile; Membrane; Genomics; Proteomics; Lipidomics","en","doctoral thesis","","978-94-6361-963-9","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:5a44e49e-6df3-469b-b9a6-f19085188280","http://resolver.tudelft.nl/uuid:5a44e49e-6df3-469b-b9a6-f19085188280","Drivers’ Behaviour on Freeway Curve Approach: Different Angles, Different Perspectives","Vos, J. (TU Delft Transport and Planning)","Hagenzieker, Marjan (promotor); Farah, H. (promotor); Delft University of Technology (degree granting institution)","2024","This dissertation explores what road characteristics trigger drivers’ speed adjustments when approaching freeway curves. It combines speed prediction modelling and human factors research methods. The results show that drivers primarily consider visible cues such as the preceding roadway, deflection angle, and the number of lanes, as opposed to traditional factors like horizontal radius or speed signs, when starting to decelerate. The study advocates for integrating driver perspectives into road design.","Geometric freeway design; human factors; Curve driving","en","doctoral thesis","","978-90-5584-340-4","","","","","","","","","Transport and Planning","","",""
"uuid:a26f9d02-74db-4f87-b99b-5b226065c598","http://resolver.tudelft.nl/uuid:a26f9d02-74db-4f87-b99b-5b226065c598","Applications of Dynamic Covalent Bonds in Chemical Reaction Networks","Spitzbarth, B. (TU Delft ChemE/Advanced Soft Matter)","Eelkema, R. (promotor); van Esch, J.H. (promotor); Delft University of Technology (degree granting institution)","2024","Nature has inspired countless researchers in their quest to understand the phenomena we observe and utilise their findings to develop new technologies. This becomes especially apparent in systems chemistry, which heavily draws inspiration from natural systems in its pursuit for the understanding and development of chemical reaction networks (CRNs) with interesting properties. Today, CRNs play a big role in many sensors, amplification systems, transient materials, and more. Despite major advances in the field of CRNs, there is still a need for additional robust, versatile chemistries to allow for more diverse applications, both within systems chemistry and in other fields beyond, such as material science. This thesis aims to explore new applications of Dynamic Covalent Chemistry (DCvC)—typically utilised to make self-healing materials—in CRNs to allow for new applications drawing from the versatile chemistry used in DCv systems.","Chemistry; dynamic covalent chemistry; Catalysis; Self-healing material; Chemical reaction network","en","doctoral thesis","","","","","","","","","","","ChemE/Advanced Soft Matter","","",""
"uuid:e202a1f3-9c73-42d1-b7f6-d45f9631df74","http://resolver.tudelft.nl/uuid:e202a1f3-9c73-42d1-b7f6-d45f9631df74","Data assimilation in a LOTOS-EUROS chemical transport model for Colombia using satellite measurements","Yarce Botero, A. (TU Delft Atmospheric Remote Sensing)","Heemink, A.W. (promotor); Quintero Montoya, O.L. (promotor); Delft University of Technology (degree granting institution)","2024","When considering air quality, notably in South America, it seems that we are falling behind more developed regions in exacerbating the issue. This shortfall serves not just as observation, but as a warning, as air quality problems here are rapidly escalating. Nevertheless, by examining how other countries have addressed similar issues, we can prepare ourselves to tackle our own challenges. In this thesis we demonstrate how utilizing Data Assimilation DA we can reduce the uncertainty in some model uncertain parameters in an air quality model such as the LOTOS-EUROS Chemical Transport Model (CTM).....","Data Assimilation; Chemical Transport Model; Ensemble-based methods; Satellite data assimilation; Low-cost in situ measurements","en","doctoral thesis","","978-90-834024-2-0","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:3b11d3cc-96ad-469f-9f57-9a4050f1ec5a","http://resolver.tudelft.nl/uuid:3b11d3cc-96ad-469f-9f57-9a4050f1ec5a","The Potential of Small, Low-carbon, Zero-energy Housing: A Multidimensional Approach","Souaid, C. (TU Delft Urban Development Management)","Elsinga, M.G. (promotor); Visscher, H.J. (promotor); van der Heijden, H.M.H. (copromotor); Meijer, A. (copromotor); Delft University of Technology (degree granting institution)","2024","This thesis examines the potential of small, low-carbon, (near) zero-energy dwellings as a solution that would both address sustainability challenges and answer to the growing housing shortage in North-West Europe. It adopts a multidimensional outlook that encompasses institutional, social and technical aspects surrounding the dwellings. The institutional aspect is addressed through an investigation of financial, legislative, technical and cultural barriers to the implementation and uptake of small, low-carbon, zero-energy dwellings. A context specific approach is adopted taking into account contextual peculiarities for the formulation of more refined policy suggestions. The social dimension is addressed first from the perspective of market supply through an investigation of the perceptions of housing professionals. The distinction between perceived versus actual barriers identified by housing professionals is made highlighting a potential desynchronization between policy developments and local practice. Accordingly the study calls for innovation in information dissemination between policy and local practice and between housing professionals themselves. The social dimension is then addressed from the perspective of market demand through an investigation of consumers’ current housing preferences. The assumption stating that, due to an increase in smaller, elderly, and lower-income households, current housing preferences are leaning towards smaller dwellings is refuted underlining the importance of distinguishing between smallest and smaller dwelling sizes. Lastly, the technical dimension is addressed through conducting a partial life cycle assessment that focuses on the embodied carbon of the dwellings. Both downsizing and the use of low-carbon materials such as timber are investigated as embodied carbon reduction strategies. Together, the three dimensions provide a holistic evaluation of the potential of small, low-carbon, zero-energy dwellings as a solution while addressing the complexity in reaching sustainable outcomes.","Small housing; Institutional barriers; Perceived barriers; Multi-attribute utility theory; Housing preferences; Life Cycle Assessment (LCA); Embodied carbon emissions","en","doctoral thesis","A+BE | Architecture and the Built Environment","978-94-6366-810-1","","","","","","","","","Urban Development Management","","",""
"uuid:1019957f-906a-4ca3-9abe-e1ae9479ef4f","http://resolver.tudelft.nl/uuid:1019957f-906a-4ca3-9abe-e1ae9479ef4f","Coherent manipulation of normal and Andreev fermions","Vilkelis, K. (TU Delft QRD/Wimmer Group)","Akhmerov, A.R. (promotor); Wimmer, M.T. (copromotor); Delft University of Technology (degree granting institution)","2024","A large part of condensed matter physics concerns itself with understanding the behaviour of electrons in solids and finding ways to control them. However, in mesoscopic systems (i.e., systems with nanometer to micrometre scale), the behaviour of electrons is difficult to predict through the Schrödinger equation. Instead, it is often more fruitful to use an approximate semiclassical theory that re-introduces the concept of particle trajectories into the quantumworld. These trajectories not only depend on the applied external fields but also on the Fermi surface of the material itself. The control over the Fermi surface allows to engineer electron trajectories not present in classical physics and therefore leads to new novel phenomena. For example, in highly anisotropic materials with open Fermi surfaces, the semiclassical trajectories of electrons in a magnetic field are no longer closed but instead move in an oscillating open trajectory that travels from one sample edge to the next. These open trajectories result in magnetoresistance oscillations with a period proportional to the flux passing through the sample—similar to the Aharonov–Bohm effect. However, unlike the Aharonov–Bohm effect, the magnetoresistance oscillations here are not due to interference effects....","Andreev bound states; semiclassical methods; Majorana bound states; hybrid superconducting devices; Quantum transport","en","doctoral thesis","","978-94-6384-535-9","","","","","","","","","QRD/Wimmer Group","","",""
"uuid:3b23b63b-69be-4c0e-90c0-3312eae1d871","http://resolver.tudelft.nl/uuid:3b23b63b-69be-4c0e-90c0-3312eae1d871","Distributed Acoustic Sensing using straight, sinusoidally and helically shaped fibres for seismic applications","Al Hasani, M.M.K. (TU Delft Applied Geophysics and Petrophysics)","Drijkoningen, G.G. (promotor); Wapenaar, C.P.A. (promotor); Delft University of Technology (degree granting institution)","2024","Distributed Acoustic Sensing (DAS) is a versatile dynamic strain sensing method that has been adopted for a wide range of seismic applications. In DAS, optical fibres are interrogated and used as sensors, where a strain or strain-rate measurement is made along a specific length of the fibre, called the gauge length. Its main appeal is the spatially dense data over long distances. The main limitations of DAS, however, are that it is mainly sensitive along the axial direction of the fibre and that the signal-to-noise ratio is worse than that of standard geophones. The first issue limits its adoption in surface reflection seismic when the fibre is deployed horizontally. Also, due to the very nature of the measurement (i.e. elongation and contraction of the fibre), it is commonly considered as a single-component measurement, therefore it lacks the information from the other components.
This thesis studies the potential of obtaining multi-component information from DAS as well as investigating the use of combined fibre configurations for surface-seismic applications. We approach this by examining several fibre-shaping approaches with static and dynamic strain measurements. First, the concept of the sinusoidally shaped fibre is examined to make a directional strain sensor in a direction other than the fibres’ axial direction using a static-strain approach. Secondly, the combined use of straight and helically wound fibres for obtaining multi-component information from DAS data as well as assessing the usefulness of using such a combination is investigated in a surface-seismic setting.'
Using the sinusoidally shaped fibre, two approaches are investigated. The first approach involves the use of the sinusoidally shaped fibre embedded in a homogenous material. An analytical model is presented to describe what happens to the deformed fibre in three main directions, which was validated via a finite-element model. Along with the model, loading experiments were performed on a sinusoidally shaped fibre embedding in a polyurethane-type (i.e. called Conathane®) strip in the following directions: in-line (i.e. transversal in-plane with the sinusoidal fibre), broadside (i.e. perpendicular to the sinusoidal fibre), and along-strip (i.e. along the strip’s longest dimension). We saw that the fibre is mainly sensitive to the in-line and broadside directions, and it is slightly more sensitive in the in-line direction relative to the broadside direction. We also saw that the geometrical parameters of the fibre, as well as the mechanical properties of the embedding material, affect its directional sensitivity. This is exploited in the second approach where the embedding material is now adapted to a low Poisson’s ratio metamaterial as well as further adaptations in the geometry of the fibre, aiming to create a unidirectional strain sensor. Experimental results showed improvements in the sensitivity but not as much as predicted by the analytical or numerical modelling.
Using DAS in field settings, multiple configurations of straight (SF) and helically wound fibres (HWF) with different wrapping angles (α) were buried in a 2-m trench in farmland in the province of Groningen in the Netherlands. Significant amplitude differences are observed between the straight and helically wound fibres. It is observed that shaping the fibre into a helix dampens the amplitude inside the surface wave significantly. Also, a polarity flip is observed with the use of HWF with a wrapping angle of 30◦. This hints that there is a contribution of the vertical component on the response measured by the HWF as also supported by the theoretical models. The reflection response is also examined using a set of engineered SF and HWF fibres. The main seismic reflections are present in both fibres with higher amplitude in SF compared to HWF, contrary to what was expected. Also, using post-stack images we see that the SF and HWF provide reflection structural images comparable to surface-deployed geophones but with an (expected) lower signal-to-noise ratio. We show that the combined use of SF and HWF is useful, as reflections were better shown for the shallow section, unlike HWF which provided better reflections in deeper sections. Furthermore, we discuss the effect of gauge length on the retrieval of surface waves along with the use of different fibre shapes using active and passive sources.
With the active-source data, we show that the gauge length plays an essential role in the retrieval of surface waves depending on their wavelength range, as it might cause distortions in the waveform which appears as notches in the (frequency, horizontal-wavenumber)–domain, as well as complicates picking the dispersion curves of these waves. On the other hand, the helically wound fibres might require a longer gauge length to retrieve the surface wave properly. This decreased sensitivity of the helically wound fibres is also shown from virtual shots obtained by passive interferometry as well as a recorded earthquake in the area.","Acquisition; Distributed Acoustic Sensing; shaped fibres; field experiments","en","doctoral thesis","","978-94-6384-531-1","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:8fa9a391-46e0-41bc-abde-fb858409ca7f","http://resolver.tudelft.nl/uuid:8fa9a391-46e0-41bc-abde-fb858409ca7f","Continuous Chromatography of Biopharmaceuticals: Next Generation Process Development","Picanço Castanheira Da Silva, T. (TU Delft BT/Bioprocess Engineering)","Ottens, M. (promotor); Eppink, M. (promotor); Delft University of Technology (degree granting institution)","2024","The biopharmaceutical industry is moving from a batch to a continuous mode of manufacturing. This shift promises to reduce costs and manufacturing footprint while improving productivity and consistency of the product. This thesis implements miniaturized and automated high-throughput screening techniques alongside a mathematical chromatography model for the development of an integrated continuous chromatography process. The model is used for in-silico optimization of a capture and polishing step of a monoclonal antibody (mAb). The optimization focusses on chromatographic processes that would have to deal with higher titer solutions.
The transition to Integrated Continuous Biomanufacturing (ICB) is welcomed by industry and regulatory agencies, which are working together to accomplish this shift. Process development plays a crucial role in defining new processes or adapting existing processes to different modes of operation. High-Throughput Process Development (HTPD) has been used in the biopharmaceutical industry to accelerate and reduce costs of process development, by using miniaturized assays and performing computer-aided studies. However, the industry experiences gaps and sees opportunities for improvement in the HTPD tools that can help the transition to ICB. These gaps, together with a state-of-the-art of HTPD for ICB are presented in Chapter 2. Experts in the field identified microfluidics and modeling to be the most promising technologies to fill in the gaps in process development for ICB.
Subsequently, an overview on the state-of-the-art of automation and miniaturization for biopharmaceutical process development is given in Chapter 3. The focus is on different degrees of miniaturization and automation of the technologies for process development, for both Upstream and Downstream processing (USP and DSP, respectively). Liquid-Handling Stations (LHS) are the epitome of automation for process development, and have seen great adoption for the past decades. Examples of the use of this tool for USP and DSP process development are provided. A greater emphasis is placed on the often overlooked microfluidics and how it can also be used as a screening tool, and a SWOT analysis on LHS and microfluidics as potential process development tools is provided.
Further comparison between HTS tools for chromatographic process development is needed, since process development efforts for chromatography mostly rely on LHS-based experiments. Three methodologies are selected for this comparison: LHS, microfluidics, and Eppendorf tubes (Chapter 4). To achieve this, protein equilibrium adsorption isotherms are determined with each of the aforementioned methodologies. The microfluidics chip produced in-house provides a platform for resin screening that achieves liquid and resin volume reductions of 15- and up-to 200-fold, respectively. Accurate resin volume determination is ensured with an image analysis software, and resin consumption is as high as 200 nl in the microfluidics system. After validating the HTS methodologies, a cost consideration study aims at fairly comparing the three methodologies for their chromatographic process development potential. Although at a lower Technology Readiness Level, microfluidics can be a viable alternative tool when the protein to be studied is very expensive or scarce (such as in early stages of process development), due to the high degree of miniaturization. Furthermore, it is discussed what would be the possible applications of the different methodologies in chromatographic process development.
The HTS methodologies developed paved the way for the implementation of a HTPD approach for the study and optimization of continuous chromatography (Chapters 5 and 6). A large database on the adsorption equilibrium isotherms of mAbs to different protein A (ProA) and Cation-Exchange (CEX) resins is generated from experiments with a LHS. This database is then used to further reduce resin candidates to be used in subsequent experiments. Four resin candidates are used to study the equilibrium adsorption isotherms of mAb to ProA ligands with a clarified cell culture supernatant (harvest). It is shown that pure mAb experiments reflect the same adsorption behavior as harvest experiments for all resin candidates, reducing the need to duplicate experiments in the future. The parameters determined are further used in a mechanistic Lumped Kinetic Model (LKM), used for the in-silico study of column chromatography (Chapter 5). The LKM uses a lumped overall mass transfer parameter that is linearly dependent on feed concentration, in line with mass transfer theory. The hybrid approach to HTPD emphasizes the importance of computational, experimental, and decision-making stages in chromatographic process development.
The LKM model described is further developed for the study of continuous chromatography. The continuous model is used for the in-silico optimization of a 3-Column Periodic Counter-current Chromatography (3C-PCC) capture and polishing step, for the purification of mAbs from high-titer solutions (Chapter 6). The model maximizes Productivity and Capacity Utilization (CU) keeping the yield high (99%) and having the flow rate and the percentage of breakthrough achieved in the interconnected phase as constraints. The shape of the breakthrough curve plays an important role in the optimization of continuous chromatography. The optimization results are validated for three different ProA resins, from which the best resin candidate is selected to continuously capture mAb from a harvest solution. The eluates of this operation are pooled and used as input for the continuous CEX step. The experimental results show very good agreement with model’s predictions (lower than 7% deviation) and the proposed methodology helps to develop and optimize a continuous chromatography process in a short amount of time.
In summary, this thesis presents the exciting journey of process development for continuous chromatography, from conceptualization and selection of screening techniques until the end result of performing an optimized continuous chromatographic step for the successful capture and polishing of a mAb.","","en","doctoral thesis","","978-94-6366-802-6","","","","","","2024-02-02","","","BT/Bioprocess Engineering","","",""
"uuid:90f0c7fe-34db-45f3-bd2b-7fec91075d20","http://resolver.tudelft.nl/uuid:90f0c7fe-34db-45f3-bd2b-7fec91075d20","Learning Human Preferences for Physical Human-Robot Cooperation","van der Spaa, L.F. (TU Delft Learning & Autonomous Control)","Kober, J. (promotor); Babuska, R. (promotor); Delft University of Technology (degree granting institution)","2024","Physical human-robot cooperation (pHRC) has the potential to combine human and robot strengths in a team that can achieve more than a human and a robot working on the task separately. However, how much of the potential can be realized depends on the quality of cooperation, in which awarenes of the partner’s intention and preferences plays an important role. Preferences tend to be highly personal, and additionally depend on the cooperation partner and the cooperation itself. They can be hard to define in terms a robot would understand, and may change over time. This thesis focuses on learning ‘useful models’ from observed behavior, to let our robot adapt its behavior to better match its human partner’s preferences, and thus improve the cooperation.
The aim is to capture personalized approximate models of human preferences –how a person likes to do something– from very few interactive observations, providing only small amounts of imprecise data, such that the robot can use the model to improve each user’s comfort. First, we learn a model to predict and optimize the human ergonomics in a pHRC task, such that our robot can ropose a plan, for both the human and itself, to solve the task in a way that is more ergonomic for its human partner. However, people do not necessarily prefer to act ergonomically, nor do we want to impose on them what a robot thinks best. Therefore, next, we apply inverse reinforcement learning (IRL), to capture less restrictive preference models: 1) path and velocity preferences for motion planning, and 2) on a higher level of abstraction, which (grasp or motion) action to initiate for proactive physical support. For learning to take the correct action in cooperation, we developed the disagreement-aware variable impedance (DAVI) controller to smoothly transition between providing active guidance and allowing the human to demonstrate alternative behavior.....","Physical Human-Robot Interaction; Human-Robot Collaboration; human preferences; human-centered planning; Inverse Reinforcement Learning","en","doctoral thesis","","978-94-6483-764-3","","","","Dr. M. Gienger contributed significantly to the realization of the dissertation.","","","","","Learning & Autonomous Control","","",""
"uuid:34b2de43-c1c3-40d9-869b-9ce7ce572e3e","http://resolver.tudelft.nl/uuid:34b2de43-c1c3-40d9-869b-9ce7ce572e3e","Logarithmic law of large random correlation matrices","Parolya, N. (TU Delft Statistics); Heiny, Johannes (Ruhr-Universität Bochum); Kurowicka, D. (TU Delft Applied Probability)","","2024","Consider a random vector y = Σ 1/2 x, where the p elements of the vector x are i.i.d. real-valued random variables with zero mean and finite fourth moment, and Σ 1/2 is a deterministic p × p matrix such that the eigenvalues of the population correlation matrix R of y are uniformly bounded away from zero and infinity. In this paper, we find that the log determinant of the sample correlation matrix R based on a sample of size n from the distribution of y satisfies a CLT (central limit theorem) for p/n → γ ∈ (0, 1] and p ≤ n. Explicit formulas for the asymptotic mean and variance are provided. In case the mean of y is unknown, we show that after re-centering by the empirical mean the obtained CLT holds with a shift in the asymptotic mean. This result is of independent interest in both large dimensional random matrix theory and high-dimensional statistical literature of large sample correlation matrices for non-normal data. Finally, the obtained findings are applied for testing of uncorrelatedness of p random variables. Surprisingly, in the null case R = I, the test statistic becomes distribution-free and the extensive simulations show that the obtained CLT also holds if the moments of order four do not exist at all, which conjectures a promising and robust test statistic for heavy-tailed high-dimensional data.","CLT; dependent data; large-dimensional asymptotic; log determinant; random matrix theory; sample correlation matrix","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-05","","","Statistics","","",""
"uuid:5f831793-2dbc-4b24-9d92-1441f2d8ba16","http://resolver.tudelft.nl/uuid:5f831793-2dbc-4b24-9d92-1441f2d8ba16","Optimizing Routing and Fleet Sizing for Flash Delivery Operations","Kronmüller, M. (TU Delft Learning & Autonomous Control)","Alonso Mora, J. (promotor); Babuska, R. (promotor); Delft University of Technology (degree granting institution)","2024","In recent years, Flash Delivery services have gained great popularity. Flash Delivery is a service where goods of daily need can be ordered on-demand and subsequently are delivered to the customer within a short time window, for example, in the next ten minutes. Operational efficiency and cost management are vital for sustainability in this competitive landscape, especially in the long term. To this end, this thesis aims to improve operational planning for Flash Delivery Operations. It focuses on two fundamental questions critical for the success of Flash Deliveries: the associated Vehicle Routing Problem and the associated Fleet Sizing Problem. The Vehicle Routing Problem aims to determine how to best utilize a given fleet of vehicles to deliver the requested orders efficiently, while the Fleet Sizing Problem involves finding the optimal number of vehicles required to serve the given demand. The primary objective of this dissertation is to provide algorithmic contributions, specifically focusing on optimizing vehicle routing and fleet sizing for Flash Delivery services.
First, the Flash Delivery Problem is formally defined and modeled as a Markov Decision Process. This serves as the basis for the dissertation's research and subsequent investigations. The thesis then proposes a novel routing algorithm for Flash Deliveries from multiple depots, which effectively handles multiple depots for order pick-up and dynamically determines the optimal depot for each order. The depots are distributed within the city, for example, using existing stores, this differs from other logistical processes using large warehouses outside of the city. Additionally, this approach allows vehicles to visit depots to load additional orders before distributing their loaded ones, resulting in more agile planning. The scalability of this method is demonstrated in scenarios involving thousands of orders and tens of vehicles.
The proposed routing method is then extended to accommodate heterogeneous vehicles and heterogeneous modes of transportation. Experiments using a fleet featuring trucks and drones demonstrate that this approach serves more orders while requiring less total traveled distance compared to a state-of-the-art method for heterogeneous vehicles. The effects of fleet size and fleet composition between drones and trucks are also examined. More drones were able to deliver more requests at the cost of an increase in traveled distance.
The Fleet Sizing Problem represents the second major challenge addressed in this dissertation. The balance between having too many vehicles, which can be very expensive, and having too few, which leads to unmet promises and undelivered orders, is crucial for operational success. Typically, the Fleet Sizing Problem involves a fixed set of tasks with no flexibility in their execution. However, this thesis introduces a novel problem, adding flexibility in time through the allowance of slight delays in individual transportation tasks. We propose modeling and solving the novel problem as a Mixed Integer Linear Program. By incorporating this flexibility, the problem opens up a broader trade-off space between the required number of agents, traffic, and added delays. As a result, fleet sizes can be significantly decreased. To illustrate the practical application of this algorithm, a case study involving taxi rides in Manhattan is presented.
To conclude this thesis, fleet sizing is combined with the previously proposed routing methods for Flash Delivery, resulting in a novel approach. Our method groups individual delivery requests and generates optimized operational plans using a variation of the earlier proposed routing techniques. These plans are then used for fleet sizing. To assess the effectiveness of our approach, we compare it against applying routing and fleet sizing separately. The results clearly demonstrate the value of our proposed method.
Our experimental analysis is based on a real-world dataset provided by a Dutch retailer, allowing us to gain valuable insights into the design of Flash Delivery operations.
In summary, this thesis makes significant contributions to the operational optimization of Flash Delivery services by addressing key challenges in vehicle routing and fleet sizing. We propose novel methods to improve efficiency and effectiveness in planning Flash Delivery operations.","","en","doctoral thesis","","978-94-6384-533-5","","","","","","","","","Learning & Autonomous Control","","",""
"uuid:e5f2d517-f492-4f85-9725-72e9884549b3","http://resolver.tudelft.nl/uuid:e5f2d517-f492-4f85-9725-72e9884549b3","Seismic acquisition analysis and design using multiple reflections","Revelo Obando, B.A. (TU Delft Applied Geophysics and Petrophysics)","Verschuur, D.J. (promotor); Wapenaar, C.P.A. (promotor); Blacquière, G. (promotor); Delft University of Technology (degree granting institution)","2024","Seismic survey design deals with determining the acquisition parameters that lead to the best possible imaging and characterization of the subsurface. The design of the survey is constrained by health, safety and environmental considerations and the available budget, seeking for a balance between quality and cost. Because seismic exploration is a widely used geophysical method for revealing underground resources, information about the subsurface is available in many areas. Therefore, it can potentially be used for purposes supplementary to exploration such as the monitoring of producing fields and fluids injection. However, the available budget for these purposes is usually lower than for exploration, and it becomes a priority to maximize the benefits derived from a potentially cheaper acquisition. In this thesis, we propose new methods for the analysis and design of seismic surveys that are based on previous knowledge from existing subsurface models and that aimto maximize image quality with the lowest acquisition efforts.","Seismic acquisition; Imaging; Inversion; Optimization","en","doctoral thesis","","978-94-6384-532-8","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:e92fbd81-5b7e-4d40-8c22-75f56486639e","http://resolver.tudelft.nl/uuid:e92fbd81-5b7e-4d40-8c22-75f56486639e","Anaerobic membrane bioreactor (AnMBR) for the treatment of lipid-rich dairy wastewater","Szabo Corbacho, M. (TU Delft Sanitary Engineering)","van Lier, J.B. (promotor); Hernandez, Hector Garcia (promotor); Delft University of Technology (degree granting institution)","2024","The ongoing growth of the global population has led to increased resource consumption, particularly in the realm of water resources, resulting in potential shortages and environmental concerns. The surge in industrialization has intensified the demand for freshwater, consequently causing significant contamination of global water sources through the discharge of industrial wastewater. This wastewater contains harmful contaminants, such as heavy metals and organic compounds, which pose significant threats to both aquatic ecosystems and human health (Corcoran, 2010). To effectively address this issue, it is imperative to strengthen regulatory measures, promote industrialized initiatives for wastewater reduction and treatment, and foster technological
advancements in wastewater management.
Lipids within wastewater systems present both opportunities and challenges. Their high energy content holds promise for bioenergy conversion, yet they can also disrupt anaerobic wastewater treatment processes. Consequently, it is often advisable to extract lipids before commencing biological treatment processes (Alves et al., 2009). Lipids are commonly referred to as fats, oils, and grease (FOG) (Cavaleiro et al., 2008). At the core of FOG composition are triglycerides, formed through the esterification of glycerol with long-chain fatty acids (LCFA) (Alves et al., 2009). Within lipid-rich wastewaters, the prevailing LCFAs identified include palmitic acid (C16:0) and oleic acid (C18:1), as highlighted by Hwu et al. (1996). Anaerobic digestion (AD) plays a central role in advancing various sustainable development objectives by seamlessly integrating energy and resource recovery from organic residues and wastewater, all while effectively managing pollution. AD's ability to produce renewable gaseous energy, recycle essential nutrients, and minimize excess sludge production, combined with an enhanced understanding of microbiology and ecophysiology, has propelled AD technologies to the forefront. These technologies now serve as environmentally friendly treatment options for a wide range of wastes and wastewaters, as evidenced by their widespread adoption at the global level (van Lier et al., 2020). Sustainable and efficient conversion of these waste lipids into methane within anaerobic reactors is met with impediments including adsorption, sludge flotation, washout, and inhibition. However, these complications can be circumvented through feeding protocols, optimized mixing, and adept solid separation methods, underpinned by cutting-edge reactor designs and operational methodologies. More recently, developments such as the anaerobic membrane bioreactor (AnMBR) and flotation-based bioreactors have emerged as solutions tailored for lipid-intensive wastewater treatment (Cavaleiro et. al., 2008). AnMBR, a nexus of anaerobic digestion and membrane filtration, has proven particularly adept for dairy wastewater treatment. It alleviates the challenges tied to gravity-based separation, yielding effluents devoid of suspended solids and of superior quality (Judd, 201).
The central focus of this research centered on the assessment of solids retention time (SRT) and its critical role in the operational parameters of AnMBR. This was accomplished by studying sludge filterability and membrane filtration performance. Additionally, we investigated how the acclimatization of biomass impacted the transformation of longchain fatty acids (LCFA) in lipid-rich wastewater. Initial evaluations emphasized the role of SRT on AnMBR efficiency during the treatment of synthetic dairy wastewater laden with lipids. Employing two distinct AnMBR configurations with SRTs of 20 and 40 days, both systems manifested approximately 99%efficiency in waste removal at an organic loading rate of 4.7 g COD L-1 d-1. Significantly,lipid sedimentation was absent, facilitating their continued anaerobic degradation. LCFAaccumulation was minimal in both systems, with the 40-day SRT configuration showing slightly enhanced biological conversion and stability. Subsequently, the study delved into the effects of SRT on the filtration efficacy of AnMBR using lipid-rich synthetic dairy wastewater. When confronted with 40-day SRT, the system encountered elevated pressures and resistances, presumably due to escalated contaminant levels, including fats, oils, and LCFAs. While both systems showcased analogous filterability, the 20-day configuration exhibited superior membrane performance, suggesting potential membrane operational refinements for the 40-day SRT. Lastly, the influence of LCFA on anaerobic sludge processes was investigated. Trialing three distinct sludge samples—two lipid-acclimated and one non-acclimated—they were exposed to varying oleic and palmitic acid concentrations, ranging between 50 to 600 mg COD/L. Oleic acid showed superior degradation capabilities compared to palmitic acid across all samples, with heightened methane production. Lipid-acclimated sludges demonstrated augmented LCFA degradation potential. However, upon reaching LCFA concentrations beyond 400 mg/L, degradation of both acids into intermediate products was inhibited, albeit without affecting methane production. Intriguingly, specific bacterial taxonomies associated with LCFA degradation were identified in lipid-acclimated sludge samples, underscoring the potential of sludge adaptation strategies in enhancing anaerobic treatment of lipid-rich effluents.
In this doctoral research, we elucidated the prospects and challenges associated with the utilization of AnMBR for treating lipid-rich dairy wastewater. We highlighted the critical importance of Solid Retention Time (SRT), a key operational parameter that exerts a profound influence on both the biological and membrane aspects of the system.
Furthermore, our study underscored the paramount role played by the two most prevalent Long-Chain Fatty Acids (LCFAs), namely oleic and palmitic acid, within the domain of anaerobic digestion.","","en","doctoral thesis","","978-90-73445-58-1","","","","","","","","","Sanitary Engineering","","",""
"uuid:140e7b0d-5b24-4e1f-8aa8-fe6edcfd735d","http://resolver.tudelft.nl/uuid:140e7b0d-5b24-4e1f-8aa8-fe6edcfd735d","Optimizing quantum error correction for superconducting qubit processors","Varbanov, B.M. (TU Delft QCD/Terhal Group)","Terhal, B.M. (promotor); DiCarlo, L. (promotor); Delft University of Technology (degree granting institution)","2024","The theory of quantum mechanics describes many phenomena that may initially seem to be counter-intuitive and, in some cases, impossible, given the understanding of classical mechanics that most of us are more intimately familiar with. Following its initial introduction, there was a great deal of debate among scientists regarding the predictions made by this theory. The strange nature of quantum mechanics has led to many memorable quotes and the use of “spooky” to describe some of these predictions. Since its initial introduction, quantum mechanics has been rigorously tested and has proven to be quite a successful theory. Quantum mechanics has found many different applications and has led to the existence of devices and technologies we use daily. Another potential application of quantum mechanics is quantum computation, which Richard Feynman first put forward as an idea in 1982. Quantum computers have the potential to solve specific problems that can be infeasible for even the most powerful (classical) supercomputers and have potential applications in many different areas, such as quantum chemistry, cryptography, and optimization. However, performing a quantum computation is challenging and requires overcoming the inherent fragility of quantum systems. Storing information in a quantum system requires it to be well isolated from the environment to avoid any unwanted interactions that can corrupt the stored data. Unfortunately, at the same time, we need the ability to control this system, make it interact with other such systems, and ultimately measure it for us to perform an actual computation. This is a universal issue and all of the systems we have so far developed to be used as quantum bits (qubits) have been plagued by noise. Each operation applied to the qubit or even the act of leaving the qubit idling for some time generally leads to an error with a non-negligible probability. The impact of this noise has so far prevented quantum computers from performing any practical computation. While substantial efforts have been made to reduce these physical error rates over the past several years, we are still far from the universal fault-tolerant quantum computers we ultimately strive for. Fortunately, quantum error correction can help us reach the low error rates necessary for quantum computers to realize their potential applications in the future. This can be achieved by storing the quantum information in a logical qubit instead of a noisy physical one. When using a stabilizer code, which will be the focus of this dissertation, this logical information is distributed over many (noisy) physical qubits, referred to as data qubits. Another set of qubits, the so-called ancilla qubits, is used to perform indirect parity measurements, which do not destroy the stored information but give some information about whether an error has occurred. We then try to interpret this information to identify what errors have happened and correct them, which is done by a classical algorithm referred to as the decoder. Increasing the number of physical qubits used to encode the logical qubits allows more physical errors to be detected and corrected. The number of correctable errors is captured by the distance of the code, defined as the minimum number of physical single-qubit errors that constitute a logical error. One of the critical properties of error correction is the ability to reduce the logical error rate by increasing the code distance, which requires the physical error rates to be below some threshold value. The valiant experimental effort over the years has led to several recent experiments that implement various error-correcting codes and demonstrate the reduction of the error rates promised by error correction. In particular, these experiments (and the experiments leading up to them) identified several noise sources that had not been explored in sufficient detail and could significantly impact the logical performance of the code. In this dissertation, we explore the impact of the noise encountered in transmon-qubit devices on the performance of error-correcting codes, namely the surface code. Transmon qubits are, in practice, multi-level systems, and only the lowest two energy levels are used for computation. Unfortunately, they are also weakly anharmonic, leading to the applied operations having some probability of exciting the qubit outside of this computational subspace, referred to as a leakage error. We explore the impact of leakage in both simulations and experiments and develop schemes to mitigate it. We also consider other approaches to improve the logical performance or to reduce unwanted interactions. In Chapter 2, we develop a realistic model of leakage induced by the two-qubit gates between flux-tunable transmon qubits. We show that leaked qubits effectively spread errors on their neighboring qubits, which are then detected by the parity measurements. We show that a Hidden Markov model can detect the increased error rate due to leakage. This enables us to post-select out runs during which any qubit has leaked to restore the code performance. Unfortunately, post-selection is ultimately not scalable. Instead, it is desirable to have operations that return leaked qubits to the computational subspace. These operations are called leakage-reduction units and convert leakage into a regular error. In Chapter 3, we propose a leakage-reduction scheme, which does not require any overhead in the time needed to perform the parity measurements or an overhead in the quantum hardware. For data qubits, we propose an operation that transfers the leakage to a dedicated readout resonator, where it can quickly decay. This operation is designed to not disturb the computational states, allowing it to be applied unconditionally. For the ancilla qubit, we use the fact that measurements can determine if a qubit is in the leaked state. We then apply a conditional operation to return the qubit to the computational subspace whenever it is measured to be leaked. Using detailed density-matrix simulation, we show that this scheme can be easily implemented to remove qubit leakage from the system, mitigating its impact on the logical performance of the code. In Chapter 4, we realize the data-qubit leakage reduction unit in an experiment and show it can also be used to remove ancilla-qubit leakage, removing the need for fast conditional operations and readout that distinguishes the leaked states. We show that these operations can remove most of the leaked population in about a hundred nanoseconds while having a negligible impact on the computational subspace. We also demonstrate that these operations decrease the number of observed errors by a two-qubit parity check, showing that the effect of leakage can be mitigated. Chapter 5 considers an architecture employing two types of superconducting qubits, the transmon qubit and the fluxonium qubit. These qubits have very different frequencies, making it unclear whether these qubits can even interact with each other in the first place. We show that the interactions with the higher-excited states can be utilized to perform operations between them, and we propose two types of gates. In practice, qubit frequencies are targeted with only a certain precision in fabrication. In certain cases, this can lead to unwanted interaction between qubits that increase the physical error rates, referred to as frequency collisions. We show that the large detuning between these qubits reduces the frequency of frequency collision, thereby increasing the expected fabrication yield. In Chapter 6, we realize a distance-two surface code experiment and perform repeated parity measurements to detect and post-select errors, given that it’s impossible to correct them when using such a small code. We implement a suite of logical operations for this code, including initialization, measurement, and several single-qubit gates. In the context of error detection, a logical operation is said to be fault-tolerant if the errors produced by each operation are detectable. We show that fault-tolerant variants of operations perform better than non-fault-tolerant ones. We also characterize the impact of various noise sources on the code performance. In Chapter 7, we look at another small-distance code, in this case, the distance-seven repetition code. We show that increasing the distance weakly suppresses the logical error rate of the code. We investigate the limiting factors behind the observed logical performance by analyzing the correlation between the observed parity measurements and performing simulations using noise models parameterized by the measured physical error rates. Chapter 8 considers a decoder that can perform the error inference more accurately. In particular, we implement a neural network decoder and investigate how it performs on experimental data from surface code experiments. We show that the accuracy of this decoder approaches what can be achieved by an optimal and computationally inefficient tensor network decoder. Transmon measurement produces analog outcomes. These are then typically converted to binary ones, leading to some information loss. We show how a neural network can also use this analog information to improve the achieved logical performance further. We have investigated the impact of non-conventional errors in simulation and in several experiments, demonstrating the importance of characterizing and mitigating these errors. We expect the methods introduced in this dissertation to lead to lower logical error rates. In the short term, this can aid in demonstrations of the usefulness of error correction. In the long term, addressing such errors is important to ensure the ability to suppress logical error rates to sufficiently low levels. We finish this dissertation with a brief conclusion of each chapter. We also outline several potential challenges that can impact future error-correction experiments, namely how to reduce the larger qubit overhead needed for fault-tolerant computation and several error sources that might become a limiting factor for future error-correction experiments.","superconducting qubits; quantum error correction; leakage; decoders","en","doctoral thesis","","978-94-6384-527-4","","","","","","","","","QCD/Terhal Group","","",""
"uuid:266e6da7-0f85-45f5-ad72-0d81ff5f7bcb","http://resolver.tudelft.nl/uuid:266e6da7-0f85-45f5-ad72-0d81ff5f7bcb","A Study of ICT Firm Innovativeness in Indonesia Influencing Conditions and Design of a Change Strategy","Syamsuri, L.M. (TU Delft Economics of Technology and Innovation)","Roosenboom-Kwee, Z. (promotor); van Geenhuizen, M.S. (promotor); Delft University of Technology (degree granting institution)","2024","This PhD study investigates the challenges of and proposes potential solutions to relatively low innovativeness of small and medium-sized enterprises (SMEs) in the ICT sector in Indonesia. Since there is not much understanding of apparent ‘missed opportunities’ in Indonesia's ICT sector, there is a need to investigate internal conditions that affect innovativeness at the firm level (firm-specific managerial and competence factors) as well as external factors, such as networks’ knowledge spillovers and foreign direct investment (FDI). Low innovativeness also indicates the urgency for the country to take necessary actions, such as improving ICT education to stimulate more ICT talent, enhancing strategies to attract more investment in the ICT industry, and reducing the digital divide between regions. Considering the geographical and cultural uniqueness of Indonesia, this thesis further proposes a set of change strategies to improve the innovativeness of the ICT sector in the country.
The study starts with the introduction and problem statement (Chapter 1). This is followed by a discussion of theories on Resource-Based View, Dynamic Capability, Agglomeration and Entrepreneurial Ecosystem, Culture, and Multi-actor theory (Chapter 2). Such broad approach is taken to enable a theory-underpinned broad scan of empirical reality. In this chapter several hypotheses are formulated that will be investigated in the empirical chapters that focus on the firm level. Next, Chapter 3 discusses the problematic situations and opportunities in the ICT sector in Indonesia (sector-level study). Although the ICT sector is a fast-growing sector in Indonesia, one of the problematic situations is that Indonesia is still a net-importer of ICT, which draws attention to innovativeness of domestic firms. In addition, the disparity of ICT infrastructure within the country is relatively wide between the western and eastern regions. The sector-level study in Chapter 3 is followed by a discussion on a set of conditions of ICT innovativeness at the firm level, including specific internal management conditions, and external and entrepreneurial ecosystem conditions in Chapter 4. The empirical results in this chapter are derived from an e-survey among 260 ICT firms (mainly small- and medium-sized), spread over Indonesia, and from estimation of multiple regression models. The findings suggest that firm capabilities and external knowledge spillovers positively influence firm innovativeness only after having reached relatively high values, as indicated by a quadratic relation. Moreover, the country’s entrepreneurial culture faces a ‘strong power distance’ or hierarchy that needs to be transformed for developing innovation. Chapter 5 examines the development differences between the Jakarta area (core region) and the rest of Indonesia (non-core regions) and how each of the conditions influence innovativeness in these regions. The study in Chapter 5 indicates that core and non-core regions in the country show differences in the entrepreneurial ecosystem and firm capabilities in various aspects. In the non-core regions, the innovativeness relationships with the management conditions and entrepreneurial ecosystem seem weaker than those in the core region. The most pressing outcome for non-core regions is that non-core regions have relatively modest firm-internal capabilities but also small potentials in the entrepreneurial ecosystem. The non-core regions also need to expend more effort on increasing innovativeness in terms of ICT skills and manager cognitive capability. Next, through change strategy formulation and in-depth understanding of innovativeness based on the empirical findings in Chapters 3, 4 and 5, the design of innovation change strategies in the ICT sector in Indonesia is explained (Chapter 6). This chapter provides direction for a set of solutions following empirical analysis at the firm level in the ICT sector for the entire country and two different regions. Chapter 6 also presents the elaboration of collaborative policymaking to improve policy implementation in Indonesia’s ICT sector, including more attention for consultation and deliberation between stakeholders and for evaluation. Chapter 7 discusses suggestions for making the study transferable in practice and the key contributions of the study. Chapter 8 concludes the study with reflections on the whole PhD study and discussions of the limitations of the research and suggestions for future research.
Three key conclusions from the empirical part of the study can be mentioned as follows. First, compared to larger firms, small firms in Indonesia have to put extra effort into learning to increase innovativeness. In this regard, the study found some non-linear relations (mostly quadratic) in management capabilities, especially in the ICT skills. This situation calls for improvement of small firms’ management capabilities, in particular ICT skills combined with market-related skills. Second, a relatively weak positive influence of urban environment and somewhat stronger positive influence of clusters can be found in the study. For example, the study could support theoretical ideas of agglomeration advantages (e.g., benefits of knowledge spillovers in metropolitan areas). The findings confirm the positive influence of networks within clusters. As the third conclusion, firm innovativeness tends to have a non-linear relationship with FDI, suggesting increasing returns (benefits), despite firm limitation to use FDI opportunities fully. In addition, the study found that the core and non-core regions in Indonesia differ in most firm-internal conditions, including management and entrepreneurial ecosystem conditions. For instance, ICT skill level is much higher in the core region than that in the non-core regions.
The key scientific contribution of this PhD study is in extending general innovation theories with a partially densely populated developing country like Indonesia, characterised by low technological level and low innovativeness mainly among small firms. The study reveals the extent to which the phenomenon in the developing countries can confirm or refute what has been postulated for developed countries, for example, concerning ambitions to be innovative and power structure within firms. As the policy contribution, the study suggests a new (policy) approach to respond to the many challenges in Indonesia, namely, in improving policymaking concerning conditions for innovation. The related approach is collaborative policymaking, including all stakeholders involved, in particular those at the level of practical policy implementation, with more emphasis on consultation and deliberation between them. The study also suggests a new approach at the firm level referring to ‘co-creation of inventions with customers’, which is relatively new in innovation practice in Indonesia.
Further, some limitations are inevitable due to financial and time constraints during this PhD study, including survey tools and representation of particular regions (e.g., Papua), though attempts were made to overcome the limitations by interviewing practitioners and experts. The study provides a number of suggestions for future research, including: first, to tackle the reluctance of SMEs to act as respondents, future research may extend and complement the survey in this PhD study through other data collection techniques, e.g., via professional surveyor. Second, future research may consider conducting an in-depth survey and complement it with interviews to identify other important qualitative aspects that have remained beyond the study, for instance cultural influence in innovativeness. Third, to use an advanced model assessment technique, such as Structural Equation Modelling (SEM), to evaluate whether theoretical models, including complex interactions between influencing factors, are plausible when compared to observed data. Fourth, the use of agglomeration index to allow the evaluation of the intensity of spatial agglomeration in a single sector and make a comparative analysis among different sectors. Fifth, to obtain the outcome in improving management conditions through a cascading strategy because the cascades process allows the firm to overarch the strategy throughout the organisation and create a supporting strategy for the firm’s entire value chain of activities to ensure the execution of management change. And sixth, a recommendation for collaborative experimentation to identify best practice, e.g., in co-creation.
Overall, this PhD research fills the gaps of innovation studies in Indonesia such as the incomplete focus of existing studies that are limited to a specific region of Indonesia (i.e., western Indonesia) and the limited follow-up for policy solution in practice. To the best of our knowledge, this PhD study is one of the few studies that covers large regions of Indonesia focusing on ICT sectors and also proposes policy and management solutions.
starts to bridge.","","en","doctoral thesis","","978-94-93330-57-3","","","","","","","","","Energie and Industrie","","",""
"uuid:8d2b92dc-51e9-4d8e-b1d8-c9e7bac211e7","http://resolver.tudelft.nl/uuid:8d2b92dc-51e9-4d8e-b1d8-c9e7bac211e7","Dynamic wind farm flow control using free-vortex wake models","van den Broek, M.J. (TU Delft Team Jan-Willem van Wingerden)","van Wingerden, J.W. (promotor); Sanderse, Benjamin (copromotor); Delft University of Technology (degree granting institution)","2024","In the current state of model-based wind farm flow control, the implementation of yaw-based wake steering based on steady-state models has demonstrated potential for improving wind farm power production. However, for realistic, time-varying wind directions, the dynamics of wake propagation may impact the effectiveness of wake redirection. This dissertation presents the development of an economic model-predictive wind farm flow control strategy and assesses the potential for improved power production from wake steering in wind farms under time-varying conditions.
At the core of such a model-based control strategy is a control-oriented model of the wind farm flow. A free-vortex wake model is formulated based on an actuator-disc representation of the wind turbine rotor. A validation study is included for power predictions in the mid to far wake of turbines operating under yaw misalignment using data from wind tunnel experiments. Finally, a distributed strategy for control optimisation is constructed to provide a scalable solution for dynamic wind farm flow control which is tested in a large-eddy simulation environment under realistic conditions. This novel controller yields additional gains in power production during wind direction transients and reduces the increase in yaw actuator usage from wake steering.","wake steering; yaw misalignment; wind farm flow control; adjoint optimisation; economic model-predictive control; free-vortex wake","en","doctoral thesis","","978-94-6366-798-2","","","","","","","","","Team Jan-Willem van Wingerden","","",""
"uuid:3010e904-f171-4a37-b75a-143be8750ab2","http://resolver.tudelft.nl/uuid:3010e904-f171-4a37-b75a-143be8750ab2","Towards Energy-Efficient Residential Buildings In Jeddah, Saudi Arabia: Exploring Energy Retrofitting Options And Assessing Their Feasibility","Felimban, Ahmed Abdulazeem (TU Delft Architectural Technology)","Knaack, U. (promotor); Klein, T. (promotor); Konstantinou, T. (copromotor); Delft University of Technology (degree granting institution)","2024","The thesis explores energy retrofitting options for enhancing the energy efficiency of residential buildings in Jeddah, Saudi Arabia. It identifies and validates cost-effective energy retrofit schemes that have the potential for energy savings. The thesis also assesses the feasibility of energy retrofitting scenarios for building envelopes and their impact on reducing energy consumption, improving thermal comfort, and mitigating the environmental impact of buildings. The results of this research can guide architects and decision-makers on energy-saving measures for residential buildings in Saudi Arabia, with Jeddah serving as a representative case study.","","en","doctoral thesis","A+BE | Architecture and the Built Environment","978-94-6366-803-3","","","","","","","","","Architectural Technology","","",""
"uuid:cd0f2c4b-45b8-44ca-b0b0-d58222254375","http://resolver.tudelft.nl/uuid:cd0f2c4b-45b8-44ca-b0b0-d58222254375","Hydrogen energy storage in porous media","Hashemi, L. (TU Delft Numerical Analysis)","Vuik, Cornelis (promotor); Hajibeygi, H. (promotor); Delft University of Technology (degree granting institution)","2024","The demand for sustainable and clean energy sources has become increasingly vital in addressing the challenges of climate change and energy security. Hydrogen, with its high energy density and potential for carbon-free energy conversion, has emerged as a promising candidate for future energy systems. Efficient storage and retrieval of hydrogen are crucial for its widespread utilization, for which a promising approach is underground hydrogen storage in geological porous media. This thesis aims to explore and advance the understanding of hydrogen storage in geological porous media, specifically focusing on pore-scale modeling and contact angle analysis.
This research aims to overcome the limitations of current hydrogen storagemethods and develop more efficient energy storage systems. Porous materials like sandstones have special characteristics that make them suitable for storing hydrogen underground. To design and operate underground hydrogen storage on a large scale, it is important to understand how fluids move through these materials. The way hydrogen is stored and released is influenced by complex processes happening at a very small scale (μm). To accurately simulate these processes, we need to study how fluids move in the pores, including factors like capillary pressure (the pressure difference between nonwetting and wetting phases, which is one of the main forces acting at pore scale transport) and relative permeability (how easily fluids flow through the pores where other fluids are also present).
Pore-scale modeling is a useful tool for simulating and understanding how hydrogen behaves in the tiny pore spaces of porous materials. These models help us see how hydrogen moves, spreads out, and interacts with the pore walls at a very small level. Another important aspect is studying the contact angles in the system of hydrogen, water, and porous material. These angles tell us about the way these substances interact at the interfaces between solids, liquids, and gases. By studying these processes and measuring contact angles, we can gain a better understanding of how hydrogen is stored and released, considering factors like pressure, temperature, the type of material, and how easily fluids flow through the pores. This knowledge will help us design better systems for storing hydrogen energy in porous materials on a larger scale.
The primary objectives of this thesis are as follows: To develop pore-scale models for simulating and understanding underground hydrogen storage in geological porousmedia. To investigate the contact angle between hydrogen, brine, and sandstone systems and their influence on storage and release mechanisms. To analyze the contact angle for a mixture of hydrogen-methane in the brine/sandstone system and assess its implications for hydrogen storage. To develop a dynamic pore network model to capture the dynamic behavior of hydrogen in geological porous media. To draw conclusions from the findings and propose future research directions in the field of hydrogen energy storage.
Despite the benefits provided by the MMC-based MTDC system, various technical problems emerge. For example, in case of a DC fault on HVDC transmission lines, the DC voltage suffers a deep sag, and the fault current increases to the peak value after several milliseconds, the system stability is seriously affected. The fault currents will easily damage the power electronics and may lead to a collapse of the entire system if the faults are not cleared promptly. Thus, it is crucial to implement a fast, selective, and reliableDC fault protection technology in the system for fault detection. Once the fault is cleared, it is important to know the exact fault location to repair the faulty sections and to restore the system. Hence, an accurate DC fault location technique is of utmost importance for the MTDC system, which would significantly minimize electricity loss and expedite the system restoration process in the event of power outages. In addition, there is a lack of standardization in MMC control, and the majority of HVDC projects are constructed in a vendor-specific manner. As of today, it is unclear how MMC converters from different manufacturers will interoperate with each other. These pose new challenges to the performance of HVDC protection and MMC control and need to be addressed to manage, safeguard, and accelerate the practical feasibility of this system.
The research in this thesis aims to address the shortcomings that have not been addressed in the state of the art, mainly related to the challenges arising when DC faults occur in the MMC MTDC systems and, as such, could provide promising solutions for future practicalMTDCapplications. The main topics areMMC control&interoperability, Protection, and Fault location for the MMC-based MTDC system. The thesis deals with designing a robust protection scheme, a fault location method, and an investigation of the interoperableMMC controllers...
To date, however, the potentially confounding effects of both internal and, particularly, external water dynamics in vegetation on radar backscatter have not been adequately addressed. Existing studies have indeed illustrated the effects of SCW on radar backscatter, but the degree to which it influences different frequencies and polarizations, and the subsequent impact on crop bio-geophysical parameters remains unclear. Therefore, the main goal of this thesis is to expand our knowledge of the relationship between radar backscatter, vegetation dynamics, and surface canopy water (SCW) in agricultural monitoring. In this thesis we utilized statistical analysis and radiative transfer modeling in combination with fully polarimetric L-band data from a truck-mounted scatterometer and C-band data from Sentinel-1, along with extensive field data…
electrically characterized. These measurements allow the development of an RF power compensation system, leading to an increase of applied power at the level of each transducer. Hence, the absorbed power at transducer level increases, resulting in improved optical diffraction efficiency.","AOTF; RF-steering; compensation; VSWR","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-01","","","Space Systems Egineering","","",""
"uuid:8d1f9e1f-c279-48f6-8649-6249bd3f00cb","http://resolver.tudelft.nl/uuid:8d1f9e1f-c279-48f6-8649-6249bd3f00cb","Electromagnetic exploration inputs for the geotechnical schematization of dikes","Chavez Olalla, J.F. (TU Delft Geo-engineering)","Heimovaara, T.J. (copromotor); Ghose, R. (promotor); Ngan-Tillard, D.J.M. (copromotor); Delft University of Technology (degree granting institution)","2024","This thesis addresses the application of electromagnetic exploration techniques to reduce uncertainties in the subsurface, particularly in low-lying coastal and delta areas like the Netherlands that are increasingly vulnerable to flooding due to climate change. In response to climate change, countries must reinforce their water defenses, a challenging and costly effort that necessitates efficient resource allocation.
The research focuses on magnetic-dipole electromagnetic induction (EMI) and direct-current electrical resistivity tomography (ERT) due to their sensitivity to the electrical resistivity of the subsurface, which correlates with other geotechnical properties. Both methods are easily deployable and can cover large areas relatively quickly.
Chapter 2 delves into the theoretical aspects of EM data acquisition in dikes, demonstrating that EMI devices with far-offset receivers can capture large anomalies at a much faster rate than ERT. However, both methods perform poorly in detecting small, detrimental features such as thin layers, and are affected by groundwater salinity.
Chapter 3 proposes a method to estimate the geometric variability of soil layers using geophysical tomograms. EMI and ERT tomograms are employed to estimate the orientations of soil layers, enabling an accurate estimation of geometric variability with reduced exploration effort.
Chapter 4 highlights the value of high-resolution ERT in estimating the spatial variability of properties within homogeneous soil units. This method serves as an efficient alternative for estimating internal variability in geotechnical analyses of water defense structures and other geotechnical infrastructure.
An essential contribution of this thesis is the proposal of quantitative and reproducible methods for characterizing subsurface heterogeneity in the context of water defenses. These insights help reduce uncertainties and optimize resource allocation for dike reinforcement. The integration of geophysical methods with other geotechnical site data enhances the understanding of the subsurface. Chapter 5 summarizes the main findings of this research regarding the geotechnical schematization of dikes.","Geophysics; Geostatistics; Heterogeneity; Dikes","en","doctoral thesis","","","","","","","","","","","Geo-engineering","","",""
"uuid:e39336b5-6943-47b4-909f-9fc83e215b7c","http://resolver.tudelft.nl/uuid:e39336b5-6943-47b4-909f-9fc83e215b7c","Model-Based Hydrodynamic Leveling: An Impact Study on the European Vertical Reference Frame","Afrasteh, Y. (TU Delft Physical and Space Geodesy)","Klees, R. (promotor); Verlaan, M. (promotor); Slobbe, D.C. (copromotor); Delft University of Technology (degree granting institution)","2024","Establishing an accurate global unified vertical reference frame (VRF) is a long-standing objective of geodesy. However, that objective has still not been achieved. One particular application where the lack of such a VRF is evident, is the improvement of hydrodynamic models by assimilating total water levels acquired by tide gauges. Indeed, to facilitate a straightforward assimilation requires that both the observed and modeled water levels refer to the same vertical datum. The required accuracy is high; it is expected to be in the order of 1centimeter. The best alternative VRF for the area of interest, the northwest European continental shelf, is the European Vertical Reference Frame 2019 (EVRF2019). The EVRF2019, however, still lacks complete coverage and the required accuracy. The key reason is that it is solely based on geopotential differences from spirit leveling/gravimetry, which are not available between benchmarks separated by large water bodies. This thesis exploits model-based hydrodynamic leveling to provide these differences. The specific objective is to assess the potential of including these data in realizing of European Vertical Reference System (EVRS).","Model-based hydrodynamic leveling; Hydrodynamic model; Height system realization; European Vertical Reference System; European Vertical Reference Frame; Tide gauge, Empirical noise model","en","doctoral thesis","","978-94-6384-525-0","","","","","","","","","Physical and Space Geodesy","","",""
"uuid:e19a0363-d9cf-4f33-8936-757c268f27a1","http://resolver.tudelft.nl/uuid:e19a0363-d9cf-4f33-8936-757c268f27a1","Leveraging Factored State Representations for Enhanced Efficiency in Reinforcement Learning","Suau, M. (TU Delft Interactive Intelligence)","Oliehoek, F.A. (promotor); Spaan, M.T.J. (promotor); Delft University of Technology (degree granting institution)","2024","Reinforcement learning techniques have demonstrated great promise in tackling sequential decision-making problems. However, the inherent complexity of real-world scenarios presents significant challenges for its application. This thesis takes a fresh approach that explores the untapped potential of factored state representations as a means to enhance the efficiency of reinforcement learning.
Factored representations involve variables describing various features of the environment. These variables, along with their possible values, define the agent’s states. Unlike standard representations, factored representations provide a unique perspective that enables us to gain deeper insights into the underlying structure of the environment and refine our understanding of the problem at hand.
By analyzing variable dependencies, we can abstract simplified representations of the environment states and construct computationally lightweight models. To do so, we will explore potential factorizations of key functions governing the reinforcement learning problem, such as transitions, rewards, policies, or value functions. These factorizations can be achieved by exploiting variable redundancies and leveraging relations of conditional independence.
This thesis proposes a set of methods that are shown to improve the efficiency and scalability of reinforcement learning in complex scenarios. We hope that the findings of this research contribute to showcasing the potential of factored representations and serve as inspiration for future research in this direction.
The first theme considers hyperelastic material modelling, with a focus on developing wrinkling models under large strains. The shell model employed in this dissertation is based on the isogeometric analysis paradigm. Specifically, the Kirchhoff--Love shell model is used, which leverages the higher-order continuity of underlying spline spaces. Chapter 3 extends hyperelastic material formulations to stretch-based materials, enabling the use of the isogeometric analysis paradigm for rubber-like shells. Since the modelling of wrinkling patterns imposes physical scales limiting element mesh sizes, chapter 4 introduces a hyperelastic isogeometric membrane element that incorporates an implicit wrinkling model, thus avoiding explicit modelling of wrinkling amplitudes.
The second theme addresses adaptive methods. On the one hand, spatial adaptivity enhances the local detail in a numerical simulation. Chapter 5 presents an adaptive isogeometric analysis framework based on intuitive goal functions, such as wrinkling amplitudes, to guide adaptive meshing routines. On the other hand, temporal or quasi-temporal adaptivity serves to enhance the efficiency of dynamic or quasi-static simulations. Chapter 6 introduces an adaptive parallel arc-length method. The method's adaptivity arises as a by-product of parallelisation efforts aimed at reducing computational times for quasi-static simulations.
The advantage of the smoothness inherent in the spline spaces used in isogeometric analysis is limited to simple topologies. To benefit from this smoothness in complex geometries, the third theme of this dissertation focuses on complex domain modelling. Chapter 7 presents a qualitative and quantitative comparison of unstructured spline constructions for multi-patch modelling using isogeometric analysis. This chapter offers insights and suggestions for future developments related to unstructured spline constructions.
The final theme of this dissertation concerns the reproducibility of the developed methods. In this section, design considerations are presented for an open-source software library, along with small examples, aimed at ensuring easy reproducibility and supporting future research in the three themes mentioned earlier.
In summary, this dissertation offers a wide range of methods for the isogeometric analysis of structural instabilities in thin-walled structures, including the modelling of wrinkling. The concepts developed in terms of hyperelasticity expand the applicability of wrinkling models to encompass large strains. The concepts developed in terms of adaptivity provide intuitive error estimators that drive local refinement in space, as well as a novel continuation method that eliminates the inherently serial arc-length methods. Through the use of unstructured splines, complex domains become accessible for the analysis of structural stabilities. By creating an open-source, forward-compatible software library, these concepts are made available for future developments in the field of isogeometric analysis of wrinkling.
Among the auxiliary subsystems, the Environmental Control System (ECS) is the largest consumer of non-propulsive power, accounting for up to 3-5% of the total fuel burn. The replacement of the conventional Air Cycle Machine (ACM) with an electrically-powered ECS based on the Vapor Compression Cycle (VCC) system could enable: i) a substantial decrease in fuel consumption; ii) a finer regulation of the relative humidity in the air distribution system, leading to improved air quality in the cabin and flight deck; iii) a reduction in maintenance costs and an increase in system reliability, due to the removal of the maintenance-intensive bleed system. However, the adoption of VCC systems in the aerospace sector has been historically very limited, due to safety concerns regarding the ozone depleting potential, toxicity and flammability of the working fluids used as refrigerants, as well as because of a lack of research specifically targeting airborne applications.
This dissertation documents research work performed as part of the NEDEFA project, which entails the investigation of VCC-based ECS architectures powered by oil-free highspeed centrifugal compressors. The first objective is to advance of the state-of-the-art regarding high-speed compressors operating with gas bearings, i.e., the key technological enablers of airborne VCC systems. The second target is to develop of a methodology for the integrated design of aircraft ECS, namely, a design philosophy in which the system and the main components are optimized simultaneously.
The main outcomes of this work are the development of a preliminary design model for high-speed compressors, extensively validated with experimental data and computational fluid dynamics simulations, and the implementation of an integrated design framework for aircraft ECS, embedding a multi-point and multi-objective optimization strategy. The compressor model has been applied to derive design guidelines for single-stage and twin-stage machines operating with arbitrary working fluids, as well as to perform the fluid dynamic design optimization of the compressor that will be installed in the IRIS (Inverse organic Rankine Integrated System) test rig of the Propulsion and Power Laboratory. Furthermore, the integrated design method has been used to size and compare the performance of two alternative ECS configurations for a single-aisle, short-haul aircraft resembling the configuration of an Airbus A320, i.e., a bleedless ACM and an electrically driven VCC. The results reveal that the optimal VCC system could be both more efficient and lighter than the corresponding ACM architecture, leading to potential fuel savings in the order of 20% for the prescribed application.
A cell, around 10 micrometers in diameter, contains approximately two meters of DNA, divided into 46 chromosomes. These chromosomes need to be tightly folded to fit within the cell, but during interphase, they must also be accessible for protein interactions. In mitosis, chromosomes take on the X-shape, driven by a molecular machine called condensin, part of the SMC complexes family, essential for DNA folding at different cell cycle stages.
Condensin, known for shaping mitotic chromosomes, comes in two types in humans: condensin I and condensin II. This thesis explores the functions of condensin II beyond mitotic chromosome structuring. In Chapter 3, research on 24 organisms reveals diverse chromosome organization during interphase, with condensin II influencing the transition from chromosome territories to Rabl-like organization. Removal of condensin II in human cells shifts their organization.
Chapter 4 examines the impact of condensin II removal on chromosome territories in human cells, concluding that different levels of genome organization operate independently. Removing condensin II minimally affects gene expression, suggesting chromosome territories' limited role in regulating genes.
Chapter 5 investigates how condensin II prevents Rabl-like organization and centromere clustering, finding its specific role during or after mitosis. The data indicates that condensin's role in shortening the chromosome axis is crucial in preventing centromere clusters.
Chapter 6 contextualizes findings, proposing a model on how condensin II may control interphase organization based on data from Chapters 3 to 5.
Chapter 7 shifts focus to condensin II's negative regulator, MCPH1, inhibiting condensin II in interphase. Removing MCPH1 leads to interphase condensation, affecting DNA distribution during cell division. Condensin II, typically working with topoisomerase 2, encounters difficulties in untangling DNA knots when MCPH1 is absent.
This dissertation highlights the importance of balancing condensin II, investigating the consequences of its loss and over-activation. Both scenarios significantly impact cell function, emphasizing condensin II's broader role beyond mitotic chromosome organization. The research contributes fundamental insights into condensin biology, offering potential for new discoveries in this field.","Chromosome biology; Molecular biology; SMC complexes; Condensin; Cohesin; chromosome condensation; Genome organization; Chromosome","en","doctoral thesis","","978-94-6483-646-2","","","","","","2025-01-18","","","BN/Benjamin Rowland Lab","","",""
"uuid:b54561bd-1141-429f-83e3-a94b966c7a07","http://resolver.tudelft.nl/uuid:b54561bd-1141-429f-83e3-a94b966c7a07","Global impacts of aircraft emissions on air quality and nitrogen deposition","Domingos de Azevedo Quadros, F. (TU Delft Aircraft Noise and Climate Effects)","Snellen, M. (promotor); Dedoussi, I.C. (copromotor); Delft University of Technology (degree granting institution)","2024","Global passenger air traffic has doubled in the 13 years prior to 2019, and is expected to double again over the next 20 years or so. Growing demand for aviation is met by a corresponding increase in jet fuel being burned by aircraft, releasing multiple pollutants into the atmosphere. Besides disturbing the Earth’s radiative balance, these emissions also lead to excessive deposition of reactive nitrogen, and to a degradation of air quality. Anthropogenic nitrogen deposition damages vulnerable ecosystems, while degraded air quality is associated with increases in human mortality rates. These last two environmental impacts can be very localized, but, owing to the high altitude of emissions, they also occur over intercontinental distances. This thesis aims to evaluate the magnitude of air quality and nitrogen deposition due to emissions from civil fixed-wing aircraft at a global scale, and how these impacts might change in the coming decades.","Aviation; Air quality; Air pollution; Aircraft emissions; Nitrogen deposition; Intercontinental pollution; Public health; Atmospheric chemical transport model","en","doctoral thesis","","","","","","","","","","","Aircraft Noise and Climate Effects","","",""
"uuid:5fb0dfe6-94cc-40f1-8773-91367b5e2fba","http://resolver.tudelft.nl/uuid:5fb0dfe6-94cc-40f1-8773-91367b5e2fba","Analysis and Design of Lens Antenna Systems for Applications at Millimeter and Sub-millimeter Wavelengths","Zhang, H. (TU Delft Tera-Hertz Sensing)","Llombart, Nuria (promotor); Neto, A. (promotor); Delft University of Technology (degree granting institution)","2024","In recent decades, dielectric lens antennas have been more and more adopted and developed for sensing and imaging applications at sub-millimeter (sub-mm) wavelengths because they can achieve high gain while keeping their physical size and weight acceptable at these wavelengths. More recently, as low-loss and low-cost lens materials have become available and the lens fabrication is becoming easier and more accurate, lens antennas are attracting more interests for variety of applications at millimeter (mm) wavelengths such as high-data-rate wireless communication and automotive radars. However, the analysis and design of lens antennas at mm and sub-mm wavelengths present different challenges. In this thesis, we propose to use a field correlation technique to analyze lens antennas in reception and then optimize their aperture efficiency for different scenarios. Based on this optimization methodology, three examples of lens antenna systems are described at 28 GHz, 180 GHz, and beyond 200 GHz for the applications of 5G communication, wide field-of-view security imaging, and future mm-resolution THz imaging, respectively. The proposed methodology and design provide possible solutions for the potential challenges and can be used as guidelines for designing lens antennas at mm and sub-mm wavelengths.....","Equivalent circuits; focal plane arrays; field correlation; geometrical optics; lens antennas; leaky-wave antennas; lens shaping; millimeter waves; photoconductive antennas; quasi-optical systems; sub-millimeter waves; sparse array; time-domain analysis; ultra wideband; wide field-of-view","en","doctoral thesis","","978-94-6384-524-3","","","","","","","","","Tera-Hertz Sensing","","",""
"uuid:04e4fe71-d257-4cac-aaa6-56390b3d80f9","http://resolver.tudelft.nl/uuid:04e4fe71-d257-4cac-aaa6-56390b3d80f9","Analysis of the slow-moving landslides in the Mazar Region in southeast Ecuador","Urgilez Vinueza, A.R. (TU Delft Water Resources)","Bakker, M. (promotor); Bogaard, T.A. (promotor); Delft University of Technology (degree granting institution)","2024","Landslide activity in the Andes remains an ongoing natural hazard with significant implications for regional development. Slow-moving landslides, while not typically resulting in catastrophic outcomes, can still cause substantial damage to critical infrastructure, including roads, buildings, crops, and hydropower dams. In southeast Ecuador, slow-moving landslides threaten the stability and functionality of theMazar damand reservoir. This thesis aimed to address these challenges by characterizing the slow-moving landslides in theMazar region and developing a systematic approach to identify changes in their displacement rates, understand their physical causes and assess the influence of hydrometeorological forcings.....","Slow-moving landslides; Hydro-geology; Hydro-Meteorology; Accelerations-decelerations; Multiple regression","en","doctoral thesis","","978-94-6384-526-7","","","","","","","","","Water Resources","","",""
"uuid:bd9a6840-9c69-43a3-9720-730d5879d4b6","http://resolver.tudelft.nl/uuid:bd9a6840-9c69-43a3-9720-730d5879d4b6","Developing places for human capabilities: Understanding how social sustainability goals are governed into urban development projects","Janssen, C. (TU Delft Practice Chair Urban Area Development)","Verdaas, J.C. (promotor); Daamen, T.A. (copromotor); Delft University of Technology (degree granting institution)","2024","Although social objectives are frequently part of the pursuit of sustainable urban development, how such social sustainability goals can be achieved in urban development practices remains a largely unsolved puzzle. While scholars increasingly acknowledge that urban social sustainability is a plural concept that needs to be specified in different situations, thus far very few social sustainability studies have focused on the processes in which such specifications take place – i.e., the implementation processes in which policies are brought into practice in urban areas or neighborhoods. This dissertation develops an understanding of how institutionalized governance processes affect the implementation of policy goals related to social sustainability in area-based urban development projects. The research draws on Sen’s Capability Approach (CA) to construct a capability-centered evaluation of such efforts. More than other normative approaches that primarily focus on the distribution or quality of spatial goods, the principles of the CA focus on the fact that different people have different experiences. Unique personal, social, and environmental circumstances per individual imply that people have different capabilities: the actual freedoms to do or be what one considers valuable for a dignified life. A promising role is reserved for the CA to investigate how exactly the diversity of human beings can be incorporated into urban development and planning processes. This provides a sincere response to the calls of social sustainability scholars that more ‘human-centered’ approaches are needed. The dissertation hypothesizes that governance processes around urban development projects hold various elements that affect the implementation of social sustainability in contemporary cities, and subsequently, influence whether ‘capability-centered urban outcomes’ are achieved or not. In that way, this dissertation analyzes how governance processes in urban development practice relate to capability-centered evaluations of urban social sustainability outcomes. Whereas these two aspects are often investigated separately – i.e., studies often either focus on analyzing the mechanisms within governance processes or on describing and evaluating social outcomes in the urban environment – this dissertation explicitly brings these together. The governance process is investigated from a collaborative governance perspective to analyze which activities and interactions between the different stakeholders affect capability-centered social sustainability outcomes in urban environments, and complementary, from an institutionalist perspective that explores what less-visible, yet structural elements of governance condition the emergence of capability-centered governance activities.","social sustainability; urban development projects; collaborative governance; institutions; capability approach","en","doctoral thesis","A+BE | Architecture and the Built Environment","978-94-6366-799-9","","","","","","","","","Practice Chair Urban Area Development","","",""
"uuid:2dd874e6-bb94-4e3d-848d-3b54a0bc856a","http://resolver.tudelft.nl/uuid:2dd874e6-bb94-4e3d-848d-3b54a0bc856a","Hydrodynamics for the integration of fermentation and separation in the production of diesel and jet biofuels","Sousa Pires da Costa Basto, R.M. (TU Delft BT/Bioprocess Engineering)","van der Wielen, L.A.M. (promotor); Mudde, R.F. (promotor); Delft University of Technology (degree granting institution)","2024","Over the years, various technologies have been developed to produce and separate advanced biomolecules. These technologies range from complex terpenoids for pharmaceuticals and flavors to commodity chemicals and fuels via the fermentative route. These compounds are often poorly water soluble, phase splitting organic compounds or inhibitory and unstable necessitating addition of an extractive, second liquid phase for product removal. The turbulent conditions in the multiphasic fermentation coupled with the presence of surface-active compounds in the medium create a stable emulsion that is difficult to separate in conventional systems. Technologies such as centrifugation and de-emulsifiers have been used to separate the emulsion and recover the product. However, these type of recovery processes are expensive, drastically increase the final product’s environmental footprint and often hamper cell recycling.","","en","doctoral thesis","","978-94-6483-631-8","","","","","","","","","BT/Bioprocess Engineering","","",""
"uuid:6abb764c-a884-4da9-9f89-7140ee8b097b","http://resolver.tudelft.nl/uuid:6abb764c-a884-4da9-9f89-7140ee8b097b","Dynamics of the Pitch-able VAWT: A Study of the Dynamics of the Vertical Axis Wind Turbine with Individual Pitch Control","LeBlanc, B.P. (TU Delft Wind Energy)","van Bussel, G.J.W. (promotor); Ferreira, Carlos (promotor); Delft University of Technology (degree granting institution)","2024","Society is finally entering a new age of renewable energy development. For the first time it is truly conceivable to power a vast majority of global energy use with a combination of wind, solar, and other forms of low carbon, renewable power. The rise of electrification and so called ”Power to X”, where renewable energy is used to create other more condensed and potentially storable sustainable fuels, will require a significant increase in the capacity of electrical grid networks worldwide in the coming decades. One of the largest growing sectors in renewable energy is offshore wind power. With farms in operation for over two decades, offshore wind has been predominately deployed in relatively shallow water in the North Sea of Europe. While expanding to global markets is possible with fixed bottom machines, the resource is relatively limited based on the strict seabed requirements. Moving to floating offshore wind platforms, demonstrated in pilot projects like Hywind Scotland, has the potential to vastly expand the potential wind resource and open markets in the Americas and Asia which would otherwise be unreachable....","Vertical Axis Wind Turbine; Pitch Control; PIV; Wake Steering; Structural Dynamics","en","doctoral thesis","","","","","","","","","","","Wind Energy","","",""
"uuid:0a34b7ef-d18b-4f86-be16-62128a52dd7c","http://resolver.tudelft.nl/uuid:0a34b7ef-d18b-4f86-be16-62128a52dd7c","Design of a High Voltage Arbitrary Wave Shape Generator for Dielectric Testing","Ganeshpure, D.A. (TU Delft High Voltage Technology Group)","Vaessen, P.T.M. (promotor); Bauer, P. (promotor); Ghaffarian Niasar, M. (copromotor); Delft University of Technology (degree granting institution)","2024","The integration of wind and solar energy through power electronic converters has introduced new challenges to High Voltage (HV) equipment in the electrical power system. Switchgear, cables, and transformers are now subject to higher dV/dt stress and complex wave shapes due to solid-state switching. This poses a threat to the reliability of the grid by weakening the dielectric material of these assets. Existing HV test sources face limitations in generating complex wave shapes and have restricted current capabilities. Building a customized test setup is time-consuming when combining multiple HV test sources for complex waveforms.
To overcome these challenges, an Arbitrary Wave shape Generator (AWG) for dielectric testing of HV grid assets is proposed. The Modular Multilevel Converter (MMC) topology is chosen for its modular structure, low harmonic content, and scalability to higher voltage levels. The initial focus is on dielectric testing of Medium Voltage (MV) class equipment, with the ultimate goal being the development of a modular prototype as part of a PhD project.
HV test requirements and procedures for conventional tests of MV class equipment are compiled, along with specifications for non-standard wave shapes in consideration of the hybrid grid. Two main HV test requirements are addressed in the PhD thesis: the output voltage range of 10 kV to 100 kV with a load capacitance range of 50 pF to 10 nF and a large-signal bandwidth up to 2.5 kHz. The second requirement involves generating steep pulses with a rise time of a few microseconds for a voltage magnitude of 250 kV across a capacitive load of 10 nF.
Despite the maturity of MMC technology for HVDC transmission, adapting it for HV AWG applications presents unique challenges. The thesis explores design trade-offs related to MMC parameters such as the number of Submodules (SMs) per arm, arm inductance, arm resistance, modulation technique, SM capacitance, and control system. Design criteria are developed and demonstrated through simulation models and a scaled-down prototype.
The control hardware of the HV AWG is addressed using a commercially available Real Time Simulator (RTS) named Typhoon-HIL. This choice is based on its flexibility to program arbitrary waveforms in the FPGA without coding in any special hardware description language. The performance is demonstrated in the scaled-down prototype, achieving sinusoidal waveforms up to 5 kHz reference frequency with THD less than 5%.
The second HV test requirement, steep pulse generation, is investigated with the MMC topology. It is found that the series-connected SMs of MMC make it challenging to obtain a short rise time across a large capacitive load. To address this, an integrated hybrid circuit of MMC and Marx generator circuit is proposed for complex waveforms with a rise time faster than 100 μs. Proper guidelines for choosing circuit parameters are provided and experimentally validated with a scaled-down prototype.","Dielectric Testing of Grid Assets; Arbitrary Wave shape Generator; modular multi-level converter (MMC); Marx generator; PD measurement","en","doctoral thesis","","","","","","","","2024-12-31","","","High Voltage Technology Group","","",""
"uuid:2c93f1af-bf49-4353-b9b9-c6ed8d62d3c9","http://resolver.tudelft.nl/uuid:2c93f1af-bf49-4353-b9b9-c6ed8d62d3c9","Epidemics on Static and Adaptive Networks","Achterberg, M.A. (TU Delft Network Architectures and Services)","Van Mieghem, P.F.A. (promotor); Kooij, Robert (promotor); Delft University of Technology (degree granting institution)","2024","The COVID-19 pandemic has had a disruptive impact on healthcare systems and everyday life of the majority of the people around the globe. Despite many years of research on network epidemiology, many key aspects of disease transmission and in particular the response of people to the spread of a disease, remain poorly understood. On the basis of epidemiological modelling lie the Susceptible-Infected-Susceptible (SIS) and Susceptible-Infected-Recovered (SIR) models. In this dissertation, we aim to improve the understanding of the spread of contagious diseases, with an emphasis on the interplay between disease spread and personal behaviour, applied to the SIS and SIR models. The first part starts with the analysis of the eigenvalue spectrum of the infinitesimal generator of the Markovian SIS model with self-infections (Chapter 2). Based on the eigenvalue spectrum, which we believe encodes the majority of the dynamics, we derive an alternative definition of the epidemic threshold. We show that the epidemic threshold approximately coincides with the effective infection rate for which the third-largest eigenvalue is minimal. Contrary to the SIS process, where only an eigenvalue analysis is possible, the SIR process is completely solved on an arbitrary, heterogeneous network (Chapter 3). The benefit of the exact solution is demonstrated by analytically computing the time when the number of infections is maximal. The second part concerns the interplay between the spread of a disease and the response of people to the disease spread. We develop the Generalised Adaptive SIS (GASIS) model to describe how individuals break and create links in the contact graph. The decisions for breaking or creating links are based on the viral state of the nodes attached to that link. For all 36 instances in the G-ASIS model, we analyse the relation between the epidemic threshold and the effective link-breaking rate (Chapter 4). We derive the first-order and second-order mean-field approximation of the G-ASIS model (Chapter 5) and illustrate that the second-order approximation is able to qualitatively approximate the Markovian model more accurately than the first-order approximation. The G-ASIS mean-field model is extended to arbitrary link-breaking and link-creation responses, which are not only related to the number of susceptible and infectious neighbours of a node, but may also depend on the presence of the virus in the whole population (Chapter 6). For all possible link-breaking and link-creation responses, epidemic waves cannot occur in the mean-field adaptive SIS process. In the final part,we develop theNetwork-Inference-based Prediction Algorithm(NIPA) for forecasting the spread of contagious diseases on heterogeneous networks (Chapter 7). The contact graph is assumed to be unknown and is inferred by NIPA from the number of reported cases. NIPA is a hybrid method, combining epidemiological knowledge, machine-learning and networks. Network-based forecasting, and NIPA in particular, seems favourable for predicting epidemic outbreaks, which is demonstrated by showing that NIPA outperforms many other forecasting algorithms for estimating the spread of COVID-19.","Mathematical epidemiology; Adaptive networks; Markov processes","en","doctoral thesis","","978-94-6384-514-4","","","","","","","","","Network Architectures and Services","","",""
"uuid:4fa3a292-477c-4ff0-b01a-e7d90b66ec2a","http://resolver.tudelft.nl/uuid:4fa3a292-477c-4ff0-b01a-e7d90b66ec2a","Exploring Active Inference and Model Predictive Path Integral Control: A Journey from Low-Level Commands to Task and Motion Planning","Pezzato, C. (TU Delft Robot Dynamics)","Wisse, M. (promotor); Hernández, Carlos (copromotor); Delft University of Technology (degree granting institution)","2024","In an ever-evolving society, the demand for autonomous robots equipped with human-level capabilities is becoming increasingly imperative. Various factors, such as an aging population and a shortage of labor for repetitive and physically demanding tasks, have underscored the need for capable autonomous robots to assist us in our daily activities. However, despite the recent advancements in robotics, the field still faces significant challenges in delivering on its promises of developing general-purpose robots with human-level capabilities for everyday tasks. This thesis aims to develop control algorithms at different levels of abstraction to achieve more robust, adaptive, and reactive robot behavior for long-term tasks in dynamic environments.
Since our ultimate goal is to achieve human-level performance, a natural starting point is to investigate theories of human intelligence and how they can be applied to real robots, such as mobile manipulators. In this regard, one prominent theory is Active Inference, a popular and influential concept that can explain a wide range of cognitive functions, from motor control to high-level decision-making. Active Inference was developed based on the free-energy principle providing an explanation for embodied perception-action loops. While the free-energy principle and Active Inference have garnered significant attention among neuroscientists, their application to robotics remains largely unexplored, presenting an exciting avenue for research in this thesis. At the same time, it is also important to recognize that we should not confine ourselves solely to theories of human intelligence and their inherent limitations. Machines and humans are built upon fundamentally different structures, which opens up possibilities for alternative approaches. Consequently, this thesis also investigates the use of Model Predictive Path Integral Control (MPPI), which stems from a different formulation of free-energy that is not bound to biological assumptions. By exploring the application of Active Inference to low-level robot control and task planning, as well as the utilization of MPPI for motion planning, this thesis provides advancements in robot control at different levels of abstraction. More concretely, this thesis contributes to the following four areas: 1) Lowlevel adaptive and fault-tolerant control, 2) Reactive high-level decision making, 3) Contact-rich motion planning, and 4) Reactive task and motion planning (TAMP)…
This research investigates the distinct characteristics of blockchain technology to safeguard against the deterioration of handover information quality in the post-construction phase. The significance of effective management of handover information is highlighted by global building failures, such as the Grenfell Tower fire in London, UK. Despite existing technological interventions, there remains a paucity of understanding regarding the factors contributing to the decline in the quality of handover information during the post-construction phase.
Design/methodology/approach
This study employed a multi-case studies approach across five higher education institutions. It involved conducting semi-structured interviews with 52 asset management professionals, uncovering the underlying reasons for the decline in handover information quality. Building on these insights, the study performed a mapping exercise to align these identified factors with blockchain technology features and information quality dimensions, aiming to evaluate blockchain’s potential in managing quality handover information.
Findings
The study findings suggest that blockchain technology offers advantages but has limitations in addressing all the identified quality issues of managing handover information. Due to the lack of an automated process and file-based information exchange, updating handover information still requires an error-prone manual process, leading to potential information loss. Additionally, no solutions are available for encoding drawings for updates and validation.
Originality/value
This study proposes a framework integrating blockchain to enhance the information management process and improve handover information quality.","Handover information; Information quality; Asset information management; Blockchain technology; Higher education institutions","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-04","","","Integral Design & Management","","",""
"uuid:28204e37-7122-4d99-9c42-a4f143583c0d","http://resolver.tudelft.nl/uuid:28204e37-7122-4d99-9c42-a4f143583c0d","The Letter Rack","Corbo, S. (TU Delft Space & Type)","","2024","“The Letter Rack” is a speculative exercise in exploring the emergence of new spatial relationships when we stop thinking of future cities in terms of figure/ground duality and instead start looking at them as a continuous assemblage of forms and signs.
This form of digital divertissement in drawings originates from the reinterpretation of the so-called “trompe l’oeil,” a pictorial genre used for centuries to depict illusionistic scenes that give spectators the impression that they are facing real, three-dimensional objects. More specifically, the Letter Rack refers to the 1668 trompe l’oeil by Cornelis Norbertus Gijsbrechts, which represents a board partition populated by envelopes, objects, and a music book. The Letter Rack borrows the spatial organization of Gijsbrechts’ painting and, in general, some of the ingredients common to the tradition of trompe l’oeil, such as the use of metaphor, allegory, or symbolism, to reverse their meaning. It turns realistic representations into a hypothetical scenario in which building types and urban spaces meet, collide, and fuse in novel configurations. In other words, The Letter Rack is an inverted digital trompe l’oeil.","spatial relationships; digital divertissement; trompe l'oeil; urban assemblage; hypothetical scenario","en","journal article","","","","","","","","","","","Space & Type","","",""
"uuid:10311077-2304-46cd-b9e2-0eb920b2295f","http://resolver.tudelft.nl/uuid:10311077-2304-46cd-b9e2-0eb920b2295f","Deep Reinforcement Learning for Orchestrating Cost-Aware Reconfigurations of vRANs","Murti, Fahri Wisnu (University of Oulu); Ali, Samad (University of Oulu); Iosifidis, G. (TU Delft Networked Systems); Latva-aho, Matti (University of Oulu)","","2024","Virtualized Radio Access Networks (vRANs) are fully configurable and can be implemented at a low cost over commodity platforms to enable network management flexibility. In this paper, a novel vRAN reconfiguration problem is formulated to jointly reconfigure the functional splits of the base stations (BSs), locations of the virtualized central units (vCUs) and distributed units (vDUs), their resources, and the routing for each BS data flow. The objective is to minimize the long-term total network operation cost while adapting to the varying traffic demands and resource availability. In the first step, testbed measurements are performed to study the relationship between the traffic demands and computing resources, which reveals high variance and depends on the platform and its load. Consequently, finding the perfect model of the underlying system is non-trivial. Therefore, to solve the proposed problem, a deep reinforcement learning (RL)-based framework is proposed and developed using model-free RL approaches. Moreover, the problem consists of multiple BSs sharing the same resources, which results in a multi-dimensional discrete action space and leads to a combinatorial number of possible actions. To overcome this curse of dimensionality, action branching architecture, which is an action decomposition method with a shared decision module followed by neural network is combined with Dueling Double Deep Q-network (D3QN) algorithm. Simulations are carried out using an O-RAN compliant model and real traces of the testbed. Our numerical results show that the proposed framework successfully learns the optimal policy that adaptively selects the vRAN configurations, where its learning convergence can be further expedited through transfer learning even in different vRAN systems. It also offers significant cost savings by up to 59% of a static benchmark, 35% of Deep Deterministic Policy Gradient with discretization, and 76% of non-branching D3QN.","action branching; Computational modeling; Computer architecture; Costs; D3QN; Data models; deep reinforcement learning; Load modeling; network virtualization; Neural networks; O-RAN; orchestration; Radio access networks (RANs); Routing","en","journal article","","","","","","","","","","","Networked Systems","","",""
"uuid:756aa692-d9be-4634-b9b0-3710442dd770","http://resolver.tudelft.nl/uuid:756aa692-d9be-4634-b9b0-3710442dd770","A Review of Automatic Classification of Drones Using Radar: Key Considerations, Performance Evaluation and Prospects","Ahmad, Bashar I. (University of Cambridge; Thales Land and Air Systems); Rogers, Colin (Thales Land and Air Systems); Harman, Stephen (Thales UK); Dale, Holly (University of Birmingham); Jahangir, Mohammed (University of Birmingham); Antoniou, Michael (University of Birmingham); Baker, Chris (University of Birmingham); Newman, Mike (Thales UK); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems)","","2024","Automatic target classification or recognition is a critical capability in noncooperative surveillance with radar in several defence and civilian applications. It is a well-established research field and numerous techniques exist for recognizing targets, including miniature unmanned air systems or drones (i.e., small, mini, micro, and nano platforms), from their radar signatures. These algorithms have notably benefited from advances in machine learning (e.g., deep neural networks) and are increasingly able to achieve remarkably high accuracies. Such classification results are often captured by standard, generic, object recognition metrics, and originate from testing on simulated or real radar measurements of drones under high signal to noise ratios. Hence, it is difficult to assess and benchmark the performance of different classifiers under realistic operational conditions. In this article, we first review the key challenges and considerations associated with the automatic classification of miniature drones from radar data. We then present a set of important performance measures, from an end-user perspective. These are relevant to typical drone surveillance system requirements and constraints. Selected examples from real radar observations are shown for illustration. We also outline here various emerging approaches and future directions that can produce more robust drone classifiers for radar.","Airborne radar; classification; deep learning; Drones; non-cooperative surveillance; radar; Radar; Radar cross-sections; Radar tracking; Surveillance; Target tracking; unmanned air traffic management","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-27","","","Microwave Sensing, Signals & Systems","","",""
"uuid:e99316a3-1ec6-46f3-9394-6806b2116903","http://resolver.tudelft.nl/uuid:e99316a3-1ec6-46f3-9394-6806b2116903","Joint Ranging and Phase Offset Estimation for Multiple Drones using ADS-B Signatures","Mohammadkarimi, M. (TU Delft Signal Processing Systems); Leus, G.J.T. (TU Delft Signal Processing Systems); Rajan, R.T. (TU Delft Signal Processing Systems)","","2024","A new method for joint ranging and Phase Offset (PO) estimation of multiple drones/aircrafts is proposed in this paper. The proposed method employs the superimposed uncoordinated Automatic Dependent Surveillance-Broadcast (ADS-B) packets broadcasted by drones/aircrafts for joint range and PO estimation. It jointly estimates range and PO prior to ADS-B packet decoding; thus, it can improve air safety when packet decoding is infeasible due to packet collision. Moreover, it enables coherent detection of ADS-B packets, which can result in more reliable multiple target tracking in aviation systems using cooperative sensors for detect and avoid (DAA). By minimizing the Kullback-Leibler Divergence (KLD) statistical distance measure, we show that the received complex baseband signal coming from K uncoordinated drones/aircrafts corrupted by Additive White Gaussian Noise (AWGN) at a single antenna receiver can be approximated by an independent and identically distributed (i.i.d.) Gaussian Mixture (GM) with 2^{K} mixture components in the two-dimensional (2D) plane. While direct joint Maximum Likelihood Estimation (MLE) of range and PO from the derived GM Probability Density Function (PDF) leads to an intractable maximization, our proposed method employs the Expectation-Maximization (EM) algorithm to estimate the modes of the 2D Gaussian mixture followed by a reordering estimation technique through combinatorial optimization to estimate range and PO. An extension to a multiple antenna receiver is also investigated in this article. While the proposed estimator can estimate the range of multiple drones/aircrafts with a single receive antenna, a larger number of drones/aircrafts can be supported with higher accuracy by the use of multiple antennas at the receiver. The effectiveness of the proposed estimator is supported by simulation results. We show that the proposed estimator can jointly estimate the range of multiple drones/aircrafts accurately.","Range estimation; phase offset; cooperative navigation; expectation-maximization (EM); Gaussian mixture (GM); ADS-B; multiple receive antennas; detect and avoid (DAA)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-26","","","Signal Processing Systems","","",""
"uuid:d1b254fe-aa53-410a-87f8-90c5a8f6a44a","http://resolver.tudelft.nl/uuid:d1b254fe-aa53-410a-87f8-90c5a8f6a44a","ETVO: Effectively Measuring Tactile Internet With Experimental Validation","Kroep, H.J.C. (TU Delft Networked Systems; TU Delft Embedded Systems); Gokhale, V. (TU Delft Networked Systems); Verburg, Joseph (Student TU Delft); Venkatesha Prasad, Ranga Rao (TU Delft Networked Systems)","","2024","The next frontier in communications is teleoperation - manipulation and control of remote environments with haptic feedback. Compared to conventional networked applications, teleoperation poses widely different requirements, ultra-low latency (ULL) is primary. Realizing ULL communication demands significant redesign of conventional networking techniques, and the network infrastructure envisioned for achieving this is termed as Tactile Internet (TI). The design of meaningful performance metrics is crucial for seamless TI communication. However, existing performance metrics fall severely short of comprehensively characterizing TI performance due to their inability to capture how well sensed signals are reproduced. We take Dynamic Time Warping(DTW) as the basis of our work and identify necessary changes for characterizing TI performance. Through substantial refinements to DTW, we design Effective Time- and Value-Offset (ETVO) - a new method for measuring the fine-grained performance of TI systems. Through an in-depth objective analysis, we demonstrate the improvements of ETVO over DTW. Through subjective experiments, we demonstrate that existing QoS and QoE methods fall short of estimating the TI session performance accurately. Using subjective experiments, we demonstrate the behavior of the proposed metrics, their ability to match theoretically derived performance, and finally, their ability to reflect user satisfaction in a practical setting.","Delays; Measurement; QoS; Quality of experience; Quality of service; Reliability; Surgery; tactile internet; Tactile Internet; URLLC; user experience","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-05","","","Networked Systems","","",""
"uuid:c2b4bb5a-d23b-4f2c-8b4c-057d7ff7f6ea","http://resolver.tudelft.nl/uuid:c2b4bb5a-d23b-4f2c-8b4c-057d7ff7f6ea","Connected Slot Array with Interchangeable ADL Radome for sub-8 GHz 5G Applications","Ozzola, R. (TU Delft Tera-Hertz Sensing); Neto, A. (TU Delft Tera-Hertz Sensing); Imberg, Ulrik (Huawei Technologies Sweden AB); Cavallo, D. (TU Delft Tera-Hertz Sensing)","","2024","We present a dual-polarized connected array of slots with an artificial dielectric layer (ADL) radome for mobile communication applications operating in the sub-6 GHz and the upper 6 GHz bands of 5G. The radiating slots are combined with two interchangeable ADL radomes with different thicknesses, targeting the bands 6-8 and 2-8 GHz, respectively. This highlights the main property of the ADL radome, which realizes an impedance transformer whose bandwidth is proportional to the height of the structure. Moreover, the ADL anisotropy allows for wide scanning, up to 60° in the main planes for both radomes, without scan blindness. An $8\,\, \times \,\, 8$ prototype array has been manufactured and tested with the two ADL radomes. The measured results of the active voltage standing wave ratio (VSWR) and the radiation patterns are reported to validate the design.","5G antenna arrays; artificial dielectrics; connected arrays; mobile communications; phased arrays; wideband arrays","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-09","","","Tera-Hertz Sensing","","",""
"uuid:588a73ad-98f3-48c8-b238-68e7702f5518","http://resolver.tudelft.nl/uuid:588a73ad-98f3-48c8-b238-68e7702f5518","Who are the value transformers, value co-operators and value gatekeepers? New routes to value preservation in a sufficiency-based circular economy","Haase, Louise Møller (Aalborg University); Mugge, R. (TU Delft Responsible Marketing and Consumer Behavior); Mosgaard, Mette Alberg (Aalborg University); Bocken, Nancy (Universiteit Maastricht); Jaeger-Erben, Melanie (Brandenburg University of Technology); Pizzol, Massimo (Aalborg University); Jørgensen, Michael Søgaard (Aalborg University)","","2024","Value preservation is key for the transition from a linear economy to a sufficiency-based circular economy. However, to keep the value of products and materials at the highest level possible over time, different actors such as businesses, designers, consumers, and policymakers must know when value is reduced during a product's lifetime, and how to capture and preserve this value. This study results in a comprehensive mapping of factors with a negative impact on the perceived value of products from a consumer's perspective and suggests new routes to value preservation, as a way of turning the negative factors into positive changes. Next, the paper presents a conceptual model for value preservation. The model identifies new key actors: value transformers (product developers), value co-operators (consumers), value gatekeepers (businesses), and value accountants (policymakers) and suggests important changes to their linear practices, which are needed for the sufficiency-based circular economy paradigm to flourish.","Value preservation; Circular economy; Inner loops; Actor roles; Circular behaviour; Value chain dynamics; Lifecycle","en","journal article","","","","","","","","","","","Responsible Marketing and Consumer Behavior","","",""
"uuid:a16f8f3f-6dd2-4700-89d1-93c89a65aee8","http://resolver.tudelft.nl/uuid:a16f8f3f-6dd2-4700-89d1-93c89a65aee8","Developing a Model to Study the Climate Change Impact on River Bifurcations in Engineered Rivers","Chowdhury, M. Kifayath (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Blom, A. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Ylla Arbos, C. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Schielen, R.M.J. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Rijkswaterstaat)","","2024","Climate change is responsible for global shifts in precipitation patterns and an overall in-crease in global temperatures. The transi-tions are anticipated to modify the river hydro-graph and sea level. The changes to the hy-drograph are also likely to influence sediment flux. These alterations imply shifts in both up-stream and downstream boundaries for river bifurcations. However, the resulting bifurca-tion response remains uncertain and warrants further investigation. Our objective is to un-derstand the extent of large-scale and long-term response of river bifurcations to climate change. We take the Upper Dutch Rhine bifur-cation region as our case study and develop a 1D hydro-morphodynamic model representing the system to achieve this goal.","river bifurcation; Rhine River; climate change; long term morphological change; Pannerdense Kop","en","abstract","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:4e37e532-a378-4b02-935a-af24b45d6088","http://resolver.tudelft.nl/uuid:4e37e532-a378-4b02-935a-af24b45d6088","A Field Study on Groyne Field Nourishments","Liptiay, E.J.A. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Blom, A. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Sloff, C.J. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Deltares)","","2024","Over the past century, the main channel of the Waal has experienced erosion of approx-imately 1-2 metres (Ylla Arb´os et al., 2021; Chowdhury et al., 2023). This erosion leads to various problems such as instability of struc-tures or disruption to shipping. To address this ongoing degradation, a potential solution is the implementation of sediment nourishments.
Recent pilot studies have been conducted in 2016 and 2019 to investigate the feasibility of using sediment nourishments in the main channel of the Dutch Rhine (Becker, 2023). Another possibility of nourishing is to add sed-iment to the groyne fields. Under the influence of currents and ship waves, sediment is ex-pected to be transported to the main channel, causing a groyne field to act as a sand mo-tor. To explore this concept, Rijkswaterstaat initiated a pilot project with sediment nourish-ments in three groyne field clusters along the Waal during the fall of 2023. The pilot includes an extensive measurement campaign.","groyne fields; sediment nourishments; river morphology","en","abstract","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:bb531b96-76c8-499c-bc76-e49002f01190","http://resolver.tudelft.nl/uuid:bb531b96-76c8-499c-bc76-e49002f01190","Accuracy of Numerical Morphological Models based on Simplified Hydrodynamics","Barneveld, H.J. (Wageningen University & Research; HKV Lijn in Water); Mosselman, E. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Deltares); Chavarrías, V. (Deltares); Hoitink, A.J.F. (Wageningen University & Research)","","2024","Sustainable river management often requires long-term morphological simulations. As the future is unknown, uncertainty needs to be accounted for, which may require probabilistic simulations covering a large parameter domain. Even for one-dimensional models, simulation times can be long. One of the acceleration strategies is simplification of models by neglecting terms in the governing hydrodynamic equations. Examples are the quasi-steady model and the diffusive wave model, both widely used by scientists and practitioners. We established under which conditions these simplified and often more efficient models are accurate.","Numerical modelling; Morphology; Stability Analysis","en","abstract","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:546563b7-d111-4079-a57e-e44ac42d07b9","http://resolver.tudelft.nl/uuid:546563b7-d111-4079-a57e-e44ac42d07b9","Exergy-Based Improvements of Sustainable Aviation Fuels: Comparing Biorefinery Pathways","Silva Ortiz, P. (Technische Universität München); de Oliveira Junior, Silvio (Universidade de São Paulo); Mariano, Adriano Pinto (University of Campinas); Jocher, Agnes (Technische Universität München); Posada Duque, J.A. (TU Delft BT/Biotechnology and Society)","","2024","The aeronautical sector faces challenges in meeting its net-zero ambition by 2050. To achieve this target, much effort has been devoted to exploring sustainable aviation fuels (SAF). Accordingly, we evaluated the technical performance of potential SAF production in an integrated first- and second-generation sugarcane biorefinery focusing on Brazil. The CO2 equivalent and the renewability exergy indexes were used to assess environmental performance and impact throughout the supply chain. In addition, exergy efficiency (ηB) and average unitary exergy costs (AUEC) were used as complementary metrics to carry out a multi-criteria approach to determine the overall performance of the biorefinery pathways. The production capacity assumed for this analysis covers 10% of the fuel demand in 2020 at the international Brazilian airports of São Paulo and Rio de Janeiro, leading to a base capacity of 210 kt jet fuel/y. The process design includes sugarcane bagasse and straw as the feedstock of the biochemical processes, including diverse pre-treatment methods to convert lignocellulosic resources to biojet fuel, and lignin upgrade alternatives (cogeneration, fast pyrolysis, and gasification Fischer-Tropsch). The environmental analysis for all scenarios shows a GHG reduction potential due to a decrease of up to 30% in the CO2 equivalent exergy base emissions compared to fossil-based jet fuel.","exergy and environmental assessment; biojet fuel production; biorefinery performance measurement","en","journal article","","","","","","","","","","","BT/Biotechnology and Society","","",""
"uuid:99debde4-f429-47a1-9858-ada00c3e94f8","http://resolver.tudelft.nl/uuid:99debde4-f429-47a1-9858-ada00c3e94f8","Flow partitioning between branches of the Karnali river in Nepal","Gautam, K. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Wolf, M.E. (TU Delft Civil Engineering & Geosciences); Bogaard, T.A. (TU Delft Water Resources); Blom, A. (TU Delft Rivers, Ports, Waterways and Dredging Engineering)","","2024","The dynamics of the bifurcating Karnali river in the western plains of Nepal and India is governed by the geomorphological processes in an alluvial fan. The dynamic branches showcase a notable degree of braiding, dominant channel switching and unequal discharge partitioning. Since recent switching of the dominant channel of Karnali system occurred after an intense monsoon in 2009, the eastern Geruwa branch of the system, which used to be dominant channel passing through the Bardiya National Park, is now receiving a lower share of discharge. This situation exacerbates in the low flow periods when there is very small flow in the Geruwa branch. This decreasing discharge has been associated with depleting diversity of wildlife habitat in Bardiya National Park (Bijlmakers et al., 2023). For sustainable habitat management in the Bardiya National Park, there is a necessity to study the dynamic Karnali river and its two branches, the eastern Geruwa branch and the western Kauriala branch. Activities such as sediment mining, construction of irrigation and hydropower and inter-basin water transfer projects will potentially influence the system dynamics. Our objective is to understand the switching behaviour of the Karnali system to the natural dynamics such as bend sorting (Baar et al., 2020; Parker & Andrews, 1985) of sediments at the location where water from the main Karnali enters the Geruwa branch, and offer understanding of system response to human interventions especially with regards to the distribution of discharge between the Geruwa and Kauriala branches. We combine the technique of field observations and numerical modelling to study the system.","river bifurcation; field observation","en","abstract","","","","","","","","","Civil Engineering & Geosciences","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:629471af-0673-44b0-95ac-10c269f46c67","http://resolver.tudelft.nl/uuid:629471af-0673-44b0-95ac-10c269f46c67","Using an idealized network model as the physical module for a salt intrusion serious game","den Haan, Robert-Jan (University of Twente); Biemond, Bouke (Universiteit Utrecht); Baart, F. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Deltares)","","2024","Salt intrusion is a growing problem in many deltas around the world. During periods with low river discharges, salinity upstream in a delta increases and affects freshwater availability, ecology, and other delta functions. For example, in the Rhine-Meuse estuary (the Netherlands), brackish water can reach drinking water intakes about 40 km from the estuary mouth during droughts. Salt intrusion is likely to become more severe in the context of climate change, as a result of sea level rise and a lower river discharge during droughts.
The challenges with salt intrusion for the Netherlands are addressed in the Salti Solutions research program. Within this program the Delta Management Game offers an interactive environment where policy-making stakeholders can experience salt intrusion management and experiment with adaptation and mitigation strategies in the Rhine-Meuse estuary. As a serious game, the goal is for players to “learn by taking actions and by experiencing their effects through feedback mechanisms that are deliberately built into and around the game” (Mayer, 2009, p. 825).
A particular design challenge for serious games is simplifying the environmental system and sufficiently representing the relevant physics, while offering exploratory and experimentation through (near-)instant, interactive feedback. The physical module for salt intrusion in the Delta Management Game should be able to deal with, among others, changes in bathymetry (e.g. depth or width of waterways, adding a sill) of the estuary in the game, while offering relatively quick feedback.","Idealized modelling; Serious game; Salt intrusion; Climate change","en","abstract","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:7721b745-fcd1-46d0-a90c-026364ddb557","http://resolver.tudelft.nl/uuid:7721b745-fcd1-46d0-a90c-026364ddb557","Flow-induced fragmentation and mixing of eDNA for river biodiversity assessment","Dercksen, J.A. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Stancanelli, L.M. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Trimbos, Krijn B. (Universiteit Leiden); Blom, A. (TU Delft Rivers, Ports, Waterways and Dredging Engineering)","","2024","River restoration is an established method for the rehabilitation of river ecosystems in order to combat the current declines of freshwater biodiversity (Wohl et al., 2005; WWF, 2022). The urgency of restoration is recognized internationally, as the IUCN has proclaimed 2021-2030 to be the ‘Decade on Ecosystem Restoration’ (Cooke et al., 2022). So far only few restoration projects have been evaluated based on monitoring data (England et al., 2021), and there is a need for monitoring techniques to assess restoration practices.
The analysis of environmental DNA (eDNA) has gained popularity in the last decades, as it allows for rapid standardized biomonitoring across the tree of life, requires a reduced dependence on taxonomic expertise for species identification, and it is cheaper than traditional monitoring methods. Depending on the organism, eDNA is shed by its host in forms such as mucous, shed skin cells, and faeces. After release, eDNA is exposed to a wide spectrum of environmental variables that may impact its state, transport capacity, fate, and the subsequent inference made by the practitioner (Barnes and Turner, 2016). Our objective is to study how eDNA quantities are affected by flow and sediment transport in river ecosystems.","environmental DNA; biomonitoring; fragmentation","en","abstract","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:a6969f0a-c0b9-43f3-baec-4f40b4fa8a19","http://resolver.tudelft.nl/uuid:a6969f0a-c0b9-43f3-baec-4f40b4fa8a19","Mitigation of Channel Bed Erosion through Floodplain Lowering and Nourishments","Ylla Arbos, C. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Schielen, R.M.J. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Rijkswaterstaat); van Vuren, Saskia (Rijkswaterstaat); Snoek, Yvo (Rijkswaterstaat); Blom, A. (TU Delft Rivers, Ports, Waterways and Dredging Engineering)","","2024","Channel adjustment in engineered rivers is often associated with channel bed incision (e.g., Chowdhury et al., 2023, Czapiga et al., 2022a, 2022b, Ylla Arbós et al., 2021). Channel bed incision reduces the stability of in-river structures, exposes river-crossing cables and pipelines, and the spatial variability of channel bed incision due to less erodible reaches creates shipping bottlenecks.
Various measures have been implemented to cope with these issues. They range from sediment nourishments to erosion control structures (e.g., Habersack and Piégay, 2007). Our objective is to assess the potential of floodplain lowering and sediment nourishments in mitigating large-scale channel bed incision in engineered rivers affected by climate change, considering a spatial scale of hundreds of kilometres. Our domain of interest is the Rhine River between Bonn, Germany, to Gorinchem, Netherlands. This reach has been extensively channelized during the 18th-20th centuries for improved navigation and flood protection (e.g., Ylla Arbós et al., 2021).","channel bed erosion; erosion mitigation; nourishments; numerical modelling","en","abstract","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:5cb0750c-9946-4bdc-acd4-6f3d8f7e240d","http://resolver.tudelft.nl/uuid:5cb0750c-9946-4bdc-acd4-6f3d8f7e240d","Approaches reproducing suspended sediment transport through vegetation","Liu, J. (TU Delft Water Resources; IHE Delft Institute for Water Education); Bregoli, Francesco (IHE Delft Institute for Water Education); Crosato, A. (TU Delft Environmental Fluid Mechanics; IHE Delft Institute for Water Education); Calvani, Giulio (École Polytechnique Fédérale de Lausanne)","","2024","Working as natural filter, well-designed vegetation schemes have been widely applied to improve the quality of water (Aiona, 2013; Stefanakis, 2015). Proper design, however, requires appropriate physics-based modelling of their filtering capacity. Several theoretical models predicting sediment transport in vegetated flow have been proposed: Baptist (2005); Yang and Nepf (2018); Wu et. al. (2021); Tseng and Tinoco (2021); Yagci and Strom (2022); Wang et. al. (2023). Some of them have been implemented in numerical tools (e.g. Caponi et al., 2022; Li et al., 2022) and in particular in Delft 3D (Deltares, 2014). However, they have been mostly designed and verified based on bedload processes, and their performance for suspended load should be further investigated.
This work compares different approaches on their ability to reproduce the effects of vegetation on suspended solids concentration in two-dimensional models built in Delft3D. The work focuses on emerging vegetation, represented as rigid cylinders, and sediment deposition. Comparisons are based on the ability to reproduce flume experiments available in the literature by analysing both flow field and sediment deposition results.","suspended sediment transport; Delft 3D","en","abstract","","","","","","","","","","","Water Resources","","",""
"uuid:dc5f443d-540c-4451-9e41-529d319cd1e2","http://resolver.tudelft.nl/uuid:dc5f443d-540c-4451-9e41-529d319cd1e2","ResiRiver - Mainstreaming and Upscaling Nature Based Solutions in North West European Rivers","Wilson, Stanford (Rijkswaterstaat); Rem, Nils (Rijkswaterstaat); Schielen, R.M.J. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Rijkswaterstaat); Snoek, Yvo (Rijkswaterstaat)","","2024","River managers today are faced with the challenge of adapting to climate change while also having to sustainably secure all important functions in a healthy river system for society. Nature-based Solutions (NbS) have proven themselves effective across a multitude of contexts; providing integrative approaches for river restoration, conservation and sustainable management, ensuring both climate change adaptation and contribute to climate change mitigation and biodiversity recovery for generations to come. NbS are multi-faceted and more importantly, they are effective when it comes to addressing complex societal challenges (e.g. reducing flood risk, increasing natural values and biodiversity, ecosystem services and human well-being), as they provide a novel, integrative and coherent approach. Despite the significant and rapidly growing base of scientific evidence regarding the effectiveness of NbS in riverine systems management, the actual uptake and application of NbS on a larger (EU) scale is still in its early phase. From where we stand today, a major barrier to the wider uptake and application of NbS in riverine systems remains (a) our limited experience in scaling solutions beyond their local contexts (so called ‘Upscaling’), and (b) make Nbs as a standard work practice within water management organisations throughout North-West Europe (so called ‘Mainstreaming’). Also, our lack of standardised methods for quantitative assessment and monitoring of ecosystem services and benefits related to NbS hinders replication and application at a wider scale.","nature based solutions; assessment frameworks; international cooperation","en","abstract","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:33e4fff4-45ce-4bde-b007-563a274021ea","http://resolver.tudelft.nl/uuid:33e4fff4-45ce-4bde-b007-563a274021ea","Evaluating the impact of Room for the River flood management measures on vegetation health and diversity in the Netherlands via optical remote sensing","Spriggs, A.M.S. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Mosselman, E. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Deltares); Schielen, R.M.J. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Rijkswaterstaat); Stancanelli, L.M. (TU Delft Rivers, Ports, Waterways and Dredging Engineering)","","2024","Recent policy initiatives in Europe emphasize a movement towards nature-based solutions in flood management; however, a quantitative relationship between specific flood management measures and indicators of ecological health and biodiversity is difficult to establish (Penning et al., 2023). In the Netherlands, several studies have been conducted on floodplain vegetation monitoring; however, these studies are primarily focused on monitoring changes to hydraulic roughness for flood risk assessment (Harezlak et al., 2020; Penning & van de Vries, 2020). These works provide an opportunity to expand upon existing research to explicitly connect river management practices with indicators of floodplain biodiversity change in the Netherlands.
In this study, we utilize publicly available geospatial data to identify changes in land use, vegetation classification and spectral indicators of vegetation health at restoration sites associated with the Room for the River (RftR) program in the Netherlands. Completed in 2018, RftR involved over 30 river management projects constructed to reduce flood risk by lowering peak water levels (Mosselman, 2022).
Our objective is to quantify the impact of ecologically focused RftR projects on habitat heterogeneity and river connectivity in the surrounding floodplains.","river restoration; remote sensing; GIS; biodiversity; Normalized Difference Vegetation Index (NDVI); vegetation classification","en","abstract","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:28bfdc09-6454-4fad-acce-26e7fe7ed1e7","http://resolver.tudelft.nl/uuid:28bfdc09-6454-4fad-acce-26e7fe7ed1e7","On the factors governing river morphology with a look on how rivers adapt to climate","Crosato, A. (TU Delft Environmental Fluid Mechanics; IHE Delft Institute for Water Education)","","2024","River morphology can be described at different scales: at the basin scale we distinguish the river network; at the reach scale the planform, the slope and average features, such as the width and bankfull water depth; at the cross-section scale the transverse variations of river bed topography; and at smaller scales we observe bedforms and sediment grains. What are the factors that influence the river mophology at the different scales? Does climate govern these factors and if climate changes, how does the river react?
This review addresses these important questions, focusing at the reach and cross-section scales. Important knowledge gaps are related to the channel width formation, a key factor for river morphology. Several predictors based on field data relate the equilibrium width to bankfull discharge, sediment size, vegetation and bank resistance. However, it is not clear if the river width also depends on the conditions at the start of the morphological process. An example are rivers that adapt their morphology after their water and sediment discharge regimes have been altered by an external factor, such as a dam of a change in climate. Does the morphology of these rivers depend also on their previous width?","river morphology; channel width; adaptation to climate","en","abstract","","","","","","","","","","","Environmental Fluid Mechanics","","",""
"uuid:af2cf549-dd3d-4ee4-91be-722551118409","http://resolver.tudelft.nl/uuid:af2cf549-dd3d-4ee4-91be-722551118409","Towards a robust and well-functioning Rhine River system that can sustainably provide its geo-ecosystem services","Klijn, F. (TU Delft Policy Analysis; Deltares)","","2024","The policy programme Integrated River Management (IRM) aims to anticipate climate change and to redress the negative consequences of earlier river engineering interventions. Its objective is to first and foremost ensure a well-functioning river system that can provide its essential ‘public’ geo-ecosystem services: safe discharge of floods, reliable freshwater supply, reliable waterways to the hinterland, and good conditions for aquatic and terrestrial ecosystems in the floodplains and beyond. Challenges, however, relate to the changing discharge regime resulting from climate change, and to the conflicting requirements of the various river functions and values. These pose real dilemmas about when to act, how to act, which function or value to support and which one to curb.","Robustness; Discharge capacity; Transformation","en","abstract","","","","","","","","","","","Policy Analysis","","",""
"uuid:810a8c53-abc8-4893-b114-abee5715073d","http://resolver.tudelft.nl/uuid:810a8c53-abc8-4893-b114-abee5715073d","The climate barge: Heritage and climate adaptation in the Dutch province of South-Holland","Mostert, E. (TU Delft Water Resources)","","2024","In the project Heritage uncovered; Tow barge canals in a water management context, the future value of tow barge canals for climate adaptation has been studied. The project focused on the area between the cities Leiden, The Hague, Delft, Rotterdam and Gouda, with a surface area of km2, and the tow barge canals the Vliet, the Schie, the Gouwe and the Old Rhine. Until 900 CE the areas was mostly peat swamp. Since then, it has been drained for agriculture. This has resulted in economic growth and the development of cities in the 13th Century. A side effect of drainage was land subsidence. In addition, peat was mined to supply the cities with fuel. To limit waterlogging, large drainage canals were dug, such as the Vliet and the Schie. In the 17th Century, many of these were modified to function as tow barge canals connecting the major cities.
It is expected that the water management challenges in the area will increase as a result of climate change. According to the climate scenarios for 2100 of the Royal Meteorological Institute, both heavy rainstorms and periods without any rainfall will become more common. On top of this, there are plans to build many new houses.
To prevent an increase in flood and drought problems, more temporary water storage can be created, but no less than 34 mln m3 of additional storage would be needed. 7.5 mln m3 additional storage can be created in the different polders, primarily to cope with peak rainfall events, while east of the town of Zoetermeer a new lake with 26.5 mln m3 of temporary storage can be created to supply water in drought periods, called the Bent lake (Bentmeer). Assuming 2 m difference between the highest and the lowest water level, the Bent lake would need to have a surface area of 13.3 km2. It can offer excellent opportunities for recreation and nature.
To transport water in and out of the Bent lake, a connection to the Rotte river in the south and the Old Rhine (Oude Rijn) in the north has to be made. This would restore an old shipping route. For the connection to the Old Rhine three options have been explored and for one of these a spatial plan has been made. In this option the Bent lake is connected to the existing Benthuizer canal (Benthuizervaart) and the Benthuizer canal is connected via a new canal to the existing Hoogeveense canal (Hoogeveense vaart), (see figures 15 and 16). Along parts of the new canal futuristic “green” appartements will be built with a view either on the canal or over the surrounding polders. To limit height differences for boating, the new canal will be constructed above the level of the polder. The new apartment buildings along the canal will also be built at a higher level, which will make them less vulnerable to flooding (see figure 1).
In all options the old tow barge canals are essential for transporting water to and from the Bent lake and discharging excess water onto the main rivers and the North Sea. In addition, they are a good entry point for telling the history of the landscape and reflecting on possible futures. It is proposed to construct a tow path along the new canal and make a replica of an original tow barge. This barge will be called the “Climate Barge” (Klimaatschuit) and can be used as a floating exhibition space and a location for future discussions.
The proposals in this report have not yet been developed in detail and the future is still very uncertain. Yet, we cannot wait until there is certainty. If sooner or later large-scale temporary water storage in this part of the country will be needed, space for this has to be reserved quite soon. The costs will be high, but the costs of inaction will be high too. And it offers new opportunities.
4+/3+ and Eu3+/2+ charge transition levels (CTLs) is the most important parameter in constructing vacuum referred binding energy diagrams (VRBEs) with all the lanthanide CTLs with respect to the vacuum level of energy. The parameter is difficult to determine from experiment and the aim of this work is to establish a method to estimate the U-value from the average electronegativity of the cations in the compound. Since the U-value is controlled by the same physical processes, i.e., covalence and anion polarizability, as the centroid shift ϵc of the Ce3+ 5d configuration, one may estimate the U-value from that centroid shift. That method provides already good values for U for about 175 different compounds. Those U-values are compared with the average cation electronegativity χav, and relations will be established from which the U-value can be estimated with about ±0.1 eV accuracy from just the composition of the compound. It can be applied to all types of stoichiometric inorganic compounds like the halides (F, Cl, Br, I), chalcogenides (O, S, Se), and nitrides (N). The U-value complemented with the bandgap and the energy needed for electron transfer from the valence band top to a trivalent lanthanide dopant is then sufficient to construct a VRBE diagram with all lanthanide levels with respect to the vacuum level and the host valence and conduction bands.","Ce centroid shift; Charge transition levels; Electronegativity; Electronic structure; Lanthanides; VRBE-schemes","en","journal article","","","","","","","","","","","RST/Luminescence Materials","","",""
"uuid:c224e646-2ccd-47bc-8718-1224caf447b1","http://resolver.tudelft.nl/uuid:c224e646-2ccd-47bc-8718-1224caf447b1","An efficient bilinear interface reconstruction algorithm and consistent multidimensional unsplit advection scheme for accurate capturing of highly-curved interfacial shapes on structured grids","van der Eijk, M. (TU Delft Ship Hydromechanics); Wellens, P.R. (TU Delft Ship Hydromechanics and Structures)","","2024","A new bilinear interface reconstruction algorithm (BLIC) is presented to capture highly-curved interfaces more accurately on structured grids without a significant increase in computational costs compared to the standard piecewise linear interface calculation (PLIC) methods. The new reconstruction algorithm uses the initial PLIC segment and improves continuity of the interface using an averaging method. A curvature-weighted method improves the repositioning of the linear segments. A new unsplit donating quadrant advection (DQA) scheme is introduced that is conservative and can create consistency with the momentum flux for two-phase flow models with a staggered MAC arrangement of variables within a grid cell. The consistent discretization of the fluxes prevents spurious interface velocities, negative densities, and instabilities. Standard 2D test cases and benchmarks demonstrate the performance of the BLIC and the DQA scheme, showing high accuracy and low costs compared to other available methods.","Bilinear interface reconstruction; Interface capturing; Multiphase flow; Unsplit advection scheme; Volume of fluid","en","journal article","","","","","","","","","","","Ship Hydromechanics","","",""
"uuid:13f9b1f0-2a1b-4147-a051-66ac364f1c11","http://resolver.tudelft.nl/uuid:13f9b1f0-2a1b-4147-a051-66ac364f1c11","Improving mechanical properties and sustainability of high-strength engineered cementitious composites (ECC) using diatomite","Zhu, Xuezhen (Central South University China); Zhang, Minghu (Central South University China); Shi, Jinyan (Central South University China); Weng, Yiwei (The Hong Kong Polytechnic University); Yalçınkaya, Çağlar (Dokuz Eylul University); Šavija, B. (TU Delft Materials and Environment)","","2024","High-strength engineered cementitious composites (ECC) typically require higher cement content, which is negative from the sustainability point of view. To alleviate this problem, herein a low-cost and eco-friendly high-strength ECC (with a compressive strength of over 100 MPa) was developed, and diatomite was used to replace a small amount of cement. An appropriate amount of diatomite was found to improve the compressive strength, tensile strength and first cracking strength of ECC, but at the expense of part of the strain capacity (still all higher than 2.9%). Furthermore, the high pozzolanic activity and specific surface area of diatomite also increased the autogenous shrinkage, but reduced the drying shrinkage of ECC due to its internal curing effect. The incorporation of diatomite improved the pore structure of ECC, consumed more Ca(OH)2, and enhanced the hydration degree of the mixture. In the end, the economic and environmental benefits of diatomite-modified ECC were also evaluated, and the cost, non-renewable energy demand, and global warming potential of ECC with 3% diatomite were reduced compared to plain ECC by 12.9, 15.1, and 13.3%, respectively. The developed high-strength ECC is therefore a low-cost and eco-friendly alternative to the traditional one.","Diatomite; Engineered cementitious composites (ECC); High-strength; Sustainability assessment; Tensile properties","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-02","","","Materials and Environment","","",""
"uuid:a67ddc88-90a3-4cd6-8da1-f40d630644f3","http://resolver.tudelft.nl/uuid:a67ddc88-90a3-4cd6-8da1-f40d630644f3","Investigating the Role of Executive Intrafirm Alumni Networks in Top Management Fraud: Multilevel Study in China's Construction Industry","Wang, Ran (Hunan University); Wang, Tongbing (Hunan University); Lee, Chia-Jung (Tunghai University); Liu, Zhengxuan (TU Delft Design & Construction Management; Hunan University); Zhang, G. (Hunan University)","","2024","To explore factors that influence the likelihood of committing fraud in the construction industry, this study concentrated on senior executives and tested whether some characteristics at the individual and firm levels have impacts on the likelihood of fraud committed by top management. Based on social network theory, this study first proposes that intrafirm alumni networks may increase the probability of senior executives engaging in corrupt behavior. Then the study explored whether the effect of executives' alumni networks on their wrongdoings is influenced by external and internal corporate governance measures. To verify the hypotheses, this study collected data on 2,017 senior executives from 118 construction companies in China from 2013 to 2021. Because of the multilevel structure of the data, hierarchical linear modeling was used. The results show that alumni networks have a significant positive effect on top management fraud. The effect is weakened by external auditing, altered by board independence, and strengthened by the size of the board of directors and the size of the supervisory board. This multilevel research contributes to advancing the understanding of managers' fraudulent behavior within an organization and extends the literature on social networks and corporate governance in the construction industry.","Alumni networks; Corporate governance; Hierarchical linear modeling; Social networks; Top management fraud","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-13","","","Design & Construction Management","","",""
"uuid:6b9bbc73-571d-4f60-8ddd-1a2f720879f5","http://resolver.tudelft.nl/uuid:6b9bbc73-571d-4f60-8ddd-1a2f720879f5","Imperfection-induced internal resonance in nanotube resonators","Belardinelli, P. (Università Politecnica delle Marche); Lenci, S. (Università Politecnica delle Marche); Alijani, F. (TU Delft Dynamics of Micro and Nano Systems)","","2024","Through molecular dynamics simulations, we demonstrate the possibility of internal resonances in single-walled carbon nanotubes. The resonant condition is engineered with a lack of symmetry in the boundary condition and activated by increasing the energy exchange with a coupled thermal bath. The critical temperature threshold for initiating modal interaction is found to be chirality-dependent. By applying the proper orthogonal decomposition algorithm to molecular dynamics time responses, we show how the thermal fluctuations influence the vibrational behaviour of the nanotube leading to both flexural–flexural and flexural–longitudinal resonances. Understanding the interaction between nanotube resonators and the thermal bath is crucial for designing and optimizing their performance for various nanoscale sensing, actuation, and signal processing applications.","Carbon nanotube; Imperfect boundary conditions; Internal resonance; Molecular dynamics; Thermoelasticity","en","journal article","","","","","","","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:2831b2e7-f892-488f-a698-22661f3ea034","http://resolver.tudelft.nl/uuid:2831b2e7-f892-488f-a698-22661f3ea034","Modelling of kinematic higher pairs by lower pairs","Meijaard, J.P. (TU Delft Mechatronic Systems Design)","","2024","Kinematic joints are classified in lower pairs and higher pairs. Most multibody modelling techniques focus on lower pairs, because a complete classification in six types is available. Higher pairs are more diverse. In this article, higher pairs that can be exactly modelled by lower pairs are investigated. A complete classification of higher pairs that can be modelled by a chain of five single-degree-of-freedom lower pairs with a central revolute joint at the contact point is proposed. Two-dimensional cases and surfaces with discontinuities are also considered. The equivalent chains can be used for exact and approximate modelling of higher pairs and as design alternatives. Illustrative examples and applications to a bicycle on toroidal wheels and a railway wheelset on a roller rig are shown.","Bicycle; Classification; Higher pairs; Kinematic joints; Lower pairs; Railway wheelset","en","journal article","","","","","","","","","","","Mechatronic Systems Design","","",""
"uuid:a79712b3-9716-42bb-b01a-c657a7b34369","http://resolver.tudelft.nl/uuid:a79712b3-9716-42bb-b01a-c657a7b34369","How manoeuvre information via auditory (spatial and beep) and visual UI can enhance trust and acceptance in automated driving","Kim, S. (TU Delft Human Information Communication Design); van Egmond, R. (TU Delft Human Information Communication Design); Happee, R. (TU Delft Intelligent Vehicles)","","2024","In conditionally automated driving (SAE level 3), drivers may take their eyes off the road but will still need to be ready to take control and will, therefore, benefit from information on automation. This study aims to investigate the effectiveness of automation manoeuvre information provided through spatial sound, traditional notification sound (beep), and a visual interface. Spatial sounds were designed differentiating four distinct driving manoeuvres: overtaking a leading car, slowing down, turning right, and passing a roundabout. The notification sound consisted of one beep being identical for all manoeuvres. The visual interface showed the automation mode with an image and manoeuvre information with text and images. The impact of these interfaces on trust, workload, acceptance, situation awareness, and sense of control was evaluated with questionnaires and visual attention was evaluated with eye tracking while participants engaged in a visual-motor secondary task in a driving simulator. The results indicate that, with all interfaces tested, manoeuvre information enhances trust, acceptance, situation awareness, and sense of control, without significantly affecting the overall workload. These benefits were more profound, adding auditory information and differed marginally between the traditional notification and the spatial sound, as the effectiveness of the different auditory interface types varied depending on the specific manoeuvre. Findings highlight the importance of designing user interfaces for automation manoeuvre information using auditory cues to improve the user experience in automated driving.","Automated vehicles; Human-Machine Interaction; Trust; Acceptance; Sound design","en","journal article","","","","","","","","","","","Human Information Communication Design","","",""
"uuid:6a549ef8-9f86-4151-8aee-448ea6ad4c30","http://resolver.tudelft.nl/uuid:6a549ef8-9f86-4151-8aee-448ea6ad4c30","The effect of working fluid and compressibility on the optimal solidity of axial turbine cascades","Tosto, F. (TU Delft Flight Performance and Propulsion); Pini, M. (TU Delft Flight Performance and Propulsion); Colonna, Piero (TU Delft Flight Performance and Propulsion)","","2024","The blade solidity, namely the blade chordtopitch ratio, largely affects the fluiddynamic performance of turbomachinery. For turbomachines operating with air or steam, the optimal value of the solidity which maximizes the efficiency is estimated with empirical correlations such as the ones proposed by Zweifel (1945) and Traupel (1966). However, if the turbomachine operates with unconventional fluids, the accuracy of these correlations becomes questionable. Examples of such working fluids are the nonideal (dense) vapors of organic compounds (e.g., hydrocarbons, siloxanes) used to operate organic Rankine cycle (ORC) power systems. This study investigates the effect of both the working fluid and the flow compressibility on the optimum pitchtochord ratio of turbine stages. A first principle model for the profile losses is developed for this purpose. Charts providing the optimal pitchtochord ratio for unconventional turbine stages are then provided. Numerical simulations of the flow over a turbine stator cascade have been conducted to validate the model results and evaluate the influence of both working fluid, flow compressibility, and solidity value on the loss breakdown. The results show that the optimal solidity of turbine cascades value significantly increases with the flow compressibility. Therefore, models providing the optimal solidity based on the estimate of passage loss only are not suited for unconventional turbines.","","en","conference paper","","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:dc1f4148-c91f-49ae-818c-ef7d4ed17212","http://resolver.tudelft.nl/uuid:dc1f4148-c91f-49ae-818c-ef7d4ed17212","Towards a framework for point-cloud-based visual analysis of historic gardens: Jichang Garden as a case study","Peng, Y. (TU Delft Landscape Architecture); Zhang, Guanting (Nanjing Tech University); Nijhuis, S. (TU Delft Landscape Architecture); Agugiaro, G. (TU Delft Urban Data Science); Stoter, J.E. (TU Delft Urban Data Science)","","2024","Historic gardens, regarded as a significant genre of cultural heritage, encapsulate the enduring essence of bygone eras while concurrently transcending temporal boundaries to resonate with the present and future. These gardens provide us vitality and inspiration, holding a collective repository of human memory and serving as a testament to our shared heritage. However, like landscapes, gardens constantly change through natural processes and human interventions. How can we preserve these gardens, though changes are unavoidable? Spatial and visual characteristics are the gardens' essential characteristics, and point-cloud (LiDAR) technologies are powerful tools to reveal and analyze gardens’ spatial-visual relationships and characteristics. Therefore, this paper aims to present a point-cloud-based approach to identifying spatial-visual design principles and making them operational to protect and develop historic gardens. Additionally, several methods have been proposed in this research, including (a) a voxel-based method to transfer points into a solid model for GIS-based computation, (b) a novel method to analyze the field of view (FOV), and (c) a systemic framework to reveal historic gardens’ spatial-visual characteristics based on the voxelized model. Jichang Garden, a historic garden in Wuxi, China, known for its visual design and spatial arrangement, has been selected as a case study to showcase how to apply the methods proposed by this paper. The findings include the design principles for the water body, the arrangement for a route, and the planting strategies of the garden. The conservational strategies have been formed based on the findings, and the appliable potentials and limitations of the methods have also been discussed.","Spatial analysis; Jichang Garden; Heritage gardens; LiDAR; GIS","en","journal article","","","","","","","","","","","Landscape Architecture","","",""
"uuid:9062a160-7556-44c3-a06c-7579961da0a4","http://resolver.tudelft.nl/uuid:9062a160-7556-44c3-a06c-7579961da0a4","Unveiling complexity of hydrogen integration: A multi-faceted exploration of challenges in the Dutch context","Hasankhani, M. (TU Delft Design for Sustainability); van Engelen, J.M.L. (TU Delft Design for Sustainability); Celik, S (TU Delft Methodologie en Organisatie van Design); Diehl, J.C. (TU Delft Design for Sustainability)","","2024","As the transition to sustainable energy intensifies, hydrogen emerges as a pivotal medium in mitigating climate change and improving energy security. While its applicability across various sectors is undeniable, its integration into established energy systems presents multifaceted challenges. This study investigates the complexities of integrating hydrogen into the Netherlands' energy systems. Beyond technological advancements, the successful design and rollout of a hydrogen supply chain require coordination and collaboration among a myriad of stakeholders. Through a mixed-methods approach, this study combines findings from a broad literature review, policy document analyses, evaluation of 59 field projects, and engaging dialogues with 33 key stakeholders from different sectors. This investigation led to the identification and categorization of key players in the Dutch hydrogen sector, revealing their interconnected roles and the challenges encountered in the hydrogen integration process. The study further categorized the identified challenges faced by stakeholders into five core domains: technical, infrastructural (including supply chain), socioeconomic, environmental, and institutional, with associated factors. Prominent challenges include transportation infrastructure upgrades, high initial costs and scalability, effective storage methods, safety and cybersecurity measures, storage and distribution infrastructure, security of supply, and public acceptance. This study contributes to the hydrogen integration discourse, offering insights for academics, industry, and policymakers. Its detailed stakeholder analysis, holistic categorization of challenges across five domains, and a stakeholder-centric approach grounded in real-world dialogues offer applicable frameworks beyond its primary context. In this vein, it guides future research and decisions, and its approach is adaptable for different regions or sectors, emphasizing comprehensive transition strategies.","Hydrogen infrastructure; Hydrogen supply chain; Sectoral distribution shifts; Stakeholder analysis; Stakeholder coordination; Energy policy","en","journal article","","","","","","","","","","","Design for Sustainability","","",""
"uuid:f18ce335-ce3c-40ee-a7bd-ccce8e5a1481","http://resolver.tudelft.nl/uuid:f18ce335-ce3c-40ee-a7bd-ccce8e5a1481","Emergency response in cascading scenarios triggered by natural events","Ricci, Federica (University of Bologna); Yang, M. (TU Delft Safety and Security Science); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven); Cozzani, Valerio (University of Bologna)","","2024","Emergency response is a procedural safety barrier of paramount importance for the mitigation of fire scenarios and the prevention of escalation. However, in Natech scenarios, emergency response may be affected by the natural event impacting the site. Indeed, when contrasting Natech accidents, emergency responders have to face both the natural event and the cascading technological scenario. Despite the criticality of the issue, limited attention was devoted to date to the analysis of emergency response in cascading sequences triggered by natural events. The present study provides a novel and technically sound methodology to assess the performance of emergency response and the required intervention time in Natech scenarios. An expert survey combined with a Bayesian Network model was used to assess the performance of the emergency response. The routing and setup phases were identified as those mostly affected by natural events. Monte Carlo simulations were used to obtain baseline data and specific probability distributions for the time required to carry out the emergency response considering the factors that may hinder the response during natural events. In Natech accidents, the time for effective mitigation resulted higher of at least a factor 2 with respect to that expected in the case of conventional accidents. The methodology developed may be used to support the improvement of the emergency management of Natech scenarios, allowing for a detailed definition of site-specific emergency response plans. Moreover, the results may be used to provide a more accurate assessment of the fire-driven escalation probability in Natech events.","Cascading events, Natech, Quantitative risk assessment, Bayesian Network, Monte Carlo simulation; Emergency response","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:9e4b4c23-d1ed-4355-85a6-7a56aa2b839a","http://resolver.tudelft.nl/uuid:9e4b4c23-d1ed-4355-85a6-7a56aa2b839a","Hybrid Tunable Magnet Actuator: Design of a Linearized Force-Flux Tunable Magnet Actuator","Hoekwater, William B. (Student TU Delft); Ronaes, E.P. (TU Delft Mechatronic Systems Design); Hassan HosseinNia, S. (TU Delft Mechatronic Systems Design)","","2024","Recent studies have shown that tunable magnets (soft permanent magnets) can significantly reduce Joule heating in electromagnetic actuators. To achieve high motion accuracy and repeatability, this article proposes a novel actuator design with a linearized force-flux relation. In prior designs of variable reluctance tunable magnet actuators, the force and flux are related quadratically via a C-shaped actuator. Hybrid tunable magnet actuators based on biased fluxes are developed using lumped parameter models. Using finite element analysis, it is shown that the force-flux relation is symmetric linear around the mid position depending on the magnetic flux direction in the magnet. Within a position range of ±500 μm and a force range of ±20 N, the linear fit produces a negligible error of 0.08 N. Finally, this linear relationship is validated with a 0.03-N error in an experimental setup.","Actuators; Force; Magnetic circuits; Magnetic flux; Magnetic hysteresis; Magnetic separation; Magnetomechanical effects; Saturation magnetization; tunable magnets; variable reluctance actuator","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-19","","","Mechatronic Systems Design","","",""
"uuid:6047e0c7-382a-47c3-ad09-2eecf2115de5","http://resolver.tudelft.nl/uuid:6047e0c7-382a-47c3-ad09-2eecf2115de5","Evaluating the choice of radial basis functions in multiobjective optimal control applications","Zatarain Salazar, J. (TU Delft Policy Analysis); Kwakkel, J.H. (TU Delft Policy Analysis); Witvliet, Mark (Axians)","","2024","Evolutionary Multi-Objective Direct Policy Search (EMODPS) is a prominent framework for designing control policies in multi-purpose environmental systems, combining direct policy search with multi-objective evolutionary algorithms (MOEAs) to identify Pareto approximate control policies. While EMODPS is effective, the choice of functions within its global approximator networks remains underexplored, despite their potential to significantly influence both solution quality and MOEA performance. This study conducts a rigorous assessment of a suite of Radial Basis Functions (RBFs) as candidates for these networks. We critically evaluate their ability to map system states to control actions, and assess their influence on Pareto efficient control policies. We apply this analysis to two contrasting case studies: the Conowingo Reservoir System, which balances competing water demands including hydropower, environmental flows, urban supply, power plant cooling, and recreation; and The Shallow Lake Problem, where a city navigates the trade-off between environmental and economic objectives when releasing anthropogenic phosphorus. Our findings reveal that the choice of RBF functions substantially impacts model outcomes. In complex scenarios like multi-objective reservoir control, this choice is critical, while in simpler contexts, such as the Shallow Lake Problem, the influence is less pronounced, though distinctive differences emerge in the characteristics of the prescribed control strategies.","Direct policy search; Global approximators; Many Objective Evolutionary Algorithms; Water resources management","en","journal article","","","","","","","","","","","Policy Analysis","","",""
"uuid:d3529293-7b5d-4265-9816-41c226eb9685","http://resolver.tudelft.nl/uuid:d3529293-7b5d-4265-9816-41c226eb9685","Do personality traits influence the user's behavioral intention to adopt and use Open Government Data (OGD)? An empirical investigation","Rizun, Nina (Politechnika Gdanska); Alexopoulos, Charalampos (University of the Aegean); Saxena, Stuti (Graphic Era University); Kleiman, F. (TU Delft Information and Communication Technology); Matheus, R. (TU Delft Information and Communication Technology)","","2024","The academic interest in the Open Government Data (OGD) domain has been burgeoning over the years. Conceding that the prime focus of an OGD initiative is its further re-use for value creation and innovation by stakeholders, the present study seeks to underscore the role of HEXACO personality traits on behavioral intention (BI) to adopt and use OGD in developing countries' context. We investigate the direct, indirect, and moderating effects of HEXACO personality traits provide a better understanding of how and to what extent personality traits influence future behavioral intention to use OGD. The results demonstrate that Trust and Performance Expectancy are positive predictors of BI to adopt and use OGD. Users with higher Openness to Experience tend to have higher Effort and Performance Expectancy; are characterized by exposure to Social Influence; have higher level of Trust and positive experience of Facilitating Conditions and Information Quality. Agreeable people are more likely to Voluntarily Use OGD. Conscientiousness enhances the individual's perception of OGD quality-related factors. Excessive Emotionality affects negative perception to System and Information Quality issues. Honesty–Humility and Extraversion are able to maintain the effect of OGD Information Quality and Trust on users' BI. Our findings could be useful for practitioners to level the divergence between actual and potential use of OGD by considering the user's personality traits.","HEXACO; OGD; Open Government Data; Personality traits; Technology adoption; UTAUT","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-30","","","Information and Communication Technology","","",""
"uuid:86e4fe80-a217-423d-b2ea-dcf1e88fc0bd","http://resolver.tudelft.nl/uuid:86e4fe80-a217-423d-b2ea-dcf1e88fc0bd","Air quality forecasting of along-route ship emissions in realistic meteo-marine scenarios","Orlandi, Andrea (Consorzio LaMMA); Calastrini, Francesca (IMAMOTER - C.N.R. Sensors and Nanomaterials Laboratory); Kalikatzarakis, Miltiadis (University of Strathclyde); Guarnieri, Francesca (Consorzio LaMMA); Busillo, Caterina (Consorzio LaMMA); Coraddu, A. (TU Delft Ship Design, Production and Operations)","","2024","This study introduces a novel framework of metocean prediction and ship performance models that integrate multiple layers of modeling to evaluate the environmental impact of ship emissions. It enables scenario simulations that assess a ship's performance, estimates pollutant emissions, and simulate the fate of these pollutants in the atmosphere. The study analyzes the fate of NOx, SO2, and PM10 pollutants in the atmosphere using spatially distributed concentration maps. It provides a comprehensive approach to assessing the environmental effects of ships and their emissions and contributes to the field of environmental impact assessment. Case studies are presented to demonstrate the framework's functionalities, evaluating the interrelationships between adverse meteo-marine conditions, pollutant emissions, and resulting atmospheric diffusion characteristics.","Air quality modeling; Seakeeping; Ship emissions; Ship performance modeling","en","journal article","","","","","","","","","","","Ship Design, Production and Operations","","",""
"uuid:8455eb64-fe10-4196-8c60-14f16c292381","http://resolver.tudelft.nl/uuid:8455eb64-fe10-4196-8c60-14f16c292381","3-D induction log modelling with integral equation method and domain decomposition pre-conditioning","Saputera, D. H. (University of Bergen); Jakobsen, M. (University of Bergen); van Dongen, K.W.A. (TU Delft ImPhys/Medical Imaging; TU Delft ImPhys/Van Dongen goup); Jahani, N. (NORCE Norwegian Research Centre AS); Eikrem, K. S. (NORCE Norwegian Research Centre AS); Alyaev, S. (NORCE Norwegian Research Centre AS)","","2024","The deployment of electromagnetic (EM) induction tools while drilling is one of the standard routines for assisting the geosteering decision-making process. The conductivity distribution obtained through the inversion of the EM induction log can provide important information about the geological structure around the borehole. To image the 3-D geological structure in the subsurface, 3-D inversion of the EM induction log is required. Because the inversion process is mainly dependent on forward modelling, the use of a fast and accurate forward modelling tool is essential. In this paper, we present an improved version of the integral equation (IE) based modelling technique for general anisotropic media with domain decomposition pre- conditioning. The discretized IE after domain decomposition equals a fixed-point equation that is solv ed iterativ ely with either the block Gauss-Seidel or Jacobi pre-conditioning. Within each iteration, the inverse of the block matrix is computed using a Krylov subspace method instead of a direct solver. An additional reduction in computational time is obtained by using an adaptive relative residual stopping criterion in the iterativ e solv er. Using this domain decomposition scheme, numerical experiments show computation time reductions by factors of 1.97-2.84 compared to solving the full-domain IE with a GMRES solver and a contraction IE pre- conditioner. Additionally, the reduction of memory requirement for covering a large area of the induction tool sensitivity enables acceleration with limited GPU memory. Hence, we conclude that the domain decomposition method is improving the efficiency of the IE method by reducing the computation time and memory requirement.","Electromagnetic theory; Numerical modelling; Numerical solutions","en","journal article","","","","","","","","","","","ImPhys/Medical Imaging","","",""
"uuid:8a757769-7f9a-4d2f-a7ae-1ed7369e90fd","http://resolver.tudelft.nl/uuid:8a757769-7f9a-4d2f-a7ae-1ed7369e90fd","Modelling the formation of peer-to-peer trading coalitions and prosumer participation incentives in transactive energy communities","Zhang, Ying (Student TU Delft; Centrum Wiskunde & Informatica (CWI)); Robu, Valentin (Centrum Wiskunde & Informatica (CWI); Eindhoven University of Technology; Princeton University); Cremers, S.A. (TU Delft Intelligent Electrical Power Grids; Centrum Wiskunde & Informatica (CWI)); Norbu, Sonam (University of Glasgow); Couraud, Benoit (University of Glasgow); Andoni, Merlinda (University of Glasgow); Flynn, David (University of Glasgow); Poor, H. Vincent (Princeton University)","","2024","Peer-to-peer (P2P) energy trading and energy communities have garnered much attention over in recent years due to increasing investments in local energy generation and storage assets. Much research has been performed on the mechanisms and methodologies behind their implementation and realisation. However, the efficiency to be gained from P2P trading, and the structure of local energy markets raise many important challenges. To analyse the efficiency of P2P energy markets, in this work, we consider two different popular approaches to peer-to-peer trading: centralised (through a central market maker/clearing entity) vs. fully decentralised (P2P), and explore the comparative economic benefits of these models. We focus on the metric of Gains from Trade (GT), given optimal P2P trading schedule computed by a schedule optimiser. In both local market models, benefits from trading are realised mainly due to the diversity in consumption behaviour and renewable energy generation between prosumers in an energy community. Both market models will lead to the most promising P2P contracts (the ones with the highest Gains from Trade) to be established first. Yet, we find diversity decreases quickly as more peer-to-peer energy contracts are established and more prosumers join the market, leading to significantly diminishing returns. In this work, we aim to quantify this effect using real-world data from two large-scale smart energy trials in the UK, i.e. the Low Carbon London project and the Thames Valley Vision project. Our experimental study shows that, for both market models, only a small number of P2P contracts i.e. less than 10% of the possible P2P contracts are required to achieve the majority of the maximal potential Gains from Trade. Similarly, only a fraction of prosumers are required to participate in energy trading to realise significant GT; namely we found that 60% of the maximal GT can be realised with only 30% of prosumers’ participation, with the percentage of maximal GT reaching 80% when participation increases to 50% of prosumers. Finally, we study the effect that diversity in consumption profiles has on overall trading potential and dynamics in an energy community. We show that in a community with a DF(load diversity factor) = 1, 80% of potential maximal GT can be achieved by 10% of prosumers engaging in P2P trading, while in a community with DF = 1.5, it is beneficial for 40% of the prosumers to trade.","Peer-to-peer trading; Energy community; Negotiation","en","journal article","","","","","","","","","","","Intelligent Electrical Power Grids","","",""
"uuid:71c7c81d-b1c0-49ef-9b5f-492a481ea42c","http://resolver.tudelft.nl/uuid:71c7c81d-b1c0-49ef-9b5f-492a481ea42c","Glare-based control strategy for Venetian blinds in a mixed-use conference space with fully glazed facades","Theodoropoulou, P. (TU Delft Civil Engineering & Geosciences); Brembilla, E. (TU Delft Environmental & Climate Design); Schipper, H.R. (TU Delft Applied Mechanics); Louter, P.C. (TU Delft Applied Mechanics)","","2024","Smart buildings are equipped with automated control systems that provide a comfortable indoor environment, aiming simultaneously at energy savings. Control systems for shading devices applied in practice are mostly driven by a rule-based approach, that is usually tested under simplified conditions and hence its effectiveness in complex real-life cases is questionable. The present study develops an optimized glare-based control strategy for Venetian blinds in a real-life open-space building with totally transparent facades. The research is based on the case study of the Co-Creation Center at the TU Delft campus, which can host three different types of events: presentations, meetings and workshops. The control strategy is developed within Grasshopper, a tool for parametric and optimization problems. Radial Basis Function Optimization (RBFOpt) is utilized for the computation of the optimal blinds’ states. Within the developed control strategy, cylindrical illuminance (Ecyl) is used as a glare index, giving the opportunity to evaluate its performance. Results show that the optimized algorithm can improve the existing visual conditions in the building by an average of 80% for all activity types, although it leads to an average increase of 7% of the time when electric lighting is needed, in comparison to the current rule-based control. Finally, Ecyl displayed an overall agreement of 92.5% with DGP-based glare assessments, proving that in spaces with multiple windows and uncertain occupants’ view direction, a view-independent index can predict glare risks as well as a state-of-the-art view-dependent metric.","Living lab; Fully glazed facades; Control strategy; Optimization; Cylindrical illuminance","en","journal article","","","","","","","","","Civil Engineering & Geosciences","","Environmental & Climate Design","","",""
"uuid:777c8d37-6367-455e-80fa-1905fa6f6940","http://resolver.tudelft.nl/uuid:777c8d37-6367-455e-80fa-1905fa6f6940","Regression analysis for container ships in the early design stage","Rinauro, B. (Università degli Studi di Napoli Federico II); Begovic, E. (Università degli Studi di Napoli Federico II); Mauro, F. (TU Delft Ship Design, Production and Operations; Sharjah Maritime Academy); Rosano, G. (Università degli Studi di Napoli Federico II)","","2024","The seaway trade market has expanded in the last years and container ship dimensions are constantly increasing for higher cargo capacity. In the early design stage, main dimensions are usually determined based on an existing ship database from which regression formulas are derived. In the present paper, a database of 260 non-sister container ships built from 1979 to 2022, representing 20% of the world fleet, has been considered to derive and compare different types of regressions. Simple regressions have been developed and compared with equivalent formulations available in literature, proving better approximations of the trends. The study has been further extended by multivariable regressions and forest tree algorithms, which allow the use of more than one independent variable and provide a better fitting compared to simple regressions. Forest tree regressions return the highest values of fitting coefficients, but the technique is not of easy application due to the absence of mathematical expressions. The main contribution is the updated set of simple and multivariable regression formulas which have a higher goodness of fit than previous works and can be easily employed by designers in the early design stage and in multi-attribute design procedures.","Container ships; Database statistics; Forest trees; Multivariable regressions; Ship design; Simple regressions","en","journal article","","","","","","","","","","","Ship Design, Production and Operations","","",""
"uuid:50f3bf57-dab0-4cb5-bb2f-d31759e5c62f","http://resolver.tudelft.nl/uuid:50f3bf57-dab0-4cb5-bb2f-d31759e5c62f","Efficiency of InN/InGaN/GaN Intermediate-Band Solar Cell under the Effects of Hydrostatic Pressure, In-Compositions, Built-in-Electric Field, Confinement, and Thickness","Abboudi, Hassan (University of Sidi Mohamed Ben Abdullah); El Ghazi, Haddou (Hassan II University of Casablanca; University of Sidi Mohamed Ben Abdullah); En-nadir, Redouane (University Sidi Mohammed Ben Abdellah); Basyooni, Mohamed A. (TU Delft Dynamics of Micro and Nano Systems; National Research Institute of Astronomy and Geophysics; Selçuk University); Jorio, Anouar (University of Sidi Mohamed Ben Abdullah); Zorkani, Izeddine (University of Sidi Mohamed Ben Abdullah)","","2024","This paper presents a thorough numerical investigation focused on optimizing the efficiency of quantum-well intermediate-band solar cells (QW-IBSCs) based on III-nitride materials. The optimization strategy encompasses manipulating confinement potential energy, controlling hydrostatic pressure, adjusting compositions, and varying thickness. The built-in electric fields in (In, Ga)N alloys and heavy-hole levels are considered to enhance the results’ accuracy. The finite element method (FEM) and Python 3.8 are employed to numerically solve the Schrödinger equation within the effective mass theory framework. This study reveals that meticulous design can achieve a theoretical photovoltaic efficiency of quantum-well intermediate-band solar cells (QW-IBSCs) that surpasses the Shockley–Queisser limit. Moreover, reducing the thickness of the layers enhances the light-absorbing capacity and, therefore, contributes to efficiency improvement. Additionally, the shape of the confinement potential significantly influences the device’s performance. This work is critical for society, as it represents a significant advancement in sustainable energy solutions, holding the promise of enhancing both the efficiency and accessibility of solar power generation. Consequently, this research stands at the forefront of innovation, offering a tangible and impactful contribution toward a greener and more sustainable energy future.","IBSC; III-nitrides; efficiency; semi-graded potential; built-in field; thickness","en","journal article","","","","","","","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:8ce650e1-8ae9-46d2-a7d0-08ed058937da","http://resolver.tudelft.nl/uuid:8ce650e1-8ae9-46d2-a7d0-08ed058937da","Infrared temperature measurements on fast moving targets: A novel calibration approach","Sisti, Manuela (University of Oxford); Falsetti, C. (TU Delft Fluid Mechanics); Beard, Paul F. (University of Oxford)","","2024","In this study, an infrared system is developed for accurate measurements of surface temperature and heat transfer on fast moving targets. The system was designed for the Oxford Turbine Research Facility, a world-leading experimental facility delivering highly engine representative, scalable heat transfer results for aerospace research. Infrared thermography is employed to acquire temperature maps of high-pressure turbine blades, allowing assessment of surface thermal conditions including heat transfer coefficient, adiabatic wall temperature, Nusselt number, cooling effectiveness, and metal effectiveness. Achieving accurate infrared thermography measurements in rotating turbomachinery experimental conditions is arduous due to reflections from the surroundings, low emissivity of metallic parts, and motion blur resulting from high speed. To overcome these challenges, calibration procedures were developed against a traceable standard using a bespoke steady experimental facility. A method to determine the reflected temperature from surroundings was also validated. Correction for all measurement disturbances is demonstrated to within the accuracy of the primary measurement thermocouple. Finally, the developed calibration method was validated on a fast-moving rotating geometry demonstrating accurate correction for all measurement disturbances, without the need for an in situ calibration. A detailed uncertainty analysis for each calibration step is also presented.","High-speed target; Infrared thermography; Measurement advancements; Turbomachinery","en","journal article","","","","","","","","","","","Fluid Mechanics","","",""
"uuid:3a68b23c-57d5-46f6-83e7-61ef990558b6","http://resolver.tudelft.nl/uuid:3a68b23c-57d5-46f6-83e7-61ef990558b6","De klimaatschuit: Trekvaarten, klimaatadaptatie en ruimtelijke ontwikkeling in Zuid-Holland","Mostert, E. (TU Delft Water Resources)","","2024","In het project Erfgoed onthult; Trekvaarten binnen het waterverband is gekeken naar de toekomstwaarde van trekvaarten voor klimaatadaptatie. Het project richt zich specifiek op het gebied tussen de steden Leiden, Den Haag, Delft, Rotterdam en Gouda met de trekvaarten de Vliet, de Schie, de Gouwe en de Oude Rijn. Tot het jaar 900 was dit gebied grotendeels een veenmoeras. Daarna is het ontwaterd voor de landbouw. Dit leidde tot economische groei en de opkomst van de steden in de dertiende eeuw. Een neveneffect van ontwatering was bodemdaling. Bovendien werd er veel veen afgegraven voor de energievoorziening van de steden. Om het gebied droog te houden, zijn er in de middeleeuwen afwateringskanalen gegraven, zoals de Vliet en de Schie. Deze zijn in de 17e eeuw aangepast om als trekvaart tussen de steden dienst te kunnen doen.
In de toekomst zullen de waterproblemen in het gebied toenemen. Naar verwachting zullen in 2100 extreme regenbuien nog extremer worden en zullen er vaker lange periodes zonder regen zijn. Tegelijkertijd zijn er plannen om veel nieuwe huizen te bouwen. Dit alles kan leiden tot veel meer wateroverlast en grotere droogteproblemen.
In dit project is gekeken of extra tijdelijke waterberging een oplossing kan bieden voor deze problemen. Dat is zo, maar dan is er wel 34 miljoen m3 aan extra berging nodig. Voorgesteld wordt om in de verschillende polders 7,5 miljoen m3 aan nieuwe waterberging aan te leggen, vooral voor de opvang van piekbuien, en daarnaast ten oosten van Zoetermeer een Bentmeer aan te leggen met 26,5 miljoen m3 waterberging als extra bron van zoetwater tijdens droogtes. Uitgaande van twee meter verschil tussen het hoogste en het laagste waterpeil, zal het Bentmeer een oppervlakte moeten krijgen van 13,3 km2. Dit biedt uitgelezen kansen voor recreatie en natuurontwikkeling.
Om het water het Bentmeer in en uit te krijgen, zal een verbinding aangelegd moeten worden met de Rotte in het zuiden en de Oude Rijn in het noorden. Hierdoor zou een oude scheepvaartverbinding tussen de Rotte en de Oude Rijn hersteld worden. Voor de verbinding met de Oude Rijn zijn drie opties bekeken. Voor één van deze opties is een ruimtelijk schetsontwerp gemaakt. In deze optie wordt het Bentmeer verbonden met de Benthuizervaart en wordt de Benthuizervaart via een nieuw te graven vaart verbonden met de huidige Hoogeveense vaart. Deze laatste staat in verbinding met de Oude Rijn (zie de figuren 15 en 16). Langs een deel van denieuwe vaart zullen futuristische “groene” appartementen aangelegd worden met uitzicht over de polder of de vaart. Om de hoogteverschillen voor de scheepvaart niet te groot te maken, kan de Hoogeveense vaart verhoogd aangelegd worden. De appartementsgebouwen langs de vaart kunnen dan ook hoger aangelegd worden, wat ze minder kwetsbaar maakt bij overstromingen.
In alle drie de opties spelen de trekvaarten een essentiële rol voor het transport van water uit en naar het Bentmeer en voor het lozen van overtollig water uit het hele gebied op de Nieuwe Waterweg en de Noordzee. Daarnaast zijn de trekvaarten een goede insteek om de geschiedenis van het landschap te vertellen en te reflecteren op mogelijke toekomsten. Om die reden wordt voorgesteld om langs de nieuwe vaart een jaagpad aan te leggen zodat er met een trekschuit – de “Klimaatschuit” – op gevaren kan worden. Deze kan dienen als varende tentoonstellingsruimte en als locatie voor toekomstdiscussies.
De voorstellen in dit rapport zijn nog niet in detail uitgewerkt en de toekomst is onzeker. Wij kunnen echter niet wachten totdat er zekerheid is. Als we vroeg of laat grootschalige waterberging in Zuid-Holland nodig hebben, moeten we daar nu al over nadenken en snel ruimte reserveren. De kosten zullen hoog zijn, maar de kosten van inactie kunnen nog hoger zijn. Bovendien liggen er ook nieuwe kansen.","Climate change; Water management; heritage; Netherlands; polders; adaptation; tow barge canals","nl","report","Delft University of Technology","","","","","English translation available","","","","","Water Resources","","",""
"uuid:91be8675-5ef9-456e-97a2-f979498e49b0","http://resolver.tudelft.nl/uuid:91be8675-5ef9-456e-97a2-f979498e49b0","Stability of Swept Wing Boundary Layers under Non-Adiabatic Wall Conditions","Barahona Lopez, M. (TU Delft Aerodynamics); van de Weijer, A.F. (TU Delft Aerospace Engineering); Rius Vidales, A.F. (TU Delft Ship Hydromechanics and Structures); Kotsonis, M. (TU Delft Aerodynamics)","","2024","One of the most critical technological challenges embedded in the electrification of future aircraft revolves around the thermal management of batteries and fuel cells. An innovative idea involves using the aircraft’s aerodynamic surfaces to dissipate the extra heat, thereby reducing the impact that traditional thermal management systems (e.g. ram air heat exchanger) have on the overall aerodynamic efficiency of the aircraft. However, the limited experimental research addressing the influence of a heated surface on the stability and transition of the crossflow instability (CFI) hinders the assessment of the aerodynamic impact of this technology for future aircraft, where swept wings are ubiquitous. Thus, the objective of this work is to experimentally study the effect of a heated wall on the stability and final breakdown of CF vortices. To do so, experiments are conducted on a 45◦ swept flat plate wind tunnel model, where the surface temperature is increased by means of a surface-embedded electrical heater, yielding a mean wall-temperature ratio of T_w/T_infty = 1.055. Overall, the experimental (i.e. HWA) and numerical (i.e. CLST) results show that wall heating leads to significant destabilization of the stationary CFI. Interestingly, a spectral analysis of the HWA signal reveals substantial amplification of the traveling CF mode under wall-heating conditions, which in turn appears significantly more destabilized than the stationary CF mode. Additionally, inspection of the high-frequency content in the HWA measurements indicates premature breakdown of the CF vortices and advancement of the laminar-turbulent transition by 6.3% with wall heating. The results presented in this work render a first insight into the impact of a non-adiabatic wall on the development of the crossflow instability and subsequent breakdown to turbulence.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","Aerospace Engineering","","Aerodynamics","","",""
"uuid:a0e7697b-1b8d-4dba-8ed5-5beddd8c8c09","http://resolver.tudelft.nl/uuid:a0e7697b-1b8d-4dba-8ed5-5beddd8c8c09","Aeroelastic Wind Tunnel Testing of Yawed Wind Turbine Rotor with Teetering Hub","Tsatsas, I. (TU Delft Group De Breuker); Georgopoulos, P. (TU Delft Group De Breuker); Sodja, J. (TU Delft Group Sodja); De Breuker, R. (TU Delft Group De Breuker)","","2024","This paper presents an experimental investigation into the aeroelastic behavior of an innovative wind turbine design featuring a downwind two-blade rotor with a teetering hub mounted on a tower with adjustable tilt. The rotor model incorporates two sets of elastic blades—stiff and flexible—for scaling purposes, each instrumented with strain gauges and accelerometers. Ground and wind tunnel tests were conducted to analyze the aeroelastic response. Static deformation tests revealed discrepancies between measured and numerically predicted displacements. Maximum displacements near the tip exceeded numerical predictions by 14% and 31% for flexible and stiff blades respectively. Frequency differences between measured and numerically simulated elastic modes ranged from 0.5% to 18% for both blade sets, as determined by ground vibration tests. No dynamic aeroelastic instability was observed during wind tunnel tests, as rotational speed harmonics dominated the rotor’s response. The tower tilt angle was found to be the dominant parameter in static response, while the tip speed ratio in conjunction with rotational speed emerged as the most crucial parameters in the dynamic response. Overall, this research provides valuable insights into the aeroelastic behavior of yawed, two-blade wind turbine rotors with flexible blades and a teetering hub and could aid in the refinement of numerical models that incorporate elastic blades.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Group De Breuker","","",""
"uuid:2539af15-70fa-4876-9540-316a12771776","http://resolver.tudelft.nl/uuid:2539af15-70fa-4876-9540-316a12771776","Structural integration of a full-composite, double-walled, vacuum-insulated, cryo-compressed tank for the Flying V: a numerical study","Poorte, V.K. (TU Delft Group van Campen); Bergsma, O.K. (TU Delft Group Bergsma); van Campen, J.M.J.F. (TU Delft Group van Campen); Alderliesten, R.C. (TU Delft Group Alderliesten)","","2024","Hydrogen is being investigated as aviation fuel, with the objective to achieve an energy transition for the aviation sector. Effective storage solutions are crucial to mitigate the aerodynamic penalty caused by its low volumetric energy density. The focus of this study is the integration of a cryo-compressed vacuum-insulated storage vessel into the primary structure of aircraft, aiming to enhance structural efficiency. This is achieved by implementing analytical methods to analyse the thermo-mechanical loading of the inner and outer walls of the fuel tank. It is envisioned that the inner wall rather than the outer wall is more suitable to sustain additional loads. However, it is unclear how the cryogenic environment affects the stress state of the composite material. This research contributes to the development of hydrogen-powered aircraft by addressing critical aspects of hydrogen storage, thereby driving the energy transition within the aviation industry.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Group van Campen","","",""
"uuid:c683e122-0bdd-4e13-8a64-591b6cb5a618","http://resolver.tudelft.nl/uuid:c683e122-0bdd-4e13-8a64-591b6cb5a618","Low-fidelity crashworthiness assessment of unconventional aircraft: Modelling of plastic bending","Anand, S. (TU Delft Group Giovani Pereira Castro); Alderliesten, R.C. (TU Delft Group Alderliesten); Castro, Saullo G.P. (TU Delft Group Giovani Pereira Castro)","","2024","Carbon emissions from commercial aircraft are expected to reach more than twice as much as the current levels by 2050. Unconventional aircraft, such as the Flying-V, are projected to achieve more than 20% fuel savings when compared to conventional configurations. However, these unconventional aircraft configurations pose a unique set of design challenges, being one of them the crashworthiness of wing-fuselage structures, which have an oval-shaped cross section that leads to a significant reduction in space underneath the cabin floor. Evaluating the feasibility of a design early in the design phase is vital to avoid cost overruns and minimize the need for drastic design changes. For assessing crashworthiness early in the design phase, the development of low-fidelity models is an attractive as well as a viable option because these models offer both low computational cost and the capability to conduct parametric studies on the crash structure. To develop and implement such low-fidelity models, we propose to explore the analytical modeling of various energy-absorbing mechanisms, namely axial crushing, plastic bending, and joint failure. In the present study, we present the modelling of plastic bending for beam-like structural members. We also present an envisaged method applying user-defined elements to simulate plastic bending in structural members for cases where the location of plastic hinges cannot be predetermined.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-01","","","Group Giovani Pereira Castro","","",""
"uuid:28bd0834-5fbc-4af4-b7fe-bb790c76bebb","http://resolver.tudelft.nl/uuid:28bd0834-5fbc-4af4-b7fe-bb790c76bebb","Transforming Zeolite Tuff and Cigarette Waste into Eco-Friendly Ceramic Bricks for Sustainable Construction","Ibrahim, Jamal Eldin F.M. (University of Miskolc); Basyooni, Mohamed A. (TU Delft Dynamics of Micro and Nano Systems; Selçuk University; National Research Institute of Astronomy and Geophysics); Móricz, Ferenc (University of Miskolc); Kocserha, István (University of Miskolc)","","2024","The use of waste materials has gained attention as a sustainable approach in various industries. Cigarette waste, which is typically discarded as a non-recyclable material, poses a significant environmental challenge due to its toxicity and slow decomposition rate. However, by incorporating this waste into ceramic bricks, new approaches for waste management and resource utilization are explored. This research work provides a detailed evaluation of the possibility of utilizing natural zeolite tuff incorporated with cigarette waste to produce sustainable ceramic bricks. Uniform powders are produced by milling various combinations of zeolitic tuff and cigarette waste using a planetary ball mill. The substitution ratios ranged from 0% to 12% by weight of the zeolitic tuff, with increments of 2%. Ceramic discs were formed by dry pressing and then subjected to sintering at different heat treatment temperatures (950–1250 °C). The impact of the inclusion of cigarette waste on the microstructural and technical features of zeolite tuff-based ceramic bricks has been thoroughly investigated. The results of the experiments demonstrate that incorporating cigarette waste into the development of ceramic bricks leads to improved thermal insulation properties, with thermal conductivity ranging from 0.33 to 0.93 W/m·K. Additionally, these bricks exhibit a lighter weight in a range of 1.45 to 1.96 g/cm3. Although the inclusion of cigarette waste slightly reduces the compressive strength, with values ranging from 6.96 to 58.6 MPa, it still falls within the acceptable range specified by standards. The inclusion of cigarette waste into zeolite tuff is an innovative approach and sustainable practice for reducing energy consumption in buildings while simultaneously addressing the issue of waste disposal and pollution mitigation.","zeolite tuff; cigarette waste; dry compaction; thermal conductivity; compressive strength","en","journal article","","","","","","","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:ade99ee1-77e8-4c8c-956b-6fe6a666e495","http://resolver.tudelft.nl/uuid:ade99ee1-77e8-4c8c-956b-6fe6a666e495","Flight Testing Reinforcement Learning based Online Adaptive Flight Control Laws on CS-25 Class Aircraft","Konatala, R. (TU Delft Control & Simulation); Milz, Daniel (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Weiser, Christian (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Looye, Gertjan H.N. (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); van Kampen, E. (TU Delft Control & Simulation)","","2024","Unforeseen failures during flight can lead to Loss of Control In-Flight, a significant cause of fatal aircraft accidents worldwide. Current offline synthesized flight control methods have limited capability to recover from failures, due to their limited adaptability. Incremental Approximate Dynamic Programming (iADP) control is a model-agnostic online adaptive control method, which integrates an online identified locally linearized incremental model, with a Reinforcement Learning (RL) based optimization technique to minimize an infinite horizon quadratic cost-to-go. A key challenge for adopting these self-learning flight control methods is validation through flight testing. This paper presents the iADP flight control law design for CS-25 class aircraft to achieve rate control. It outlines the controller evaluation strategy, controller integration, verification & validation procedures, and a discussion on flight test results. To the author’s understanding, this flight test marks the world’s first demonstration of an online RL based automatic flight control system for this aircraft category, demonstrating real-time learning and adaptation capabilities to aircraft configurations.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Control & Simulation","","",""
"uuid:bc389d8e-c007-4f84-abec-1426d4e9ecf4","http://resolver.tudelft.nl/uuid:bc389d8e-c007-4f84-abec-1426d4e9ecf4","Final Design, Manufacturing and Testing of the Clean Sky 2 Distributed Electric Propulsion Scaled Flight Demonstrator D08 DEP-SFD","Döll, Carsten (Office National d'Etudes et de Recherches Aerospatiales); Hoogreef, M.F.M. (TU Delft Flight Performance and Propulsion); Iannelli, Pierluigi (CIRA)","","2024","Within the work package radical new aircraft configuration of Cleansky2 Large Passenger Aircraft, a benefit of more than 20% in fuel consumption and CO2 emission (one of CS2 top level objectives) could be achieved by using various Distributed (hybrid) Electric Propulsion DEP architectures on different more or less radical aircraft configurations. It has therefore been identified as a disruptive technology which shall be de-risked in terms of achievable performance during wind tunnel tests and in terms of handling qualities during flight tests. The electric architecture with typical magnitudes shall also be studied in more detail. As already presented during AIAA SciTech Forum and Exhibition 2023, the D08 Distributed Electric Propulsion DEP version of the D03 Scaled Flight Demonstrator has been designed, manufactured and ground tested from 2020 to May 2023. An incident during the last ground test in May 2023 caused the total loss of this demonstrator. After its analysis, it was decided to robustify the electric architecture by improving the batteries, the wiring, the protections and the monitoring. These changes in the electric architectures lead to structural changes like the shift of the emergency parachute and bigger access hatches. The remanufacturing of the DEP-SFD2 has started in September 2023 for an exhaustive integration test campaign and taxi tests in January and February 2024. At the moment, the qualification flight tests will take place in April 2024 and the mission flight tests in May 2024.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:fc6541b6-b192-4ba2-8932-a3573b44187a","http://resolver.tudelft.nl/uuid:fc6541b6-b192-4ba2-8932-a3573b44187a","Design of a Hydrogen-Powered Crashworthy eVTOL Using Multidisciplinary Analysis and Design Optimization","Keijzer, D.M. (Student TU Delft); Simon Soria, C. (ISAE-SUPAERO); Arends, J.J. (Student TU Delft); Sarıgöl, B. (Student TU Delft); Scarano, F. (TU Delft Aerodynamics); Castro, Saullo G.P. (TU Delft Group Giovani Pereira Castro)","","2024","As of present the Urban Air Mobility market has been dominated by fully electric aircraft. However, hydrogen vehicles have remained relatively undeveloped in this segment, also because hydrogen poses additional design complexities and uncertainties concerning crashworthiness, fuel cell cooling, and low volumetric density. Nevertheless, hydrogen might yield advantages in mission performance owing to its superior gravimetric energy density and greater sustainability when compared to batteries. In this paper, the design procedure of a four-passenger long-range hydrogen eVTOL using Multidisciplinary Analysis and Design Optimization (MADO) is presented. Using MADO, the mission energy of the eVTOL was minimized while abiding by the constraints rooting from the use of hydrogen. Based on this design, the conclusion can be made that the implementation of hydrogen eVTOLs in urban air mobility is feasible whilst taking into account constraints resulting from the use of hydrogen at the preliminary design stage. This led to an aircraft which excels at longer range due to the increased scalability of hydrogen fuel, but having a weight penalty due to auxiliary equipment which hampers its performance and results in a large fuselage and maximum takeoff weight.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Aerodynamics","","",""
"uuid:904aefcb-bdb7-4a15-9f4b-9acc54659486","http://resolver.tudelft.nl/uuid:904aefcb-bdb7-4a15-9f4b-9acc54659486","Autonomous Navigation for Binary Asteroid Landing","Elffers, P.A. (Airbus Defence and Space); Caroselli, Edoardo (AirBus Defence and Space GmbH); van Kampen, E. (TU Delft Control & Simulation); Mooij, E. (TU Delft Astrodynamics & Space Missions)","","2024","This paper investigates the performance of an autonomous navigation system to navigate a spacecraft in the proximity of a binary asteroid system using optical and laser ranging measurements. The knowledge about the binary asteroid is limited to its orbital parameters and ellipsoid shape models. The accelerometer bias random walk is included in the estimation process. Over a four-hour landing maneuver starting from 6770 m altitude and ending at 550 m, the mean position estimation uncertainty is 41.6 m (3$\bm{\sigma}$). It is shown that the navigation accuracy is sensitive to the Sun phase angle, the irregularity of the asteroid shape, and the goodness of fit of the ellipsoid shape model. The paper demonstrates that the navigation system is robust to large errors in the initialization of the extended Kalman filter state. The impact of image distortion and two types of image noise on the navigation performance are investigated.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:d9d3df48-6635-414e-850a-e45904952857","http://resolver.tudelft.nl/uuid:d9d3df48-6635-414e-850a-e45904952857","Aerodynamic Design of a Flying V Aircraft in Transonic Conditions","Laar, Y.A. (TU Delft Aerodynamics); Atherstone, D.M. (TU Delft Group De Breuker); Benad, J. (TU Delft Flight Performance and Propulsion); Vos, Roelof (TU Delft Flight Performance and Propulsion)","","2024","The Flying V is a long-range, flying-wing aircraft where payload and fuel both reside in a V-shaped, crescent wing with large winglets that double as vertical tail planes. The objective of this study is to maximize the lift-to-drag (L/D) ratio of the Flying V in cruise conditions, i.e. CL= 0.26, M = 0.85 and to investigate its off-design performance in high-subsonic conditions. This is done by manually modifying the design parameters that describe the outer mold line of the Flying V and assessing the aerodynamic performance by means of computational fluid dynamics. A 15-million cell, third-order MUSCL, Reynolds-Averaged Navier Stokes solver with the Menter SST turbulence model is used to estimate the aerodynamic coefficients. This numerical model is validated using the experimental data of the ONERA M6 wing. A new, CATIA-based, parametrization of the Flying V is the starting point of the design. Three manual design phases improve the aerodynamic performance while satisfying all constraints. Design modifications include an increase in camber and aft-loading of the wing around 40% of the semispan and improved airfoil sections on the outboard wing generating the required lift coefficient towards an elliptical lift distribution. The twist distribution at the wing-winglet junction is optimized to reduce wave drag. This has resulted in an improvement of L/D from 20.3 from previous studies to 24.2 for the final version, while reducing the cruise angle of attack from 5.2 to 3.6 degrees. The drag divergence Mach number is estimated at 0.925.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Aerodynamics","","",""
"uuid:2ebb06e8-2c85-40e2-8630-1a3d2ce1750d","http://resolver.tudelft.nl/uuid:2ebb06e8-2c85-40e2-8630-1a3d2ce1750d","Towards Universal Parameterization: Using Variational Autoencoders to Parameterize Airfoils","Swannet, K. (TU Delft Flight Performance and Propulsion); Varriale, Carmine (TU Delft Flight Performance and Propulsion); Doan, Nguyen Anh Khoa (TU Delft Aerodynamics)","","2024","A design can only be as good as its mathematical representation. In engineering design optimization, the chosen method of parameterization can have significant impact on the outcomes. This paper introduces a novel methodology for airfoil design parameterization utilizing variational autoencoders (VAEs), a class of neural networks known for their proficiency in reducing dimensionality. However, a significant challenge with VAEs is the interpretability of the encoded latent space. This work aims to address this issue by creating a network with an interpretable latent space, yielding parameters that are understandable to humans. The effectiveness of this approach is evaluated using the comprehensive UIUC airfoil database, which offers a diverse range of airfoil shapes for analysis. We show that a VAE can successfully extract key features of airfoil geometries and parameterize them using six parameters, which show a clear correlation with airfoil properties in a way that remains understandable by the designer. Additionally, it smoothly interpolates the data points, allowing the generation of new airfoils and thus offering a practical and interpretable airfoil parameterization.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:02616c7b-ffaa-45f1-9b32-875a6a9e3061","http://resolver.tudelft.nl/uuid:02616c7b-ffaa-45f1-9b32-875a6a9e3061","Hybrid Soft Actor-Critic and Incremental Dual Heuristic Programming Reinforcement Learning for Fault-Tolerant Flight Control","Teirlinck, C. (Student TU Delft); van Kampen, E. (TU Delft Control & Simulation)","","2024","Recent advancements in fault-tolerant flight control have involved model-free offline and online Reinforcement Learning (RL) algorithms in order to provide robust and adaptive control to autonomous systems. Inspired by recent work on Incremental Dual Heuristic Programming (IDHP) and Soft Actor-Critic (SAC), this research proposes a hybrid SAC-IDHP framework aiming to combine adaptive online learning from IDHP with the high complexity generalization power of SAC in controlling a fully coupled system. The hybrid framework is implemented into the inner loop of a cascaded altitude controller for a high-fidelity, six-degree-of-freedom model of the Cessna Citation II PH-LAB research aircraft. Compared to SAC-only, the SAC-IDHP hybrid demonstrates an improvement in tracking performance of 0.74%, 5.46% and 0.82% in nMAE for nominal case, longitudinal and lateral failure cases respectively. Random online policy initialization is eliminated due to identity initialization of the hybrid policy, resulting in an argument for increased safety. Additionally, robustness to biased sensor noise, initial flight condition and random critic initialization is demonstrated.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Control & Simulation","","",""
"uuid:057a5556-2e58-44c5-acc9-5b78b96a0140","http://resolver.tudelft.nl/uuid:057a5556-2e58-44c5-acc9-5b78b96a0140","Flow analysis of hammerhead launcher geometries in the transonic regime","D'Aguanno, A. (TU Delft Aerodynamics); González Romero, A. (Student TU Delft); Schrijer, F.F.J. (TU Delft Aerodynamics); van Oudheusden, B.W. (TU Delft Aerodynamics)","","2024","Hammerhead launcher configurations, characterized by a larger diameter in the payload fairing than the rest of the launch vehicle, face substantial challenges during transonic operations due to their susceptibility to flow separation and intense pressure fluctuations. This experimental study investigates the influence of the nose and boat-tail geometry on the flow around hammerhead configurations in the transonic regime (Ma=0.7-0.8) and for various angles of attack (α=0-4°). To gain a general understanding of the main flow features, such as shockwave formation, separated flow in the boat tail region, and flow reattachment, oil flow and schlieren visualizations were employed. Schlieren visualizations were also utilized to characterize the level of unsteadiness in these regions. Additionally, particle image velocimetry (PIV) was employed to quantify variations in the velocity field. The study's findings reveal an optimization of flow performance in the presence of a bi-conic nose, attributed to the creation of two-shockwave structures with relatively low intensity. This is in contrast to the ogive and conic noses, which exhibit a single, more detrimental shockwave structure (with the conic nose being the least favorable configuration). The investigation into different boat tail angles indicates that adopting low-angle boat tails (5° and 15° compared to 34°) leads to a noticeable reduction in the separated area, albeit associated with an increase in the range of oscillation of the shockwave structures.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Aerodynamics","","",""
"uuid:2d91a256-f8dd-41dc-9fcb-78c953089730","http://resolver.tudelft.nl/uuid:2d91a256-f8dd-41dc-9fcb-78c953089730","Model-Based Approach for the Simultaneous Design of Airframe Components and their Production Process Using Dynamic MDAO Workflows","Bruggeman, A.M.R.M. (TU Delft Flight Performance and Propulsion); Nikitin, M. (Student TU Delft); la Rocca, G. (TU Delft Flight Performance and Propulsion); Bergsma, O.K. (TU Delft Group Bergsma)","","2024","During the early design stages of airframe components, many possible design architectures and production methods need to be traded to find the best configuration. Evaluating different production methods can be challenging as different production methods put different requirements on the product to be designed. This paper presents a new methodology that enables the inclusion of manufacturing and assembly in the design process. By extending the architectural design space model with components of the production system, the design choices regarding production are made explicit. Through the modeling of product and production requirements and assigning them a verification method, a dynamic MDAO workflow is formulated. Within a dynamic workflow, the design variables, analysis tools, and constraints change depending on the current design vector. The methodology has been applied to the design and manufacturing of a wing rib in which two manufacturing options were traded: metal machining and composite stamp forming. The dynamic MDAO workflow successfully found the Pareto front for both manufacturing methods. The main benefit is that only one workflow needed to be formulated and executed, whereas previously a separate MDAO workflow needed to be created for each combination of product design and production method. Overall, the newly presented methodology enables the optimization and trade-off between different production methods while ensuring the design complies with the production-specific requirements.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:e8f28f08-e648-4a08-814e-f511359020a4","http://resolver.tudelft.nl/uuid:e8f28f08-e648-4a08-814e-f511359020a4","Prediction of Non-Routine Tasks Workload for Aircraft Maintenance with Supervised Learning","Li, H. (Student TU Delft); Ribeiro, M.J. (TU Delft Air Transport & Operations); Santos, Bruno F. (TU Delft Air Transport & Operations); Tseremoglou, I. (TU Delft Air Transport & Operations)","","2024","Aircraft maintenance scheduling is a focus point for airlines. Maintenance is essential to ensure the airworthiness of aircraft, but it comes at the cost of rendering them unavailable for operations. In current operations, aircraft maintenance scheduling must often be updated to include time for non-routine and non-schedule tasks. These non-routine tasks can increase costs, maintenance workload, and uncertainty of the airlines’ operations. This research introduces a supervised learning framework designed to forecast future non-routine task workloads accurately, improving the accuracy of the planned maintenance schedule. This framework consists of two random forest predictors which estimate the amount of non-routine tasks and the number of future work hours that should be allocated in advance for potential non-routine tasks. Our approach produces highly reliable predictions by leveraging a robust dataset obtained from an international airline. The results show an average of 20% improvement versus an existing on-site sampling method. Furthermore, our in-depth analysis of prediction distributions enables the identification of the underlying causes of significant prediction errors, shedding light on the unpredictabilities inherent to non-routine tasks.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Air Transport & Operations","","",""
"uuid:44386502-cdf6-4542-8419-4d31aa4527a1","http://resolver.tudelft.nl/uuid:44386502-cdf6-4542-8419-4d31aa4527a1","Fluid Ablation Interactions on a Compression Ramp at Mach 8","Başkaya, A.O. (TU Delft Aerodynamics); Hickel, S. (TU Delft Aerodynamics); Dungan, Sean (University of Maryland); Brehm, Christoph (University of Maryland)","","2024","Direct numerical simulations (DNS) are performed over 15° compression ramp undergoing ablation at Mach 8 to examine fluid-ablation interactions (FAI) on transitional high-speed boundary layers. The experiments at these conditions with a rigid wall are first numerically replicated for a laminar flow. Heating streaks are introduced by perturbing the flow. The ramp is then replaced by a low-temperature ablator in our DNS. The interaction of the streaks with the recessing ablator surface is then examined. Different approaches from two independently developed solvers are used to explore the problem. Differences in streak amplification and mass blowing magnitudes are observed between the results. We discuss the difficulties in accurately predicting ablation and present the first findings regarding its influence on the perturbation evolution and transition to turbulence for this configuration.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Aerodynamics","","",""
"uuid:fca1d38f-313a-4b87-b33e-99e612888e15","http://resolver.tudelft.nl/uuid:fca1d38f-313a-4b87-b33e-99e612888e15","Exploring the potential of variable stiffness design in reducing the life-cycle impact of composite aircraft parts","van Campen, J.M.J.F. (TU Delft Group van Campen)","","2024","In 2022 Airbus and Boeing combined delivered 1203 commercial aircraft. With an annual predicted growth of 4.3% for the coming 20 years there is an urgent need for end of life solutions that go beyond down-cycling of parts that cannot be reused. Especially carbon fibre reinforced composites are hard to recycle, and attempts to deliver recyclable short fibre reinforced thermoplastic materials see a reduction in specific properties. This is a problem because, the life cycle impact of an aircraft part is predominantly determined by its weight, which drives cumulative CO2-emissions over its lifetime. The transition to renewable energy sources by the aviation sector has the potential to change this relationship drastically. Therefore, it is necessary to begin developing methods to account for life cycle impact already at the start of the mechanical design of an aircraft part. This study proposes to apply variable stiffness laminate design to compensate for relatively lower mechanical performance of a recyclable short fibre reinforced composite laminate. This is successfully demonstrated using the example of a rectangular plate under uniaxial compression with the ATR72 as case study. The results furthermore clearly show that moving from conventional to renewable energy sources, reduces the impact of part-weight on the accumulated CO2-emissions for the life span of the aircraft very significantly, bringing recycling considerations more into focus.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","","","","Group van Campen","","",""
"uuid:efdab984-5c44-460f-9264-3eccdc2ab6f5","http://resolver.tudelft.nl/uuid:efdab984-5c44-460f-9264-3eccdc2ab6f5","Evolutionary Reinforcement Learning: A Hybrid Approach for Safety-informed Intelligent Fault-tolerant Flight Control","Gavra, V. (Student TU Delft); van Kampen, E. (TU Delft Control & Simulation)","","2024","Recent research in artificial intelligence potentially provides solutions to the challenging problem of fault-tolerant and robust flight control. The current work proposes a novel Safety-informed Evolutionary Reinforcement Learning (SERL) algorithm, which combines Deep Reinforcement Learning (DRL) and neuro-evolution to optimize a population of non-linear control policies. Using SERL, the work has trained agents to provide attitude tracking on a high-fidelity non-linear fixed-wing aircraft model. Compared to a state-of-the-art DRL solution, SERL achieves better tracking performance in nine out of ten cases, remaining robust against faults and changes in flight conditions, while providing smoother actions.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-01","","","Control & Simulation","","",""
"uuid:67714513-f099-4952-bebf-955397696b19","http://resolver.tudelft.nl/uuid:67714513-f099-4952-bebf-955397696b19","A New Perspective on Battery-Electric Aviation, Part II: Conceptual Design of a 90-Seater","de Vries, R. (Elysian Aircraft); Wolleswinkel, Rob E. (Elysian Aircraft); Hoogreef, M.F.M. (TU Delft Flight Performance and Propulsion); Vos, Roelof (TU Delft Flight Performance and Propulsion)","","2024","Battery-electric aviation is commonly believed to be limited to small aircraft and is therefore expected have a negligible impact on the decarbonization of the aviation sector. In this paper we argue that, with the correct choice of design parameters and top-level aircraft requirements, the addressable market is actually substantial. To demonstrate this, the Class-II sizing of a battery-electric 90-seater is performed, and the environmental impact is assessed in terms of well-to-wake CO2-equivalent emissions per passenger-kilometer. The resulting 76-ton aircraft achieves a battery-powered useful range of 800 km for a pack-level energy density of 360 Wh/kg. For this range, it has an energy consumption of 167 Wh per passenger-kilometer and an environmental impact well below that of kerosene, eSAF, or hydrogen-based aircraft alternatives and comparable to land-based modes of transport. These results indicate that, to successfully reduce the climate impact of the aviation sector, battery-electric aircraft should not be designed as a niche product operating from small airfields but as commercial transport aircraft competing with fuel-based regional and narrowbody aircraft.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:df1c0818-7e27-49da-8b53-ce90f0b85806","http://resolver.tudelft.nl/uuid:df1c0818-7e27-49da-8b53-ce90f0b85806","A New Perspective on Battery-Electric Aviation, Part I: Reassessment of Achievable Range","Wolleswinkel, Rob E. (Elysian Aircraft); de Vries, R. (Elysian Aircraft); Hoogreef, M.F.M. (TU Delft Flight Performance and Propulsion); Vos, Roelof (TU Delft Flight Performance and Propulsion)","","2024","Thus far, battery-electric propulsion has not been considered a promising pathway to climate-neutral aviation. Given current and expected battery technology, in most literature battery-electric aircraft are only considered feasible for short ranges (< 400 km) and small payloads (< 19 pax). As a result, battery-electric aircraft development focuses on new aviation segments such as regional and urban air mobility. However, little effort has been made to develop battery-electric aircraft that can replace existing larger aircraft. This paper re-examines the assumptions that lead to the conclusion of limited applicability of battery-electric aircraft. Starting from the range equation, this paper assesses the drivers of two key parameters: the ratio between energy mass and maximum take-off mass, and the maximum lift-to-drag ratio. This assessment, based on Class-I mass and aerodynamic-efficiency estimates, shows that there is a design space where these two parameters can reach significantly higher values than often assumed in the open literature. Based on this finding, several parametric aircraft designs are evaluated, relying on Class-II mass and aerodynamics methods. These parametric studies validate the conclusion from the Class-I assessment. This implies that battery-electric passenger aircraft can play a larger role in climate-neutral aviation than was previously envisioned.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:aa08d0f4-8c42-4049-b50e-899d28f89a3e","http://resolver.tudelft.nl/uuid:aa08d0f4-8c42-4049-b50e-899d28f89a3e","Analysing the Role of the Propulsive System in the Global Civil Aviation Energy Optimisation Framework","Joksimović, Aleksandar (ISAE-SUPAERO); Carbonneau, Xavier (ISAE-SUPAERO); Brazier, F.M. (TU Delft System Engineering); Vingerhoeds, Rob (ISAE-SUPAERO)","","2024","This paper presents a simple explanatory framework to analyse the diverse strategies proposed by the civil aviation sector in attempts to reconcile its growth tendencies with its impact on natural environment. Despite framing the industry as a single entity that has a problem to solve, it is better represented as a diverse set of actors, each responsible for various constituent systems at different phases of their respective life cycles. To elucidate this multi-actor pursuit of higher-level emergent objectives, the paper firstly presents an overview of different development scenarios dedicated to guide the industry towards sustainability. Given that the constituent systems tackled in such strategies strongly depend on each other, the sustainability objective relies on reconciling all the different agendas and contributions in unison, making them collectively compatible with the dynamics of the socio-economic and the natural world. To analyse the problem coherently, a basic description of an arbitrary system as a coarse-grained closed causal network is formulated. A categorisation for the model parameters is proposed to help define the system boundary and interaction with the environment unambiguously, dispensing with the need to know details of the model that represents the system at hand. This formalism was previously derived for preliminary sizing and performance estimation of aeroplane engines; by virtue of recursive nature of the coarse-graining process, the same formalism can be used to describe systems at any arbitrary levels, which enables a small system (in the current paper the system of interest being the propulsive system) to be integrated into a multi-level causal dependence framework. Then, the typical industry evolution scenarios are re-framed on the common ground of the causal network formalism. Subsequently, the framework is extended with a simple quantitative energy-based representation to formulate a qualitative case of how the scenarios could be formulated more coherently to avoid conflicting local optimisation objectives in pursuit of common higher-level goals. Finally, the entirety of the presented elements are brought together in a proposal to conceptualise an industrial system as a life cycle which exchanges matter, energy and information with its large-scale environment. The paper argues that such framing of the problem can pave the way to simplification of the problem of conflicting high-level objectives, by enabling a coherent understanding of the mutual dependence between a system of interest and the much more complex system of systems that is the aeronautical industry.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","System Engineering","","",""
"uuid:638dab1b-30d2-4209-a0ee-1091efd21420","http://resolver.tudelft.nl/uuid:638dab1b-30d2-4209-a0ee-1091efd21420","Half a Tube&Wing: Function-to-Form Mapping Approach to Understanding Fixed-Wing Civil-Aeroplane Design Space","Joksimović, Aleksandar (ISAE-SUPAERO); Brazier, F.M. (TU Delft System Engineering); Carbonneau, Xavier (ISAE-SUPAERO); Vingerhoeds, Rob (ISAE-SUPAERO)","","2024","An overwhelming abundance of innovative civil aeroplane concepts has been presented by the research community in recent decades. Their development has been motivated mainly by need for better operating energy, or in particular the fuel efficiency - the common objective variable for economic and environmental optimisation. This paper focuses on one common conceptual issue with the disruptive concepts which originates in remarkable divergence of the concept space in different directions away from the conventional Tube&Wing paradigm. As a consequence, it becomes increasingly difficult to make a meaningful comparison of any arbitrary pair of concepts by employing the conventional intuitions, definitions, or figures of merit. The objective of the paper is to elaborate a framework that could encompass the entire design space under a common conceptual umbrella. The method we employ relies on systems engineering principles of Function-to-Form mapping. Firstly, a comprehensive review of innovative concepts is provided, with emphasis on the problem of the apparent complexity of the design space arising from the conventional taxonomic intuitions. Then, the descriptive framework is presented, with relevant definitions of function, form and system architecture. First-order application of the framework to the conventional aeroplane design space implies that the Tube&Wing concept family can be represented as roughly one-to-one function-to-form mapping. By analysing the summarised pool of disruptive concepts in the same key, inference is made on existence of an extensive and continuous design space. Furthermore, and argument is presented for existence of the civil-aeroplane performance optimisation trend that aligns with parts of the design space moving away from one-to-one function-to-form mapping. In other words, parts of the design space moving towards the regions in which concepts map as many functions onto as few forms as possible. If extended to the entirety of the life cycle of the system, this framework could reveal even more possibilities for system optimisation, in line with contemporary socio-economic attempts to resolve potentially contradictory requirements and constraints of sustainable growth of the aeronautical industry.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","System Engineering","","",""
"uuid:7ba41bf7-7982-4687-ae97-8c49979e6335","http://resolver.tudelft.nl/uuid:7ba41bf7-7982-4687-ae97-8c49979e6335","Fixed-Wing Aeroplane (Sub)System Design Method: From Abstract to Material Architectures","Joksimović, Aleksandar (ISAE-SUPAERO); Carbonneau, Xavier (ISAE-SUPAERO); Brazier, F.M. (TU Delft System Engineering); Vingerhoeds, Rob (ISAE-SUPAERO)","","2024","This paper presents development of an innovative method for aeroplane system architecture design, based on the principles of causal networks. In light of the environmental crisis that the world faces, it is argued that the new design method should be motivated by sustainability values in the first place. This implies the necessity for a method that is evolvable, as well as for the design artefact to include an awareness of its contribution to dynamics of the higher-level systems within which it is embedded. To that end, the method is based on gradual and iterative development of an initial abstract object which represents relevant system functions over a designated life cycle. The architecture design procedure consists in evolving this abstract object by means of the pre-defined design rules towards a material architectures that satisfies multiple requirements and constraints for multiple actors. The design rules at hand represent a combination of quantitative methods such as first principles of physics and qualitative principles of systems engineering. In complement to system characteristics, rigorous book-keeping of matter and energy interfaces with the object’s surroundings is at the core of the method. To test the developed principles with the elementary method development at hand, a propulsive system architecture case study is elaborated. The case study represents a simple case of a short-medium range engine architecture designed to respond to operating requirements, whose resulting performance is book-kept and evaluated in a much broader context than the operation (in-flight performance), for which engines are commonly preliminary-designed.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","System Engineering","","",""
"uuid:1cd96b09-cf23-43f9-9153-4bda3718343a","http://resolver.tudelft.nl/uuid:1cd96b09-cf23-43f9-9153-4bda3718343a","Preliminary Design and Optimization of a CubeSat Demonstrator for an Origami-inspired Deployable Structure","Velázquez Navarro, Ester (Universidad Rey Juan Carlos); Solano-López, Pablo (Universidad Rey Juan Carlos); Uriol Balbin, I. (TU Delft Group Sinke)","","2024","The demand for pressurized, large structures in space, such as habitats or fuel deposits, is increasing as the space industry grows. The limited payload volume of launch vehicles, combined with their highly constrained shape, presents a significant challenge for these structures. Origami-inspired deployable structures have emerged as a potential solution for this problem. This work aims to design and optimize an origami-inspired deployable structure for use as a technology demonstrator in a 12U CubeSat. The choice of pattern, deployment mechanism, and material considerations are discussed as they are relevant in the initial configuration of the structural prototype. By combining two different types of printable materials, it is possible to create a pattern that is more flexible without the use of mechanical hinges. Then two different modeling methods are analyzed in order to study their physical behavior. Once all the desired configurations are computed, an optimization process is applied in order to obtain the most suitable one under the pre-defined requirements.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Group Sinke","","",""
"uuid:99856753-3c9d-40a1-835b-a62fd1814d80","http://resolver.tudelft.nl/uuid:99856753-3c9d-40a1-835b-a62fd1814d80","Unit Tests for SQL","Spinellis, D. (TU Delft Software Engineering)","","2024","RDBUnit is a unit testing framework designed to test relational database queries, created out of a need for unit testing them while working on software analytics tasks. It is available as a Python package on PyPI and open-source software on GitHub. RDBUnit tests consist of three parts: setup, query, and expected result, with the input and output defined as table contents. The framework utilizes a domain-specific language (DSL) for test specifications, employs a simple parsing mechanism, and uses a class hierarchy for managing database differences. It evaluates test results through SQL code generated and handled by the database engine. RDBUnit supports SQLite, mySQL, and PostgreSQL, and is implemented as a command-line tool suitable for diverse operating systems and continuous integration environments. It has proved beneficial in identifying subtle bugs and facilitating a focused and efficient approach to experimenting with SQL queries, especially in big data scenarios, signifying the assurance provided by unit testing in SQL-centric tasks.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-30","","","Software Engineering","","",""
"uuid:23b99ab5-16c6-452c-887c-25c895a2ce54","http://resolver.tudelft.nl/uuid:23b99ab5-16c6-452c-887c-25c895a2ce54","Calculating S-Parameters and Uncertainties of Coaxial Air-Dielectric Transmission Lines","Ali Mubarak, Faisal (National Metrology Institute VSL); Mascolo, V. (TU Delft Electronics; European Railway Signaling Company); Hussain, Faizan (BCube (Pvt.) Ltd.); Rietveld, Gert (University of Twente)","","2024","Closed-form solutions are presented for calculating the reflection coefficient with corresponding uncertainty of metrology-grade 3.5 mm air-dielectric coaxial transmission lines for use as reference standards in S-parameter measurements up to 33 GHz. The closed-form solutions allow the calculation of the sensitivity coefficients required for calculating the propagation of uncertainties from the material and mechanical parameters of the transmission line toward its reflection coefficient uncertainties. The presented uncertainty framework evaluates every uncertainty source’s contribution, with uncertainties in reflection coefficient ranging from 1 · 10−3 up to 6 · 10−3. The approach is validated up to 33 GHz via a comprehensive measurement comparison of the reflection coefficient parameter for three 3.5 mm transmission lines with 16, 60, and 150 mm lengths. The values obtained by the proposed model agree well within the measurement uncertainties with known traceable calibration results of the transmission lines.","Coaxial transmission line; precision air line; S-parameters; vector network analyzer (VNA); VNA traceability","en","journal article","","","","","","","","2024-06-01","","","Electronics","","",""
"uuid:2a5f61d3-dc5a-4d86-ae1a-79a647d37036","http://resolver.tudelft.nl/uuid:2a5f61d3-dc5a-4d86-ae1a-79a647d37036","Doppler Spectrum Parameter Estimation for Weather Radar Echoes Using a Parametric Semianalytical Model","Dash, T.K. (TU Delft Microwave Sensing, Signals & Systems); Driessen, J.N. (TU Delft Microwave Sensing, Signals & Systems); Krasnov, O.A. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2024","The problem of the limited accuracy of precipitation Doppler spectrum moments estimation measured by fast azimuthally scanning weather radars is addressed. A novel approach for the Doppler moment estimation based on maximum likelihood estimation is proposed. A simplified semianalytical parametric model for the precipitation power spectral density (PSD) as a function of the velocity parameters of the scatterers and the finite radar observation time is derived for typical precipitation-like weather conditions. An inverse problem for estimating the Doppler moments from measurements of the PSD is formulated and solved. It is demonstrated that the variance of the estimation of the Doppler moments approaches the Cramer Rao Lower Bound (CRB) when the observation time approaches infinity. The performance of the proposed approach is compared with some classical techniques and another realization of the maximum likelihood approach based on simulated and experimental data. The results indicate the superiority of the proposed approach, especially for short observation time. Furthermore, a scanning strategy to accurately estimate the Doppler moments based on the true velocity dispersion of the scatterers is provided with the help of the proposed approach.","Doppler velocity retrieval; parametric spectrum estimation; radar signal processing","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-30","","","Microwave Sensing, Signals & Systems","","",""
"uuid:01302e26-9428-4c67-acd5-7e5bbe77e7cb","http://resolver.tudelft.nl/uuid:01302e26-9428-4c67-acd5-7e5bbe77e7cb","Remittance dependence, support for taxation and quality of public services in Africa","Konte, Maty (World Bank); Ndubuisi, G.O. (TU Delft Economics of Technology and Innovation; Universiteit Maastricht)","","2024","We explore the heterogeneous effect of migrant remittances on citizens' support for taxation using a sample comprising 45,000 individuals from the Afrobarometer survey round 7 [2016–2018] across 34 African countries. To correct for unobserved heterogeneity, we endogenously identify latent classes/subtypes of individuals that share similar patterns on how their support for taxation is affected by their unobserved and observed characteristics, including remittance dependency. We apply the finite multilevel mixture of regressions approach, a supervised machine learning method to detect hidden classes in the data without imposing a priori assumptions on class membership. Our data are best generated by an econometric model with two classes/subtypes of individuals. In class 1 where more than two-thirds of the citizens belong, we do not find any significant evidence that remittance dependence affects support for taxation. However, in class 2 where the remaining one-third of the citizens belong, we find a significant negative effect of remittance dependence on support for taxation. Furthermore, we find that citizens who have a positive appraisal of the quality of the public service delivery have a lower probability of belonging to the class in which depending on remittance reduces support for taxation. The findings emphasize the need for efficient public services provisioning to counteract the adverse effect of remittances on tax morale.","Africa; public services; remittance; tax morale; taxation","en","journal article","","","","","","","","","","","Economics of Technology and Innovation","","",""
"uuid:0ee04081-ffff-40bb-8773-709e4421a001","http://resolver.tudelft.nl/uuid:0ee04081-ffff-40bb-8773-709e4421a001","Aeroelastic Model for Design of Composite Propellers","Rotundo, C.D. (Student TU Delft); Sinnige, T. (TU Delft Flight Performance and Propulsion); Sodja, J. (TU Delft Group Sodja)","","2024","A tightly coupled aeroelastic design code for composite propeller blades was developed, verified, and used to perform design sensitivity studies. The design code features a structural model that accounts for geometric nonlinearities through the application of a corotational framework, nonlinear responses to loads, and a cross-sectional modelling approach to accurately represent the detailed 3D blade structure as a reduced-order Timoshenko beam element model. Blade element momentum (BEM) theory was used to evaluate aerodynamic loads, which are mapped onto the structural mesh. The nonlinear aeroelastic analysis routine uses Newton's method to converge on a solution, with analytical derivatives for all applied loads. Excellent agreement with other analysis methods was shown during verification studies for all developed models. During validation, performance trends obtained from BEM were consistent with experimental results, with a maximum error of 20% at operating conditions under consideration during this research. The use of either symmetric-unbalanced or symmetric-balanced laminates was considered during sensitivity studies. Small variations in performance in comparison to the rigid propeller were observed from blades constructed out of symmetric-balanced laminates, as the minimal amount of bend-twist and extension-shear coupling resulted in small twist deformations. Conversely, propellers constructed out of symmetric-unbalanced laminates were shown to yield a noticeable variation in thrust and power in comparison to the rigid blade due to the presence of bend-twist and extension-shear coupling, which results in coupling between twist and blade axis deformations. The presence of an aerodynamic wash-out effect was also found to alleviate blade loads, resulting in a lower power requirement at a given thrust setting, and an opposite trend was observed in the presence of a wash-in effect. The proposed analysis framework may be applied towards more comprehensive design studies or optimization in future projects.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Group Sodja","","",""
"uuid:2cee2914-01a6-466b-b461-8fbb642e187d","http://resolver.tudelft.nl/uuid:2cee2914-01a6-466b-b461-8fbb642e187d","Booster Fly-Back Analysis of Reusable Launch Systems","Çavdar, I. (Student TU Delft); Mooij, E. (TU Delft Astrodynamics & Space Missions)","","2024","Within the last decade, the use of launch vehicles has increased as private companies have emerged in the space exploration industry. Compared to the early 1980s, the market for launch vehicles has become significantly more competitive, introducing the desire to have fully reusable launch vehicles. The true benefits of fully reusable launch vehicles can only be achieved once both stages are fully operational and recoverable. This stimulates the need for a comprehensive mission design. In this paper, this is achieved by revisiting the mission profiles of flyback boosters. An extensive analysis of the design-space is performed to identify the contributions of each decision variable to the trajectory design. The results concluded that such an approach supports achieving a more efficient optimization, with better convergence speed and solution performance.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:23b26a9e-7613-453e-a2ef-6700a6562f42","http://resolver.tudelft.nl/uuid:23b26a9e-7613-453e-a2ef-6700a6562f42","Swept Transition Experimental Platform (STEP)","Rius Vidales, A.F. (TU Delft Ship Hydromechanics and Structures); Barahona Lopez, M. (TU Delft Aerodynamics); Kotsonis, M. (TU Delft Aerodynamics)","","2024","A new experimental facility named Swept Transition Experimental Platform (STEP) has been designed and built for detailed studies of crossflow instability and its interaction with surface irregularities and varying wall temperature conditions. The STEP is designed for use in the anechoic low-turbulence wind tunnel facility at the Delft University of Technology (TU Delft). The new facility consists of a swept flat-plate model with a movable leading edge capable of precisely translating to create forward/backward-facing step irregularities. In addition, the plate’s wall temperature can be adjusted to study the potential of thermal laminar flow control. An adjustable pressure body provides the favorable pressure distribution required to enhance the development of crossflow instability. Static pressure measurements are conducted to characterize the nominal pressure distribution. In addition, detailed hot-wire measurements and theoretical stability calculations reveal that the combination of discrete roughness elements, pressure distribution, and experimental facility allows for a detailed study of the development of crossflow instability in the linear and non-linear growth regime. Consequently, the STEP enables further fundamental research on laminar flow control at TU Delft.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Aerodynamics","","",""
"uuid:fae759b3-20d7-4208-92de-d8bf2dd5b9a6","http://resolver.tudelft.nl/uuid:fae759b3-20d7-4208-92de-d8bf2dd5b9a6","Integrated Shape and Trajectory Optimisation of Hypersonic Waveriders","Agante de Carvalho, J.R. (Student TU Delft); Mooij, E. (TU Delft Astrodynamics & Space Missions)","","2024","This research performs a surrogate-assisted shape optimisation of hypersonic waveriders, where the trajectories of each shape are optimised with a multi-objective evolutionary algorithm for heat-load and cross-range. A study on the best evolutionary algorithm, node control strategy for angle of attack and bank angle profiles, and population size to use in the trajectory optimisation phase, are identified. The aerodynamics of the waveriders is computed with a new local surface inclination method blending the modified Newtonian and tangent wedge solutions, while the convective heat flux is computed for the leading edges using the Newton-Kays engineering model. Shape variability is introduced according to the layout of central composite designs, and analysis of variance is applied to identify the shape features driving the two objectives. Shock angle, leading edge radius and overall vehicle dimensions are the strongest drivers, while details on the planform shape are less relevant and should be left for posterior studies. The surrogates are a good approximation of the true fitness functions, so they were optimised in a single-objective framework, producing two optimal waverider designs.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:fd8d4db5-b955-4547-a9e7-dec0b3d16b50","http://resolver.tudelft.nl/uuid:fd8d4db5-b955-4547-a9e7-dec0b3d16b50","Gravity-Field Estimation of Asteroids","Mooij, E. (TU Delft Astrodynamics & Space Missions); Root, B.C. (TU Delft Planetary Exploration)","","2024","With the increasing interest in the Solar System's smaller bodies, quite a few missions have been sent to comets and asteroids, and more will be send in the near future. Due to the large distances involved, communication to command mission parameters takes a long time, which has a negative impact on operational safety. Autonomous navigation would be one of the key technologies that can make the mission more robust, safe, and cost e ective. This is especially true if one considers the unknown ight environment when the spacecraft is rst encountering the body. Most asteroids and comets have a very irregular shape and unknown mass distribution. Therefore, knowledge about its irregular gravity eld will be directly bene cial as input to orbital corrections and manoeuvre planning. This paper addresses the estimation process of gravity- eld parameters that could potentially be implemented in an autonomous navigation system. The focus is on a spherical-harmonic modelling of asteroid Eros-433, most notably outside the Brillouin sphere where the validity of the model is guaranteed. By using Kalman ltering it is shown that all degree and order coe cients up to degree 8 can be estimated with an error below 10%. This is the rst step towards an autonomous navigation system that can operate in a highly-perturbed environment close to the asteroid.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:0511e887-0575-4d17-9b71-3254f20378c0","http://resolver.tudelft.nl/uuid:0511e887-0575-4d17-9b71-3254f20378c0","Aeroelastic Tailoring of a Strut-Braced Wing for a Medium Range Aircraft","Carrillo Córcoles, X. (TU Delft Group Sodja); De Breuker, R. (TU Delft Group De Breuker); Sodja, J. (TU Delft Group Sodja)","","2024","This study explores the implementation of aeroelastic tailoring in the design of a regional aircraft featuring a strut-braced wing (SBW). Making use of the aeroelastic optimisation framework from Delft University of Technology, PROTEUS, the research addresses two distinct cases. The first case involves a simplified SBW geometry to validate the modifications of PROTEUS, which were conducted to include the strut in the aeroelastic analysis. Static and dynamic load cases are compared with a NX Nastran aeroelastic model, showing good agreement in displacements, strains, and gust response. In the second case, the study investigates the weight-saving potential of aeroelastic tailoring in an SBW aircraft based on the ATR-72. Three optimisation scenarios, allowing various laminate types, are examined: unbalanced symmetric laminates, balanced symmetric laminates, and a thickness optimisation with a prescribed balanced symmetric stacking sequence. The results reveal that the prescribed stacking sequence limits stiffness tailoring, thereby also reducing potential weight savings. Moreover, the study shows how the presence of a strut reduces wing deflections, limiting the effectiveness of aeroelastic tailoring.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Group Sodja","","",""
"uuid:014ffc1c-0adb-4f91-91b7-65a86e3e73eb","http://resolver.tudelft.nl/uuid:014ffc1c-0adb-4f91-91b7-65a86e3e73eb","High-Dimensional Bayesian Optimisation with Large-Scale Constraints - An Application to Aeroelastic Tailoring","Maathuis, H.F. (TU Delft Group Giovani Pereira Castro); De Breuker, R. (TU Delft Group De Breuker); Castro, Saullo G.P. (TU Delft Group Giovani Pereira Castro)","","2024","Design optimisation potentially leads to lightweight aircraft structures with lower environmental impact. Due to the high number of design variables and constraints, these problems are ordinarily solved using gradient-based optimisation methods, leading to a local solution in the design space while the global space is neglected. Bayesian Optimisation is a promising path towards sample-efficient, global optimisation based on probabilistic surrogate models. While for problems with a low number of design variables, Bayesian Optimisation methods have demonstrated their strength, the scalability to high-dimensional problems while incorporating large-scale constraints is still lacking. Especially in aeroelastic tailoring where directional stiffness properties are embodied into the structural design of aircraft, to control aeroelastic deformations and to increase the aerodynamic and structural performance, the safe operation of the system needs to be ensured by involving constraints resulting from different analysis disciplines. Hence, a global design space search becomes even more challenging. The present study attempts to tackle the problem by using high-dimensional Bayesian Optimisation in combination with a dimensionality reduction approach to solve the optimisation problem occurring in aeroelastic tailoring, presenting a novel approach for high-dimensional problems with large-scale constraints. Experiments on well-known benchmark cases with black-box constraints show that the proposed approach can incorporate large-scale constraints.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Group Giovani Pereira Castro","","",""
"uuid:4044dca9-7a65-444b-b733-31c8d11a4d8e","http://resolver.tudelft.nl/uuid:4044dca9-7a65-444b-b733-31c8d11a4d8e","Optimal Control for Distributed Aeroelastic Morphing Structure with Uncertainties and Imperfections","Mkhoyan, T. (TU Delft Arts & Crafts); Wang, Xuerui (TU Delft Group Wang); De Breuker, R. (TU Delft Group De Breuker)","","2024","This research takes a further step towards the development of an autonomous aeroservoelastic wing concept with distributed flaps. The wing demonstrator, developed within the TU Delft SmartX project, aims to demonstrate in-flight performance optimization and multi-objective control using an over-actuated wing design. To address the challenges posed by the aeroelastic system's nonlinearities and uncertainties, this paper employs an optimal control method relying on solving the State-Dependent Riccati Equation (SDRE). Geometrical nonlinearities, introduced in the form of plunge and torsion stiffness, make the system state-dependent and unsuitable for linear control methods. Additionally, a backlash model is incorporated to represent the uncertainty of the actuation system. The control strategy is implemented in a multi-objective manner to perform maneuver and gust load alleviation while accounting for the nonlinearities and uncertainties using the SDRE control. Firstly, a numerical sample case is investigated involving a state-dependent and highly non-linear canard aircraft configuration, to assess the ability of the SDRE control method. Then, in a numerical experiment, the effectiveness of the control strategy is evaluated through the nonlinear aeroelastic model. Evaluations are made on the practicality of the control approach, laying a foundation for future static and dynamic wind tunnel experiments with the SmartX-Neo","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Arts & Crafts","","",""
"uuid:63809bbd-a531-4b34-88f9-a2844d257982","http://resolver.tudelft.nl/uuid:63809bbd-a531-4b34-88f9-a2844d257982","Incremental Nonlinear Dynamic Inversion control with Flight Envelope Protection for the Flying-V","Stougie, P.J. (Student TU Delft); Pollack, T.S.C. (TU Delft Control & Simulation); van Kampen, E. (TU Delft Control & Simulation)","","2024","To reduce the impact of aviation on the environment, technological innovations, such as the Flying-V are required. The Flying-V is a proposed commercial flying wing, which uses the Airbus A350-900 as reference aircraft. In this work, a Flight Control system for the Flying-V is proposed with a longitudinal ��∗ control law, and a Rate Control Attitude Hold roll control law. This Flight Control System also includes a Flight Envelope Protection law to prevent reaching angles of attack higher than 30 degrees, where the Flying-V becomes statically unstable. The FEP also prevents the Flying-V from reaching load factors above 2.5 and limits the roll angle. The control laws are tuned to be within level 1 handling qualities in the selected approach and cruise conditions, with the presence of sensor dynamics, and a digital control system. Robustness for aerodynamic uncertainties is also shown. Finally, it is shown that the FEP is able to prevent the angle of attack from becoming too large.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Control & Simulation","","",""
"uuid:f07cb2c5-328b-4c82-9724-2126affc7f87","http://resolver.tudelft.nl/uuid:f07cb2c5-328b-4c82-9724-2126affc7f87","Certification of Reinforcement Learning Applications for Air Transport Operations Based on Criticality and Autonomy","Ribeiro, M.J. (TU Delft Air Transport & Operations); Tseremoglou, I. (TU Delft Air Transport & Operations); Santos, Bruno F. (TU Delft Air Transport & Operations)","","2024","Despite its success in various research domains, Reinforcement Learning (RL) faces challenges in its application to air transport operations due to the rigorous certification standards of the aviation industry. The existing regulatory framework fails to provide adequate, acceptable means of compliance for RL applications, and thus, there is no legal framework for their safe deployment yet. Guidelines must be formulated to certify RL models aimed at air transport operations to enable real-world utilisation of these promising methods. These guidelines must consider the unique characteristics of these models, deviating from the methodology of current guidelines crafted before the emergence of ML applications. The paper proposes novel certification requirements for RL models based on their technical characteristics, safety-criticality, and autonomy. This framework covers the choice of the RL algorithm and analyses the actions, agents, environment, and potential hazards and risks of the RL application. Additionally, this work outlines the evidence the certification applicant must present to demonstrate compliance with these requirements. While this framework is not a complete solution for the complex problem of certifying RL, it is intended to serve as an initial framework which can be extended in cooperation with regulatory entities.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Air Transport & Operations","","",""
"uuid:c63faa48-2ab5-4123-b1e3-bc7eb866b112","http://resolver.tudelft.nl/uuid:c63faa48-2ab5-4123-b1e3-bc7eb866b112","Optimization of Tollmien-Schlichting waves control: comparison between a deep reinforcement learning and particle swarm optimization approach","Mohammadikalakoo, B. (TU Delft Aerodynamics); Kotsonis, M. (TU Delft Aerodynamics); Doan, Nguyen Anh Khoa (TU Delft Aerodynamics)","","2024","This work focuses on the suppression of Tollmien-Schlichting (TS) waves in a two-dimensional laminar boundary layer using optimized unsteady suction and blowing jets as an Active Flow Control (AFC) method. The suppression of TS waves via this AFC system is enabled through two artificial intelligence-based optimization methodologies: Single-Step Deep Reinforcement Learning (SDRL) and Particle Swarm Optimization (PSO). The primary aim of this research is to assess the performance of these methods in optimizing the AFC parameters with respect to convergence rate, computational efficiency, and ability to find an optimum control state. The findings demonstrate the success of both methods in finding appropriate control parameters resulting in TS wave attenuation by up to 40 dB in the maximum convective instability amplitude for the linear and nonlinear stages of development. The comparative study in this paper presents the effectiveness of the SDRL algorithm in optimizing the AFC system for TS waves’ suppression and demonstrates that it can outperform PSO in terms of convergence rate and computational efficiency alongside a better performance in finding an improved optimum for linear control cases. However, the advantage of the SDRL-based controller over the PSO-based one diminishes in multi-frequency nonlinear control cases where the controller is located downstream and attempting to control highly amplified multi-modal TS waves.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Aerodynamics","","",""
"uuid:ed93cf78-331e-4939-b51e-ffcca6de7160","http://resolver.tudelft.nl/uuid:ed93cf78-331e-4939-b51e-ffcca6de7160","Parametric study of a switchable vortex generator for load alleviation in transonic conditions","Marino, Luca (TU Delft Group Sodja); Kiat, I. (Student TU Delft); Eberle, Adrian (Airbus Operations GmbH); Sodja, J. (TU Delft Group Sodja)","","2024","This paper investigates the impact of introducing a switchable vortex generator (SVG), acting as a mini-tab, on the aerodynamic performance of a high-aspect-ratio wing's outer section in transonic regime. A parametric study is conducted employing computational fluid dynamics 2D simulations, focusing on the aerodynamic effects of changing the chord-wise position and height of the vane of a SVG located on the airfoil upper surface in both nominal cruise conditions and for varying angles of attack. The analysis reveals that mini-tabs can strongly affect the aerodynamic forces produced by the wing section, showing great potential for load alleviation and control, but also emphasising the need for a careful parameter selection to reduce undesirable effects such as the generation of shock waves. In cruise conditions, lift reduction increases with the vane height and has its maximum for chord-wise positions at 60% of the chord-length. However, SVGs located in the first half of the chord-length yield more robust performance for varying angle of attack, without sharp lift variations or generated shock waves, and a delayed stall onset. High SVGs (≥3% chord-length) can also lead to strong shock waves on the airfoil lower surface at small or negative angle of attack, while small SVGs (","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Group Sodja","","",""
"uuid:68093421-4edf-4678-89e7-851520bdcbae","http://resolver.tudelft.nl/uuid:68093421-4edf-4678-89e7-851520bdcbae","Bi-sinusoidal light stimulation reveals an enhanced response power and reduced phase coherence at the visual cortex in migraine","van den Hoek, Thomas C. (Leiden University Medical Center); Perenboom, Matthijs J.L. (Leiden University Medical Center); Terwindt, Gisela M. (Leiden University Medical Center); Tolner, Else A. (Leiden University Medical Center); van de Ruit, M.L. (TU Delft Biomechatronics & Human-Machine Control; Leiden University Medical Center)","","2024","Introduction: Migraine is associated with enhanced visual sensitivity during and outside attacks. Processing of visual information is a highly non-linear process involving complex interactions across (sub)cortical networks. In this exploratory study, we combined electroencephalography with bi-sinusoidal light stimulation to assess non-linear features of visual processing in participants with migraine.
Methods: Twenty participants with migraine (10 with aura, 10 without aura) and ten non-headache controls were measured (outside attacks). Participants received bi-sinusoidal 13 + 23 Hz red light visual stimulation. Electroencephalography spectral power and multi-spectral phase coherence were compared between groups at the driving stimulation frequencies together with multiples and combinations of these frequencies (harmonic and intermodulation frequencies) caused by non-linearities.
Results: Only at the driving frequency of 13 Hz higher spectral power was found in migraine with aura participants compared with those with migraine without aura and controls. Differences in phase coherence were present for 2nd, 4th, and 5th-order non-linearities in those with migraine (migraine with and without aura) compared with controls. Bi-sinusoidal light stimulation revealed evident non-linearities in the brain’s electroencephalography response up to the 5th order with reduced phase coherence for higher order interactions in interictal participants with migraine.
Discussion: Insight into interictal non-linear visual processing may help understand brain dynamics underlying migraine attack susceptibility. Future research is needed to determine the clinical value of the results.","visual system; bi-sinusoidal; non-linear; EEG; steady-state response","en","journal article","","","","","","","","","","","Biomechatronics & Human-Machine Control","","",""
"uuid:686af1c3-dd00-4eff-9fbb-95b63c4ec268","http://resolver.tudelft.nl/uuid:686af1c3-dd00-4eff-9fbb-95b63c4ec268","An algae-derived partially renewable epoxy resin formulation for glass fibre-reinforced sustainable polymer composites","Apostolidis, Dimitrios; Dyer, W.E. (TU Delft Group Kumru); Dransfeld, C.A. (TU Delft Group Dransfeld); Kumru, B. (TU Delft Group Kumru)","","2024","Utilization of sustainable feedstocks to fabricate renewable thermosetting epoxy resins has been of great interest recently; however, their translation into composite structures and benchmark comparisons are poorly understood. Phloroglucinol is a phenolic molecule obtained from brown algae, and its epoxidized form is a high viscosity, high reactivity monomer. In this study, the potential of epoxidized phloroglucinol as a laminating resin was examined in comparison with a bisphenol A diglycidyl ether (BADGE) epoxy monomer employing the Epikure 04908 linear amine hardener system. Utilization of a reactive diluent for PHTE resin was necessary for room temperature laminating applications to reduce viscosity, and the thermomechanical properties of PHTE-based resins and composites are superior to those of BADGE systems.","","en","journal article","","","","","","","","","","","Group Kumru","","",""
"uuid:33866541-85c5-4391-9f71-73272918d178","http://resolver.tudelft.nl/uuid:33866541-85c5-4391-9f71-73272918d178","Reducing without losing: Reduced consumption and its implications for well-being","Vollebregt, Madeleine (Universiteit van Amsterdam); Mugge, R. (TU Delft Marketing and Consumer Research; Universiteit van Amsterdam); Thürridl, Carina (Universiteit van Amsterdam); van Dolen, Willemijn (Universiteit van Amsterdam)","","2024","Consumption is often considered important for achieving and maintaining well-being, particularly in current market societies. However, overconsumption is a significant driver of climate issues as it results in material scarcity and carbon emissions. Reduced consumption is one possible solution to environmental problems associated with climate change, as it lowers resource use and prevents waste creation. However, reductions in consumption may be perceived as a threat to human well-being. In this paper, we systematically review the literature to provide an overview of empirical studies that investigate the relationship between reduced consumption and well-being at the individual level. The majority of selected studies suggest that reduced consumption is associated with higher levels of well-being or that there is not a significant relationship. Others indicate potential negative effects. The results suggest that reducing consumption does not typically have a negative association with the well-being of consumers in wealthy nations. Reported increases in well-being may be due to supporting consumers' autonomy, environmental mastery, and social relationships. Societal norms and the consumption behavior of our peers likely influence the relationship. By conducting the first systematic literature review on the relationship between reduced consumption and well-being, we provide a more integrated understanding of consumption's role in well-being and in what contexts reduced consumption can be beneficial. Reduced consumption seems achievable from a well-being perspective, but more research is needed regarding practical implications for marketers, government, and consumers.","Well-being; Happiness; Reduced consumption; Absolute reductions; Systematic literature review","en","review","","","","","","","","","","","Marketing and Consumer Research","","",""
"uuid:a9cf4a5f-082f-4ad0-9f33-46e333971773","http://resolver.tudelft.nl/uuid:a9cf4a5f-082f-4ad0-9f33-46e333971773","WInDI: a Warp-Induced Dust Instability in protoplanetary discs","Aly, H.A. (TU Delft Astrodynamics & Space Missions; University Heidelberg); Nealon, Rebecca (University of Warwick); Gonzalez, Jean-François (Université Claude Bernard Lyon 1)","","2024","We identify a new dust instability that occurs in warped discs. The instability is caused by the oscillatory gas motions induced by the warp in the bending wave regime. We first demonstrate the instability using a local 1D (vertical) toy model based on the warped shearing box coordinates and investigate the effects of the warp magnitude and dust Stokes number on the growth of the instability. We then run 3D smoothed particle hydrodynamics (SPH) simulations and show that the instability is manifested globally by producing unique dust structures that do not correspond to gas pressure maxima. The 1D and SPH analysis suggest that the instability grows on dynamical time-scales and hence is potentially significant for planet formation.","Planet formation; Fluid instabilities; Dust evolution; Warped discs; protoplanetary disks","en","journal article","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:260ad45b-b04f-468a-aa87-49b289800a62","http://resolver.tudelft.nl/uuid:260ad45b-b04f-468a-aa87-49b289800a62","Acousto-Optical Tuneable Filter design for efficient diffraction of unpolarised light","Dupont, Samuel (Université Polytechnique Hauts de France); Kastelik, Jean-Claude (Université Polytechnique Hauts de France); Vanhamel, J. (TU Delft Space Systems Egineering); Dekemper, Emmanuel (Royal Belgian Institute for Space Aeronomy (BIRA-IASB))","Astolfi, Arianna (editor); Asdrudali, Francesco (editor); Shtrepi, Louena (editor)","2024","Diffraction of optical waves by an acoustic grating is a well-known phenomenon that enables the design of very versatile devices useful in photonic systems. For example, Acousto-Optic Tuneable Filters (AOTFs) can be dynamically tuned by radio-frequency signals. Among possible material choice, tellurium dioxide crystal is often used for practical applications due to its high efficiency. In such a birefringent material, the anisotropic configuration is often used. A feature of this configuration is the sensitivity to optical input polarisation: a selective coupling between polarized modes occurs. The incident must be polarised and
the diffracted mode polarisation is orthogonal to the incident one.
However, during the design process a very specific operation point can be found that ensures the simultaneous diffraction of both the ordinary and the extraordinary optical modes. In this presentation, we introduce the design of AOTF in birefringent crystals and present the main parameters that are subject to trade-off. Acousto-optic diffraction efficiency is sensitive to the so-called phase matching condition between optical wave and the ultrasonic
wave. The offset from synchronicity is considered introducing a phase mismatch parameter. Diffraction efficiency evolution with respect to Bragg condition offset are illustrated. A custom device is finally presented that ensures simultaneous diffraction of both polarisation modes and compared to experimental results.","AOTF; anisotropic diffraction; unpolarised light","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-01","","","Space Systems Egineering","","",""
"uuid:9c9e7446-41fa-4ba4-84c3-03575873e5b0","http://resolver.tudelft.nl/uuid:9c9e7446-41fa-4ba4-84c3-03575873e5b0","Learning-Based Multi-UAV Flocking Control With Limited Visual Field and Instinctive Repulsion","Bai, Chengchao (Harbin Institute of Technology); Yan, Peng (Harbin Institute of Technology); Piao, Haiyin (Northwestern Polytechnical University; SADRI Institute); Pan, W. (TU Delft Robot Dynamics; The University of Manchester); Guo, Jifeng (Harbin Institute of Technology)","","2024","This article explores deep reinforcement learning (DRL) for the flocking control of unmanned aerial vehicle (UAV) swarms. The flocking control policy is trained using a centralized-learning-decentralized-execution (CTDE) paradigm, where a centralized critic network augmented with additional information about the entire UAV swarm is utilized to improve learning efficiency. Instead of learning inter-UAV collision avoidance capabilities, a repulsion function is encoded as an inner-UAV 'instinct.' In addition, the UAVs can obtain the states of other UAVs through onboard sensors in communication-denied environments, and the impact of varying visual fields on flocking control is analyzed. Through extensive simulations, it is shown that the proposed policy with the repulsion function and limited visual field has a success rate of 93.8% in training environments, 85.6% in environments with a high number of UAVs, 91.2% in environments with a high number of obstacles, and 82.2% in environments with dynamic obstacles. Furthermore, the results indicate that the proposed learning-based methods are more suitable than traditional methods in cluttered environments.","Autonomous aerial vehicles; Collision avoidance; Deep reinforcement learning (DRL); flocking control; inter-unmanned aerial vehicle (UAV) collision avoidance; limited visual field; Optimization; Reinforcement learning; Sensors; Training; UAVs; Visualization","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-08","","","Robot Dynamics","","",""
"uuid:59b4d50b-6e74-48ca-8d84-fef1128b0ac2","http://resolver.tudelft.nl/uuid:59b4d50b-6e74-48ca-8d84-fef1128b0ac2","Near-Optimal Feedback Guidance for Low-Thrust Earth Orbit Transfers","Atmaca, D. (TU Delft Control & Simulation); Pontani, Mauro (Sapienza University of Rome)","","2024","This research describes a near-optimal feedback guidance, based on nonlinear orbit control, for low-thrust Earth orbit transfers. Lyapunov stability theory leads to proving that although several equilibria exist, only the desired operational conditions are associated with a stable equilibrium. This ensures quasi-global asymptotic convergence toward the desired final orbit. The dynamical model includes the effect of eclipsing on the available thrust, as well as all the relevant orbit perturbations, such as several harmonics of the geopotential, solar radiation pressure, aerodynamic drag, and gravitational attraction due to the Sun and the Moon. Near-optimality of the feedback guidance comes from careful selection of the control gains. They are identified in two steps. Step (a) is an extensive table search in which the gains are changed in a large interval. Step (b) uses a numerical optimization algorithm that refines the gains found in (a), while minimizing the time of flight. For the numerical simulations, two scenarios are defined: (i) nominal conditions and (ii) nonnominal conditions, which arise from orbit injection errors and stochastic failures of the propulsion system. For case (i), gain optimization leads to obtaining numerical results very close to those corresponding to a known optimal orbit transfer with eclipse arcs. Moreover, for case (ii), extensive Monte Carlo simulations demonstrate that the nonlinear feedback guidance at hand is effective in driving a spacecraft from a low Earth orbit to a geostationary orbit, also in the presence of nonnominal flight conditions.","Earth Orbit Transfers; Low-thrust Space Propulsion; Feedback Guidance and Control","en","journal article","","","","","","","","","","","Control & Simulation","","",""
"uuid:7c311707-8898-492d-b580-1e298cd09e32","http://resolver.tudelft.nl/uuid:7c311707-8898-492d-b580-1e298cd09e32","Luck, Epigenetics and the Worth of Collective Agents","Chiapperino, Luca (University of Lausanne); Sand, M. (TU Delft Ethics & Philosophy of Technology)","","2024","","Collective Responsibility; Responsibility; Luck; Epigenetics; Blame","en","book chapter","Bristol University Press","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:e3e91723-a52e-4217-b581-cadc96de5992","http://resolver.tudelft.nl/uuid:e3e91723-a52e-4217-b581-cadc96de5992","Drying of silty soil treated with superabsorbent hydrogels: Retention behaviour and cracking","Liaudat, J. (TU Delft Geo-engineering); Muraro, S. (TU Delft Geo-engineering)","","2024","Desiccation cracks in soils pose risks to the serviceability and safety of geotechnical infrastructure worldwide. This paper aims to investigate the potential of superabsorbent hydrogels (SAH) as innovative soil amendment to mitigate soil drying effects and cracking. Laboratory tests were conducted on an initially saturated silty soil treated with different types and dosages of SAH. Desiccation cracking tests, shrinkage tests, and water retention tests were performed to analyse the cracking process, evaporation rate, and retention properties. The tests were integrated with micro-CT scan analyses to observe changes in soil fabric due to the SAH addition. The results indicate that SAH particles serve as internal water reservoirs, extending the normal shrinkage stage and maintaining higher suctions without significant desaturation, in comparison to untreated soil. The addition of SAH reduces the evaporation rate, particularly at a dosage of 0.1%. The progression of cracking occurs at suctions below the air entry value, and the inclusion of SAH reduces the rate of crack development. These findings highlight the need for additional research on SAH as a promising soil treatment for geotechnical applications.","Soil; Desiccation cracking; Superabsorbent hydrogels","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:46f7dd57-fc54-415c-8793-a6696014963f","http://resolver.tudelft.nl/uuid:46f7dd57-fc54-415c-8793-a6696014963f","Full-length single-molecule protein fingerprinting","Filius, M. (TU Delft BN/Chirlmin Joo Lab; Kavli institute of nanoscience Delft); van Wee, R.G. (TU Delft BN/Chirlmin Joo Lab; Kavli institute of nanoscience Delft); de Lannoy, C.V. (TU Delft BN/Chirlmin Joo Lab; Wageningen University & Research; Kavli institute of nanoscience Delft); Westerlaken, I. (TU Delft BT/Industriele Microbiologie; Kavli institute of nanoscience Delft); Li, Zeshi (Kavli institute of nanoscience Delft); Kim, S.H. (TU Delft BN/Chirlmin Joo Lab; Ewha Womans University; Kavli institute of nanoscience Delft); de Agrela Pinto, C. (TU Delft BN/Arjen Jakobi Lab; Kavli institute of nanoscience Delft); Wu, Yunfei (Universiteit Utrecht); Boons, Geert-Jan (Universiteit Utrecht); Pabst, Martin (TU Delft BT/Environmental Biotechnology); de Ridder, Dick (Wageningen University & Research); Joo, C. (TU Delft BN/Chirlmin Joo Lab; Kavli institute of nanoscience Delft)","","2024","Proteins are the primary functional actors of the cell. While proteoform diversity is known to be highly biologically relevant, current protein analysis methods are of limited use for distinguishing proteoforms. Mass spectrometric methods, in particular, often provide only ambiguous information on post-translational modification sites, and sequences of co-existing modifications may not be resolved. Here we demonstrate fluorescence resonance energy transfer (FRET)-based single-molecule protein fingerprinting to map the location of individual amino acids and post-translational modifications within single full-length protein molecules. Our data show that both intrinsically disordered proteins and folded globular proteins can be fingerprinted with a subnanometer resolution, achieved by probing the amino acids one by one using single-molecule FRET via DNA exchange. This capability was demonstrated through the analysis of alpha-synuclein, an intrinsically disordered protein, by accurately quantifying isoforms in mixtures using a machine learning classifier, and by determining the locations of two O-GlcNAc moieties. Furthermore, we demonstrate fingerprinting of the globular proteins Bcl-2-like protein 1, procalcitonin and S100A9. We anticipate that our ability to perform proteoform identification with the ultimate sensitivity may unlock exciting new venues in proteomics research and biomarker-based diagnosis","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-13","","","BN/Chirlmin Joo Lab","","",""
"uuid:3ede2611-c689-43b2-87ce-ddea17e64367","http://resolver.tudelft.nl/uuid:3ede2611-c689-43b2-87ce-ddea17e64367","Dams are fragile: The frenzy and legacy of modern infrastructures along the Klamath and Allegheny Rivers","Iuorio, Luca (TU Delft Environmental Technology and Design)","","2024","This article discusses the socio-technical process of dam construction in the United States from the early 20th century to the present. It examines how marginal places have been the object of industrial modifications through the inventiveness and entrepreneurship of social groups and local individuals who, supported by federal measures, have built power and cultural relations on territorial scales for decades. Historical reconstructions describe the generative processes of places through dam construction to demonstrate that the contemporary built environment is a product of natural and human-made relationships. Events associated with modern dam constructions and, more recently, with demolitions along the Klamath and Allegheny Rivers are critically discussed to illustrate how environmental resources relate to and interact with technology, human practices, and places. The article suggests that dams have been engines for industrial growth and technological devices to reframe the interdependencies between people and the environment. Dams supply people with water and energy and protect them and their property from droughts, floods, and fires. However, after a century of operations, these structures are reaching the ends of their lifecycles. In light of dam removal trends in the United States, the article presents a historical narrative on the societal legacy of dams. The intent is to share a broad understanding of the current technical and political debates on whether to demolish or maintain US dams in the future.","Modernity; Dams; Technology; Removal","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-11","","","Environmental Technology and Design","","",""
"uuid:d631ea6e-254a-4b40-906e-1687c076a1d9","http://resolver.tudelft.nl/uuid:d631ea6e-254a-4b40-906e-1687c076a1d9","Multifaceted Functionalities of Bridge-Type DC Reactor Fault Current Limiters: An Experimentally Validated Investigation","Behdani, B. (TU Delft Intelligent Electrical Power Grids); Moghim, Ali (Islamic Azad University); Mousavi, Sheyda (Zanjan University); Soltanfar, Mostafa (University of Kashan); Hojabri, Mojgan (Lucerne University of Applied Sciences and Arts)","","2024","With the ongoing expansion and interconnection of electrical power systems, alongside the rapid proliferation of renewable distributed generations (DGs), the short-circuit extent in the power grid is experiencing a significant rise. Fault current limiters (FCLs) have been introduced in an effort to address this issue, ensuring the robustness and sustainability of expensive power system components when confronted with short-circuit faults. Among the various types of FCLs, bridge-type DC reactor fault current limiters (BDCR-FCLs) have emerged as one of the most promising options. While BDCR-FCLs have shown excellent properties in limiting harmful short-circuit currents, they are also advantageous in other respects. This paper investigates the supplementary functionalities of BDCR-FCLs as a multifaceted device towards the enhancement of the quality of supplied energy in terms of total harmonic distortion (THD) reduction, power factor (PF) correction, peak current reduction for nonlinear loads, and soft load variation effects, as well as their capability to limit fault current. To this aim, the capabilities of BDCR-FCLs have been studied through various simulated case studies in PSCAD/EMTDC software V5.0.1, in addition to experimental tests considering an AC microgrid connected to a DC system. The experimental and simulation investigations verify the superior multifaceted functionalities BDCR-FCLs introduce in addition to their excellent fault current-limiting capabilities. The results show that PF improved by 6.7% and 7%, respectively, in simulation and experimental tests. Furthermore, the current THD decreased by 20% and 18% in the simulation and experiment, respectively.","AC/DC microgrid; bridge-type DC reactor; fault current limiter","en","journal article","","","","","","","","","","","Intelligent Electrical Power Grids","","",""
"uuid:c5e3916b-e2b3-4244-8541-432dedc10402","http://resolver.tudelft.nl/uuid:c5e3916b-e2b3-4244-8541-432dedc10402","Dataslip: Into the Present and Future(s) of Personal Data","Gomez Ortega, A. (TU Delft Internet of Things); Noortman, Renee (Eindhoven University of Technology); Bourgeois, Jacky (TU Delft Internet of Things); Kortuem, G.W. (TU Delft Internet of Things)","","2024","Most people are entangled with an ever-growing trail of data that results from their daily interactions with products and services. Yet, they are hardly aware of the nature and characteristics of the data within this trail. We design dataslip, a provocative artifact that materializes the personal data trail into a receipt and aims to elicit creepiness. We demonstrate dataslip at two events in Delft, The Netherlands. Dataslip is a starting point to foster conversations with local community members about the underlying challenges and potential alternatives to personal data collection and use. We use these as prompts for further speculation through a collaborative futuring exercise with children, where we part from challenges towards hopeful and empowering futures. We contribute with an artifact that invites individuals to interrogate the current personal data practices they are embedded in and a set of five speculative design scenarios that suggest hopeful and empowering alternatives.","Personal Data; Prototyping; Speculative Design; Futuring","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Internet of Things","","",""
"uuid:e9f814fb-1317-4820-b823-5d0a07ae3e4b","http://resolver.tudelft.nl/uuid:e9f814fb-1317-4820-b823-5d0a07ae3e4b","Can ChatGPT be used to predict citation counts, readership, and social media interaction? An exploration among 2222 scientific abstracts","de Winter, J.C.F. (TU Delft Human-Robot Interaction)","","2024","This study explores the potential of ChatGPT, a large language model, in scientometrics by assessing its ability to predict citation counts, Mendeley readers, and social media engagement. In this study, 2222 abstracts from PLOS ONE articles published during the initial months of 2022 were analyzed using ChatGPT-4, which used a set of 60 criteria to assess each abstract. Using a principal component analysis, three components were identified: Quality and Reliability, Accessibility and Understandability, and Novelty and Engagement. The Accessibility and Understandability of the abstracts correlated with higher Mendeley readership, while Novelty and Engagement and Accessibility and Understandability were linked to citation counts (Dimensions, Scopus, Google Scholar) and social media attention. Quality and Reliability showed minimal correlation with citation and altmetrics outcomes. Finally, it was found that the predictive correlations of ChatGPT-based assessments surpassed traditional readability metrics. The findings highlight the potential of large language models in scientometrics and possibly pave the way for AI-assisted peer review.","Citation prediction; Scientometrics; Altmetrics; ChatGPT; GPT-4; Scientific abstracts; Artificial intelligence","en","journal article","","","","","","","","","","","Human-Robot Interaction","","",""
"uuid:c2c6c678-708a-49e9-b38d-9253bd5ced5a","http://resolver.tudelft.nl/uuid:c2c6c678-708a-49e9-b38d-9253bd5ced5a","Autonomic responses to pressure sensitivity of head, face and neck: Heart rate and skin conductance","Yang, W. (Harbin Ergineering University Yantai Research Institute; School of Design Hunan University); Chen, Tingshu (School of Design Hunan University); He, Renke (School of Design Hunan University); Goossens, R.H.M. (TU Delft Human-Centered Design; TU Delft Applied Ergonomics and Design); Huysmans, T. (TU Delft Applied Ergonomics and Design)","","2024","Subjective scales are frequently used in the design process of head-related products to assess pressure discomfort. Nevertheless, some users lack fundamental cognitive and motor abilities (e.g., paralyzed patients). Therefore, it is vital to find non-verbal measurements of pressure discomfort and pressure pain. This study gathered the autonomic response data (heart rate and skin conductance) of 30 landmarks in head, neck and face from 31 participants experiencing pressure discomfort and pressure pain. The results indicate that pressure stimulation can change heart rate (HR) and skin conductance (SC). SC can be more useful in assessing pressure discomfort than HR for specific landmarks, and SC also possesses a faster arousal rate than HR. Moreover, HR decreased in response to pressure stimulation, while SC decreased followed by an increase. In comparisons between genders, the subjective pressure discomfort threshold (PDT) and pressure pain threshold (PPT) of women were lower than those of men, but men's autonomic responses (HR and SC) were more intense. Furthermore, there was no linear correlation between subjective pressure thresholds (PDT and PPT) and autonomic response intensity. This study has significant implications for resolving ergonomic issues (pressure discomfort and pain) associated with head-related products.","Pressure discomfort; Head-related products; Physiological signals","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-26","","Human-Centered Design","Applied Ergonomics and Design","","",""
"uuid:58bfa20f-4401-4782-a6b9-d3ae871a928d","http://resolver.tudelft.nl/uuid:58bfa20f-4401-4782-a6b9-d3ae871a928d","Rapid Aerostructural Optimization of Wing-Propeller Systems","Exalto, J.Q.B. (University of Michigan); Pacini, Bernardo (University of Michigan); Kaneko, Shugo (University of Michigan); Martins, Joaquim R. R. A. (University of Michigan); Hoogreef, M.F.M. (TU Delft Flight Performance and Propulsion)","","2024","Propeller-wing configurations are expected to return to the aviation industry due to their high propulsive efficiency and applicability in urban and regional air mobility. A knowledge gap exists around wing-propeller optimization because of the complexity of the propeller-wing system and the absence of a computationally efficient way to assess the coupled system. This paper addresses this gap by providing and validating a computationally efficient, mid-fidelity framework. The paper presents optimization results and recommendations for future iterations of the framework. The TU Delft PROWIM propeller is optimized with the framework, comparing sequential isolated optimization, trim optimization, and fully coupled optimization. The studies gives a conservative estimate of the efficiency gains that can be achieved by using coupled optimization, as compared to isolated optimization. Lastly, recommendations are given for future studies, such as including a battery weight model and including swirl velocities. It is expected that such model additions will affect the optimization results, and further emphasize the importance of coupled aerostructural optimization.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:e512b2fc-cf41-42a7-b288-19b7cc05ebc2","http://resolver.tudelft.nl/uuid:e512b2fc-cf41-42a7-b288-19b7cc05ebc2","Mobile atoms power up logical qubits","Terhal, B.M. (TU Delft Discrete Mathematics and Optimization)","","2024","Small groups of mobile neutral atoms have been manipulated with extraordinary control to form ‘logical’ quantum bits. These qubits can perform quantum computations more reliably than can individual atoms.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-29","","","Discrete Mathematics and Optimization","","",""
"uuid:c7da2dfd-9583-4fbd-ad8d-ef512be91c2f","http://resolver.tudelft.nl/uuid:c7da2dfd-9583-4fbd-ad8d-ef512be91c2f","Nudging human drivers via implicit communication by automated vehicles: Empirical evidence and computational cognitive modeling","Zgonnikov, A. (TU Delft Human-Robot Interaction); Beckers, N.W.M. (TU Delft Human-Robot Interaction); George, A. (TU Delft Human-Robot Interaction); Abbink, D.A. (TU Delft Human-Centred Artificial Intelligence; TU Delft Human-Robot Interaction); Jonker, C.M. (TU Delft Interactive Intelligence)","","2024","Understanding behavior of human drivers in interactions with automated vehicles (AV) can aid the development of future AVs. Existing investigations of such behavior have predominantly focused on situations in which an AV a priori needs to take action because the human has the right of way. However, future AVs might need to proactively manage interactions even if they have the right of way over humans, e.g., a human driver taking a left turn in front of the approaching AV. Yet it remains unclear how AVs could behave in such interactions and how humans would react to them. To address this issue, here we investigated behavior of human drivers (N = 19) when interacting with an oncoming AV during unprotected left turns in a driving simulator experiment. We measured the outcomes (Go or Stay) and timing of participants’ decisions when interacting with an AV which performed subtle longitudinal nudging maneuvers, e.g. briefly decelerating and then accelerating back to its original speed. We found that participants’ behavior was sensitive to deceleration nudges but not acceleration nudges. We compared the obtained data to predictions of several variants of a drift-diffusion model of human decision making. The most parsimonious model that captured the data hypothesized noisy integration of dynamic information on time-to-arrival and distance to a fixed decision boundary, with an initial accumulation bias towards the Go decision. Our model not only accounts for the observed behavior but can also flexibly generate predictions of human responses to arbitrary longitudinal AV maneuvers, and can be used for both informing future studies of human behavior and incorporating insights from such studies into computational frameworks for AV interaction planning.","Driver behavior; Automated vehicles; Implicit communication; Decision making; Cognitive modeling; Evidence accumulation","en","journal article","","","","","","","","","","","Human-Robot Interaction","","",""
"uuid:3b3ecab9-52a7-494d-b4bd-da74c126e39a","http://resolver.tudelft.nl/uuid:3b3ecab9-52a7-494d-b4bd-da74c126e39a","Microplastics van een textielwasserij en de verwijdering in een RWZI","Bertelkamp, Cheryl (Water Research Australia; Waternet); Pieke, Eelco (Het Waterlaboratorium); Struker, Andre (Waternet); Traast, Olivia (Waternet); van der Hoek, J.P. (TU Delft Sanitary Engineering; Waternet)","","2024","Hoewel de rwzi’s Amsterdam-West en Horstermeer meer dan 99,8% van de microplastics verwijderen, blijft het absolute aantal dat in het milieu terechtkomt aanzienlijk. Tevens bleek dat één industriële textielwasserij verantwoordelijk is voor 13% van het totale aantal microplastics dat de rioolwaterzuivering binnenkomt.","","nl","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:c408e496-937f-44b2-a76f-a6cc4d905986","http://resolver.tudelft.nl/uuid:c408e496-937f-44b2-a76f-a6cc4d905986","Size Effect in the Compression of 3D Polymerized Micro-Structures","Li, Jiayu (Dalian University of Technology); Accardo, A. (TU Delft Micro and Nano Engineering); Liu, Shutian (Dalian University of Technology)","","2024","Micro/nanoscale additive manufacturing provides a powerful tool for advanced materials and structures with complex and precise features. For instance, the feature resolution of two-photon polymerization (2PP) can reach 200 nm. At this scale, materials properties can change, and the influence of the size effect cannot be ignored. Therefore, it is necessary to assess changes in the material mechanical properties considering size effects. In this work, several micrometric polymeric specimens are printed via 2PP, and their mechanical properties are assessed using compression tests. Detailed printing and testing procedures and the effects of parameter settings are provided. The experimental results show that the changes in the microstructures size have a direct effect on Young s modulus. In particular, a large surface-volume ratio results in a higher Young s modulus. In other words, the smaller the structure size, the higher the stiffness. The reported findings play a significant role in the development of fabrication strategies for polymeric microstructures where high stiffness accuracy is fundamental.","mechanical properties of materials; micro/nanoscale additive manufacturing; Size effect; two-photon polymerization resin; Young s modulus","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-25","","","Micro and Nano Engineering","","",""
"uuid:271509df-a9db-4edd-b36d-268220064dee","http://resolver.tudelft.nl/uuid:271509df-a9db-4edd-b36d-268220064dee","Influence of geometrical levels of detail and inaccurate material optical properties on daylight simulation","Forouzandeh Shahraki, N. (TU Delft Environmental & Climate Design); Brembilla, E. (TU Delft Environmental & Climate Design); Nan, L. (TU Delft Urban Data Science); Stoter, J.E. (TU Delft Urban Data Science); Jakubiec, Alstan (University of Toronto)","","2024","Optimizing the built environment via simulations of building models hinges on standardizing data acquisition. In this research, we put forward distinct levels of detail for geometry and material inputs, specifically tailored for indoor daylight applications. We primarily focus on understanding the uncertainties arising from imprecise estimations of material optical properties and incomplete geometrical inputs in climate-based indoor daylight simulations. Employing a Monte Carlo approach, we analyzed six office and teaching spaces, creating 20 variations for each by altering geometrical completeness and material accuracy. The technique of excluding non-permanent objects below certain sizes in four graduated steps was used to derive and test the impact of various geometrical levels of detail. Our findings reveal that different levels of geometrical completeness lead to errors ranging from 1.08% to 18.05%. Additionally, a twofold increase in simulation time was noted when geometrical detail was enhanced relative to the most basic model. Errors stemming from imprecise definitions of material optical properties showed a normal distribution. The uncertainty in simulation outcomes showed a linear rise with increasing input material uncertainty, lying between 10% to 30%, depending on space configurations. We observed heightened uncertainty near openings, attributed to window transmittance effects. The research underscores that daylight predictions are markedly more sensitive to transmittance uncertainties than to those in reflectance, regardless of the window-to-floor ratio. These insights may help to guide a more efficient data acquisition process of indoor spaces for daylight simulations.","Digital twin; Digitization; Interior; LOD; Retrofit","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:1435f52e-84dd-49cb-8291-84b52b77d78b","http://resolver.tudelft.nl/uuid:1435f52e-84dd-49cb-8291-84b52b77d78b","Ontwikkelen in NYC is extreem, maar daardoor juist ook leerzaam","Janssen, C. (TU Delft Practice Chair Urban Area Development); Daamen, T.A. (TU Delft Urban Development Management); Heurkens, E.W.T.M. (TU Delft Urban Development Management)","","2024","Najaar 2023 gingen 20 professionals uit de Nederlandse ruimtelijke ontwikkelingspraktijk op studiereis naar New York. Een geliefde stad voor een onvergetelijke citytrip, maar wat levert zo’n studiereis op aan nieuwe inzichten voor gebiedsontwikkeling? Céline Janssen, Erwin Heurkens en Tom Daamen doen verslag.","international comparative research; gebiedsontwikkeling; lesson-drawing; New York","nl","report","Gebiedsontwikkeling.nu","","","","","","","","","","Practice Chair Urban Area Development","","",""
"uuid:213602b7-9f32-496b-b262-586560ff46a6","http://resolver.tudelft.nl/uuid:213602b7-9f32-496b-b262-586560ff46a6","A market for trading forecasts: A wagering mechanism","Raja, A.A. (TU Delft Team Sergio Grammatico); Pinson, Pierre (Imperial College London; Technical University of Denmark); Kazempour, Jalal (Technical University of Denmark); Grammatico, S. (TU Delft Team Sergio Grammatico; TU Delft Team Bart De Schutter)","","2024","In many areas of industry and society, including energy, healthcare, and logistics, agents collect vast amounts of data that are deemed proprietary. These data owners extract predictive information of varying quality and relevance from data depending on quantity, inherent information content, and their own technical expertise. Aggregating these data and heterogeneous predictive skills, which are distributed in terms of ownership, can result in a higher collective value for a prediction task. In this paper, a platform for improving predictions via the implicit pooling of private information in return for possible remuneration is envisioned. Specifically, a wagering-based forecast elicitation market platform has been designed, in which a buyer intending to improve their forecasts posts a prediction task, and sellers respond to it with their forecast reports and wagers. This market delivers an aggregated forecast to the buyer (pre-event) and allocates a payoff to the sellers (post-event) for their contribution. A payoff mechanism is proposed and it is proven that it satisfies several desirable economic properties, including those specific to electronic platforms. Furthermore, the properties of the forecast aggregation operator and scoring rules are discussed in order to emphasize their effect on the sellers’ payoff. Finally, numerical examples are provided in order to illustrate the structure and properties of the proposed market platform.","Elicitation of probabilities; Mechanism design; Predictive distribution; Scoring rules; Value of forecast; Wagering mechanism","en","journal article","","","","","","","","","","","Team Sergio Grammatico","","",""
"uuid:e4491915-4e11-4518-aa31-67c1431a0109","http://resolver.tudelft.nl/uuid:e4491915-4e11-4518-aa31-67c1431a0109","Criminal, Cosmopolitan, Commodified: How Rotterdam’s Interwar Amusement Street, the Schiedamsedijk, Became a Safe Mirror Image of Itself","Baptist, V. (TU Delft History, Form & Aesthetics)","Blok, Gemma (editor); Oosterholt, Jan (editor)","2024","This chapter develops a layered analysis of the Schiedamsedijk, Rotterdam’s interwar amusement street. It links the street’s split socio-cultural character to that of port cities in general, and investigates this along the lines of a similar divide in perceptions of safety and security. Based on an historical bird’s-eye view of the pleasure area, the Schiedamsedijk’s criminal and cosmopolitan sides are discussed. Both of these maritime urban traits were neutralised when the Schiedamsedijk reinvented itself as a domestic tourist attraction in the late 1930s. Through visual sources, interchanges are foregrounded between contrasting internal and external perspectives on safety, which ultimately help to nuance and reframe the stereotypical characters and ambiguous nature traditionally ascribed to this historical environment of pleasure culture.","cosmopolitanism; interwar period; pleasure; port city; Rotterdam; safety","en","book chapter","Amsterdam University Press","","","","","'The Cultural Construction of Safety and Security' was made possible by a grant from: Open Access Stimuleringsfonds; HERA project ‘Governing the Narcotic City. Imaginaries, Practices, Discourses and Consequences of Public Drug Use’; Faculty CW Open Universiteit","","","","","History, Form & Aesthetics","","",""
"uuid:8d90896c-a188-4d33-928c-4f2a8054b9e3","http://resolver.tudelft.nl/uuid:8d90896c-a188-4d33-928c-4f2a8054b9e3","The Netherlands","Mostert, E. (TU Delft Water Resources)","Hellberg, Sofie (editor); Söderbaum, Fredrik (editor); Swain, Ashok (editor); Öjendal, Joakim (editor)","2024","","water; Netherlands; development; Dommel","en","book chapter","Routledge - Taylor & Francis Group","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-21","","","Water Resources","","",""
"uuid:c54f3785-0af4-43fe-ba50-7e0c320155d1","http://resolver.tudelft.nl/uuid:c54f3785-0af4-43fe-ba50-7e0c320155d1","Failure anticipation scheme in distribution systems based on wave distortions and Montecarlo methods","Bhandia, R. (TU Delft Intelligent Electrical Power Grids); de Jesus Chavez, Jose (TU Delft Intelligent Electrical Power Grids; Tecnologico de Monterrey); Cvetkovic, M. (TU Delft Intelligent Electrical Power Grids); Garcia-Vite, Pedro M. (Tecnológico Nacional de México Campus de Cd. Madero); Popov, M. (TU Delft Intelligent Electrical Power Grids); Palensky, P. (TU Delft Electrical Sustainable Energy)","","2024","Anticipating failures is vital for maintaining a reliable power supply. Advanced measurement devices in the grid generate vast data that contains valuable information on grid operations. Initial signatures of an incipient failure are often reflected in this data in the form of electrical waveform distortions. Conventional protection schemes are not equipped to analyze these distortions and anticipate failures. There is a considerable research gap for a simple yet robust and universal failure anticipation and diagnosis scheme. This paper proposes a universal Failure Anticipation and Diagnosis Scheme (FADS) to detect incipient failures in AC distribution grids. The method comprises three short stages, helping the operator make an informed decision. In the first stage, the FADS scheme leverages the fundamental properties of electrical sinusoid waveforms to detect distortions. In the second stage, the distortion data is processed through pre-determined thresholds set in accordance with the system's regular operation. In the third stage, depending on the system, the FADS uses the extent of the violations of these thresholds and ranks the severity of the danger posed to grid operations. The classification helps determine if the waveform distortions are the signature of an incipient failure. The proposed FADS method's reliability, robustness and effectiveness are evaluated in incipient failure conditions of field events modelled in real-time simulations on standardized IEEE distribution feeders. The FADS is a high-speed distortion detector, is quite sensitive, and the method has high selectivity because of its nature.","Incipient faults detection; Failure anticipation; Situational awareness; Signature analysis; Distribution systems","en","review","","","","","","","","","","Electrical Sustainable Energy","Intelligent Electrical Power Grids","","",""
"uuid:f8b4514f-c7f7-4a95-b0f4-e0f8d25da653","http://resolver.tudelft.nl/uuid:f8b4514f-c7f7-4a95-b0f4-e0f8d25da653","Changing Urban Temperature and Rainfall Patterns in Jakarta: A Comprehensive Historical Analysis","Maheng, M.D. (TU Delft Hydraulic Structures and Flood Risk; IHE Delft Institute for Water Education; Universitas Muhammadiyah Kendari); Bhattacharya, Biswa (IHE Delft Institute for Water Education); Zevenbergen, C. (TU Delft Urban Design; IHE Delft Institute for Water Education); Pathirana, Assela (IHE Delft Institute for Water Education)","","2024","The increasing global population and in-country migration have a significant impact on global land use land cover (LULC) change, which reduces green spaces and increases built-up areas altering the near-surface radiation and energy budgets, as well as the hydrological cycle over an urban area. The LULC change can lead to a combination of hazards such as increasing urban temperatures and intensified rainfall, ultimately resulting in increased flooding. This present study aims to discuss the changing pattern in urban temperature, daily rainfall, and flooding in Jakarta. The daily urban temperature and daily rainfall were based on a 30-year dataset from three meteorological stations of Jakarta in the period between 1987 and 2013. The changing trend was analyzed by using the Mann–Kendall and the Pettitt’s tests. The relation between daily rainfall and flooding was analyzed using a 30-year flooding dataset collected from several sources including the international disaster database, research, and newspaper. The results show that there was an increasing trend in the daily temperature and the daily rainfall in Jakarta. The annual maximum daily temperature showed that an increasing trend started in 2001 at the KMY station, and in 1996 at the SHIA station. In general, the highest annual maximum daily temperature was about 37 °C, while the lowest was about 33 °C. Moreover, the maximum daily rainfall started increasing from 2001. An increase in the maximum daily rainfall was observed mainly in January and February, which coincided with the flood events recorded in these months in Jakarta. This indicates that Jakarta is not only vulnerable to high urban temperature but also to flooding. While these two hazards occur in distinct timeframes, there is potential for their convergence in the same geographical area. This study provides new and essential insights to enhance urban resilience and climate adaptation, advocating a holistic approach required to tackle these combined hazards.","urbanization; land use land cover change; urban temperature; daily rainfall; flooding; Jakarta","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:b18dad0e-c2eb-4602-b3f6-e3101fd43f6e","http://resolver.tudelft.nl/uuid:b18dad0e-c2eb-4602-b3f6-e3101fd43f6e","The potential of collaborative housing to tackle the social deficit of housing: The Chilean case","Cortés Urra, V.A. (TU Delft Real Estate Management); Ersoy, A. (TU Delft Urban Development Management); Czischke, D.K. (TU Delft Real Estate Management); Gruis, V.H. (TU Delft Real Estate Management)","","2024","In recent decades, various programs have been developed as part of Chile’s housing policies to respond to the housing deficit. Most policies have so far focused on addressing the quantitative, qualitative, and urban deficits, neglecting the social dimension of housing. At the same time, the concept of collaborative housing has been referred to as a possible alternative to respond to these social challenges by fostering social cohesion, collaboration, and mutual aid. This article explores how collaborative housing can tackle the social deficit of housing. Here, we conceptualise this deficit as ‘the lack of non-physical or intangible social characteristics given among residents of a project, such as trust, social cohesion, and a sense of community, necessary for housing to be considered adequate.’ We examined the relation between these two concepts by developing a theoretical and empirical study. The first consisted of a theoretical framework and a review of literature on collaborative housing’s response to the social deficit of housing. Second, we interviewed stakeholders from two study cases. We found that residents in both collaborative housing cases perceive an improvement in their social interactions, sociability, trust, and sense of community in their current homes compared to previous homes. Therefore, we conclude that collaborative housing presents opportunities to tackle the social deficit of housing.","Collaborative housing; Social deficit of housing; Study cases; Housing policy; Chile; Maestranza; Pequeños Condominios","en","journal article","","","","","","","","","","","Real Estate Management","","",""
"uuid:a97d8a06-8661-4755-8548-350a7736ef6b","http://resolver.tudelft.nl/uuid:a97d8a06-8661-4755-8548-350a7736ef6b","Process competences to incorporate in higher education curricula","Nijhuis, S. A. (University of Twente); Endedijk, M. D. (University of Twente); Kessels, W. F. M. (University of Twente); Vrijhoef, R. (TU Delft Design & Construction Management)","","2024","This study reports on a survey on project managers' priorities. The survey used ISO 21500 as a scaffold to ask various respondents, like junior, experienced, and senior project managers, project sponsors, and students, to share their perceptions on the priorities for junior project managers. The respondent groups shared similar perceptions. Furthermore, project type and sector had little effect on junior project managers' priorities. Experienced and senior project managers shared their own priorities as well. The perceptions of priorities for junior, experienced, and senior project managers were mostly alike. However, experienced and senior project managers' priorities seemed slightly more affected by project type and sector. A session with experts in project management and teaching project management highlighted that the results for junior project managers could provide accents for introducing project management to students in higher education, provided the entire playing field of project management is also introduced.","Competences; Experience; Higher education; Processes; Project types; Respondent types","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:aeed12d4-3bde-4d73-a825-258aa615b5b5","http://resolver.tudelft.nl/uuid:aeed12d4-3bde-4d73-a825-258aa615b5b5","Approaching nearly zero energy of PV direct air conditioners by integrating building design, load flexibility and PCM","Li, Sihui (Changsha University of Science and Technology); Peng, Jinqing (Hunan University); Wang, Meng (Changsha University of Science and Technology); Wang, Kai (Hunan University); Li, Houpei (Hunan University); Lu, C.J. (TU Delft Environmental & Climate Design)","","2024","The energy matching of PV driven air conditioners is influenced by building load demand and PV generation. Merely increasing energy performance of building or PV capacity separately may improve the energy balance on a large time resolution, the real-time energy mismatching problem is still serious. In this study, a coordinated optimization method of PV capacity, building design, and load flexibility is proposed for improving the real-time energy matching of PVAC system. Then, a methodology integrating data mining method (XG Boost) and parametric simulation was developed to identify the determinant parameters of PV system and building design, exploring feature importance and correlations. The results of XG Boost indicate that the PV capacity, shape factor, and SHGC are the most critical factors. Finally, based on the optimized building design, the PCM layer was applied to improve the real time energy matching. To achieve a goal of 90 % ZEP, the PCM capacity can be decreased by 50.4 % and 62.8 % in Guangzhou and Shanghai in the optimized building. Moreover, the PV capacity can be reduced by 23 % in Guangzhou. The findings of this study provide practical guidance for designing PVAC system coupling with building design and energy storage devices.","PV direct driven air conditioner (PVAC); Zero energy buildings; Load flexibility; Building design","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-15","","","Environmental & Climate Design","","",""
"uuid:047fa48d-de15-43ef-b96c-31291d989b42","http://resolver.tudelft.nl/uuid:047fa48d-de15-43ef-b96c-31291d989b42","Unsupervised Learning for Public Transport Delay Pattern Analysis","Cheng, Y. (TU Delft Transport and Planning); Krishnakumari, P.K. (TU Delft Transport and Planning)","","2024","To analyze inherent and diverse patterns within line-based public transport daily delay occurrences, we introduce a data-driven exploratory analysis focused on the spatial-temporal distribution of these delays. Our approach relies on the utilization of the image pattern recognition technique and k-means clustering algorithm. We extract daily punctuality information from the automatic vehicle location data for a singular public transport route. This information is then translated into a visual representation through aggregated daily delay distribution profile images, offering insights into the spatial and temporal distribution of delays. The delay distribution finds expression in the arrangement of pixels within these profile images. The essence of these images is further distilled through image pattern recognition using the neural network architecture of ResNet50. Employing the k-means algorithm, we cluster these images based on their similarity, revealing five distinct daily delay patterns. The analysis of these patterns offers insight into their unique characteristics, yielding noteworthy outcomes. These findings hold the potential to provide public transport operators with an enriched comprehension of the dynamics of delays occurring on a specific line.","analytic data visualization; automatic vehicle location; data and data science; performance measures; public transportation; quality; visualization in transportation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-04","","Transport and Planning","Transport and Planning","","",""
"uuid:4729359e-3ef8-4a88-a8fe-88a820b54dc0","http://resolver.tudelft.nl/uuid:4729359e-3ef8-4a88-a8fe-88a820b54dc0","CasPEDIA Database: a functional classification system for class 2 CRISPR-Cas enzymes","Adler, Benjamin A. (University of California); Trinidad, Marena I. (University of California); Bellieny-Rabelo, Daniel (University of California); Zhang, Elaine (University of California); Karp, Hannah M. (University of California); Skopintsev, Petr (University of California); Thornton, Brittney W. (University of California); Yoon, Peter H. (University of California); Brouns, S.J.J. (TU Delft BN/Stan Brouns Lab; Kavli institute of nanoscience Delft)","","2024","CRISPR-Cas enzymes enable RNA-guided bacterial immunity and are widely used for biotechnological applications including genome editing. In particular, the Class 2 CRISPR-associated enzymes (Cas9, Cas12 and Cas13 families), have been deployed for numerous research, clinical and agricultural applications. However, the immense genetic and biochemical diversity of these proteins in the public domain poses a barrier for researchers seeking to leverage their activities. We present CasPEDIA (http://caspedia.org), the Cas Protein Effector Database of Information and Assessment, a curated encyclopedia that integrates enzymatic classification for hundreds of different Cas enzymes across 27 phylogenetic groups spanning the Cas9, Cas12 and Cas13 families, as well as evolutionarily related IscB and TnpB proteins. All enzymes in CasPEDIA were annotated with a standard workflow based on their primary nuclease activity, target requirements and guide-RNA design constraints. Our functional classification scheme, CasID, is described alongside current phylogenetic classification, allowing users to search related orthologs by enzymatic function and sequence similarity. CasPEDIA is a comprehensive data portal that summarizes and contextualizes enzymatic properties of widely used Cas enzymes, equipping users with valuable resources to foster biotechnological development. CasPEDIA complements phylogenetic Cas nomenclature and enables researchers to leverage the multi-faceted nucleic-acid targeting rules of diverse Class 2 Cas enzymes.","","en","journal article","","","","","","","","","","","BN/Stan Brouns Lab","","",""
"uuid:06f5905d-a350-43fd-af14-1d8422474a26","http://resolver.tudelft.nl/uuid:06f5905d-a350-43fd-af14-1d8422474a26","Housing inequalities: The space-time geography of housing policies","Nelson, R.J. (TU Delft Policy Analysis); Warnier, Martijn (TU Delft Multi Actor Systems); Verma, T. (TU Delft Policy Analysis)","","2024","Changes in policy over the last thirty years, particularly within advanced economies, have allowed for increased financialization, deregulation and globalisation of housing. What differentiates real-estate from other financial markets is that it possesses a salient socio-spatial geography. Housing inequalities are often framed as an outcome of macro-economic structural changes or as a product of local socio-spatial conditions, but the interactions between the two are less understood. To address this gap, we develop a descriptive methodology to connect the analysis of national housing policy trends in the Netherlands with local socio-spatial trajectories of neighbourhood change using nearly 20 years of historical data across a range of socio-spatial dimensions from the City of Rotterdam. Whilst nationally there has been an increasing policy preference for home ownership associated with a narrative of social upliftment, the spatial-temporal analysis reveals that the wealthiest neighbourhoods have benefitted significantly more from capital gains and increased rates of home ownership over time. Through descriptive analysis, the results highlight the role of divergent neighbourhood characteristics and path dependencies, suggesting that housing policies could benefit from the adoption of a more localised approach. Overall, the study sheds light on housing inequalities by integrating macro socio-economic factors with micro-level neighbourhood conditions.","Home ownership; Housing inequalities; Policy; Rotterdam; Spatial-temporal analysis","en","journal article","","","","","","","","","","Multi Actor Systems","Policy Analysis","","",""
"uuid:e18134a3-5359-4e10-b49b-303085dcbad7","http://resolver.tudelft.nl/uuid:e18134a3-5359-4e10-b49b-303085dcbad7","Interference Mitigation for Automotive FMCW Radar Based on Contrastive Learning With Dilated Convolution","Wang, J. (TU Delft Microwave Sensing, Signals & Systems); Li, Runlong (Beijing University of Posts and Telecommunications); Zhang, Xinqi (Beijing University of Posts and Telecommunications); He, Yuan (Beijing University of Posts and Telecommunications)","","2024","As one of the crucial sensors for environment sensing, frequency modulated continuous wave (FMCW) radars are widely used in modern vehicles for driving assistance/autonomous driving. However, the limited frequency bandwidth and the increasing number of equipped radar sensors would inevitably cause mutual interference, degrading target detection and producing safety hazards. In this paper, a deep learning-based interference mitigation (IM) approach is proposed for FMCW radars by using the dilated convolution for network construction and a designated contrast learning strategy for training. The dilated convolution enlarges the receptive field of the neural network, and the designated contrastive learning strategy enforces to distinguish better between interferences and desired signals. The results of numerical simulation and experimental data processing show that the dilated convolution-based IM network, compared to the traditional convolution-based ones, can achieve a higher Signal-to-Interference-plus-Noise-Ratio (SINR) and target detection rate. Moreover, the designated contrastive learning strategy enables a better and more stable IM performance without increasing the complexity of the network, which can facilitate faster signal processing.","Automotive radar; interference mitigation; deep learning; dilated convolution; contrastive learning","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-31","","","Microwave Sensing, Signals & Systems","","",""
"uuid:cecaa425-5484-40fa-8c27-a130fa014fe2","http://resolver.tudelft.nl/uuid:cecaa425-5484-40fa-8c27-a130fa014fe2","Cryogenic H-Bridge Converter for HTS Degaussing Application","Wikkerink, D.P. (TU Delft High Voltage Technology Group); Gagic, Mladen (TU Delft ESP LAB; TU Delft DC systems, Energy conversion & Storage); Mor, A. R. (Universitat Politécnica de Valencia); Polinder, H. (TU Delft Transport Engineering and Logistics); Ross, Robert (TU Delft Ship Hydromechanics and Structures; Institute for Science and Development)","","2024","A degaussing system can be used to reduce the detectability of the magnetic signature of a ship. Commonly, a degaussing system consists of a set of onboard copper coils that produce a magnetic field to compensate for the magnetic signature. High-temperature superconductive degaussing coils are considered an alternative to copper degaussing coils because of a reduction in energy losses, weight, volume, and costs. The losses of a high-temperature superconductor (HTS) degaussing system can be reduced even further by powering it with a cryocooled converter with parallel mosfets. A low-duty cycle and smaller current leads can be used. These solutions eliminate most of the power source losses. This article investigates such a cryocooled converter. The effect of the low switching frequency on the converter performance is tested. A prototype that can operate at cryogenic temperatures was built. The converter powers an HTS coil. It was found that a load current of 50 A can be achieved with a duty cycle of just 0.025 at an input voltage of 3.5 V while still meeting the requirement of a maximum current ripple of 0.5%. At a switching frequency higher than 100 Hz, the converter's performance deteriorates. Also, oscillations were observed in the circuit. This is a problem due to the low blocking voltage of the mosfets. The parasitic inductances in the circuit have a high impact on the performance because the resistance in the circuit is very low.","Converter; cryocooled electronics; cryostat; degaussing; high temperature superconductors; magnetic signature; parallel MOSFETs; ReBCO","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-30","","","High Voltage Technology Group","","",""
"uuid:29d02263-0699-4716-a460-ad53a87a1ab5","http://resolver.tudelft.nl/uuid:29d02263-0699-4716-a460-ad53a87a1ab5","Reliability updating for lateral failure of historic quay walls","Hemel, M. (TU Delft Hydraulic Engineering; TU Delft Amsterdam Institute for Advanced Metropolitan Solutions); Peters, D.J. (TU Delft Hydraulic Structures and Flood Risk; Royal HaskoningDHV); Schweckendiek, T. (TU Delft Hydraulic Structures and Flood Risk; Deltares); Jonkman, Sebastiaan N. (TU Delft Hydraulic Structures and Flood Risk)","","2024","The historic canal walls of Amsterdam, stretching 200 km in total, are constructed as a masonry wall on a timber deck supported by vertical timber piles. Understanding the resistance against lateral failure of these quays has been challenging due to uncertainties in their working principles, geometry, soil and structural properties. This paper proposes a Bayesian approach to include evidence from past loading situations and corresponding deformations into the reliability assessment. This approach enables refinement of the reliability predictions and parameter distribution uncertainties, leading to a more accurate prediction of the resistance against the lateral failure of historic quay wall. Depending on the type of evidence, an a-priori reliability prediction for a quay wall that fails to meet safety standards can be updated to any of the three consequence classes outlined in NEN8700. In a case study, a quay wall with an a-priori reliability of β = 1.5 has been increased to β = 3.2 by including evidence of an extreme survived load of 10 kN/m2 that resulted in displacements of less than 4 mm. This is a decrease in failure probability by two orders of magnitude, showing the potential impact of using observational information in combination with Bayesian updating.","bacterial deterioration; Bayesian approach; historic quay walls; lateral loaded timber piles; Reliability updating","en","journal article","","","","","","","","","","Hydraulic Engineering","Hydraulic Structures and Flood Risk","","",""
"uuid:a379dd7e-0cf9-4f42-98ed-abbed8cd8a67","http://resolver.tudelft.nl/uuid:a379dd7e-0cf9-4f42-98ed-abbed8cd8a67","Enhanced isobutanol recovery from fermentation broth for sustainable biofuels production","Jankovic, T.J. (TU Delft BT/Bioprocess Engineering); Straathof, Adrie J.J. (TU Delft BT/Bioprocess Engineering); Kiss, A.A. (TU Delft ChemE/Product and Process Engineering)","","2024","Isobutanol is a highly attractive renewable alternative to conventional fossil fuels, with superior fuel properties as compared to ethanol and 1-butanol. Even though the isobutanol production by fermentation has significant potential, complex downstream processing is limiting the wide-spreading of this technology. Accordingly, this original research significantly contributes to the advancement in industrial biofuel production by developing two eco-efficient downstream processes for the industrial-scale recovery of isobutanol (production capacity 50 ktonneIBUT/y), from a highly dilute fermentation broth (>98 wt% water). Vacuum distillation and a novel hybrid combination of gas stripping and vacuum evaporation were coupled with atmospheric azeotropic distillation to recover over 99.9 % of isobutanol as a high-purity product (100 wt%). Advanced heat pumping and heat integration techniques were further implemented to allow the complete electrification of these recovery processes. Furthermore, implementation of these techniques significantly decreased total annual costs (0.131–0.161 $/kgIBUT), reduced energy requirements (0.488–0.807 kWeh/kgIBUT) and lowered CO2 emissions (0.303–0.449 kgCO2/kgIBUT), resulting in highly competitive purification processes. In addition to efficiently recovering isobutanol, the designed downstream processes provide the potential to enhance the fermentation process by recycling all present microorganisms and reducing water demand. Therefore, the results of this original research substantially contribute to the advancement in industrial biotechnology and the wide-spreading of biofuel production.","Biofuels; Dividing-wall column; Downstream processing; Gas stripping with vacuum evaporation; Industrial biotechnology; Isobutanol","en","journal article","","","","","","","","","","","BT/Bioprocess Engineering","","",""
"uuid:c58a673f-5b77-4a7a-a516-328415bceb7f","http://resolver.tudelft.nl/uuid:c58a673f-5b77-4a7a-a516-328415bceb7f","Impact of the COVID-19 pandemic on the in-hospital diagnostic pathway of breast and colorectal cancer in the Netherlands: A population-based study","Wolfkamp, Wouter (University of Twente; Netherlands Comprehensive Cancer Organisation (IKNL)); Meijer, Joyce (University of Twente; Netherlands Comprehensive Cancer Organisation (IKNL)); van Hoeve, Jolanda C. (University of Twente; Netherlands Comprehensive Cancer Organisation (IKNL)); van Erning, Felice (Netherlands Comprehensive Cancer Organisation (IKNL); Catharina Cancer Institute, Eindhoven); de Geus-Oei, L.F. (TU Delft RST/Radiation, Science and Technology; Leiden University Medical Center; University of Twente); de Hingh, Ignace (Universiteit Maastricht; Netherlands Comprehensive Cancer Organisation (IKNL); Catharina Cancer Institute, Eindhoven); Veltman, Jeroen (University of Twente; ZGT, Almelo); Siesling, Sabine (University of Twente; Netherlands Comprehensive Cancer Organisation (IKNL))","","2024","Background: In the Netherlands, the COVID-19 pandemic resulted in a temporary halt of population screening for cancer and limited hospital capacity for non-COVID care. We aimed to investigate the impact of the pandemic on the in-hospital diagnostic pathway of breast cancer (BC) and colorectal cancer (CRC). Methods: 71,159 BC and 48,900 CRC patients were selected from the Netherlands Cancer Registry. Patients, diagnosed between January 2020 and July 2021, were divided into six periods and compared to the average of patients diagnosed in the same periods in 2017–2019. Diagnostic procedures performed were analysed using logistic regression. Lead time of the diagnostic pathway was analysed using Cox regression. Analyses were stratified for cancer type and corrected for age, sex (only CRC), stage and region. Results: For BC, less mammograms were performed during the first recovery period in 2020. More PET-CTs were performed during the first peak, first recovery and third peak period. For CRC, less ultrasounds and more CT scans and MRIs were performed during the first peak. Lead time decreased the most during the first peak by 2 days (BC) and 8 days (CRC). Significantly fewer patients, mainly in lower stages, were diagnosed with BC (−47%) and CRC (−36%) during the first peak. Conclusion: Significant impact of the COVID-19 pandemic was found on the diagnostic pathway, mainly during the first peak. In 2021, care returned to the same standards as before the pandemic. Long-term effects on patient outcomes are not known yet and will be the subject of future research.","breast cancer; colorectal cancer; COVID-19; diagnosis; diagnostic pathway; diagnostic procedures; lead time; population based","en","journal article","","","","","","","","","","RST/Radiation, Science and Technology","","","",""
"uuid:79f41801-69d9-4359-9b1e-4ad53efe9948","http://resolver.tudelft.nl/uuid:79f41801-69d9-4359-9b1e-4ad53efe9948","Author Correction: Origin of fast charging in hard carbon anodes (Nature Energy, (2024), 10.1038/s41560-023-01414-5)","Vasileiadis, A. (TU Delft RST/Storage of Electrochemical Energy); Zhou, Q. (TU Delft Design Conceptualization and Communication; Chinese Academy of Sciences); Lu, Yaxiang (Chinese Academy of Sciences); Li, Y. (TU Delft Aerospace Engineering/International Space University; Chinese Academy of Sciences; University of Chinese Academy of Sciences); Ombrini, P. (TU Delft RST/Storage of Electrochemical Energy); Chen, Z. (TU Delft Electronic Instrumentation; Chinese Academy of Sciences; University of Chinese Academy of Sciences); van der Jagt, R. (TU Delft RST/Storage of Electrochemical Energy); Ganapathy, S. (TU Delft RID/TS/Instrumenten groep); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy)","","2024","Correction to: Nature Energy, published online 3 January 2024. In the version of this article initially published, lithium (green, “Li”) and sodium (purple, “Na”) color key labels in Fig. 3a,d,e were interchanged and are now amended in the HTML and PDF versions of the article.","","en","journal article","","","","","","Corrigendum voor DOI 10.1038/s41560-023-01414-5","","2024-07-03","","Aerospace Engineering/International Space University","RST/Storage of Electrochemical Energy","","",""
"uuid:7d7185c8-44e4-4e25-951d-a7d30a3dc642","http://resolver.tudelft.nl/uuid:7d7185c8-44e4-4e25-951d-a7d30a3dc642","An automated slide scanning system for membrane filter imaging in diagnosis of urogenital schistosomiasis","Oyibo, P.O. (TU Delft Team Michel Verhaegen); Agbana, T.E. (TU Delft Team Michel Verhaegen); van Lieshout, Lisette (Leiden University Medical Center); Oyibo, Wellington (University of Lagos); Diehl, J.C. (TU Delft Design for Sustainability); Vdovin, Gleb (TU Delft Team Michel Verhaegen)","","2024","Traditionally, automated slide scanning involves capturing a rectangular grid of field-of-view (FoV) images which can be stitched together to create whole slide images, while the autofocusing algorithm captures a focal stack of images
to determine the best in-focus image. However, these methods can be timeconsuming due to the need for X-, Y- and Z-axis movements of the digital microscope while capturing multiple FoV images. In this paper, we propose a solution to minimise these redundancies by presenting an optimal procedure for automated slide scanning of circular membrane filters on a glass slide. We achieve this by following an optimal path in the sample plane, ensuring that only FoVs overlapping the filter membrane are captured. To capture the best infocus FoV image, we utilise a hill-climbing approach that tracks the peak of the mean of Gaussian gradient of the captured FoVs images along the Z-axis. We implemented this procedure to optimise the efficiency of the Schistoscope, an automated digital microscope developed to diagnose urogenital schistosomiasis by imaging Schistosoma haematobium eggs on 13 or 25 mm membrane filters. Our improved method reduces the automated slide scanning time by 63.18%and 72.52% for the respective filter sizes. This advancement greatly supportsthe practicality of the Schistoscope in large-scale schistosomiasis monitoringand evaluation programs in endemic regions. This will save time, resources andalso accelerate generation of data that is critical in achieving the targets for schistosomiasis elimination.","autofocusing; automated slide scanning; digital microscope; schistosomiasis; whole slide imaging This","en","journal article","","","","","","","","","","","Team Michel Verhaegen","","",""
"uuid:1a7de9df-ca56-4691-bdef-74a55c2f3887","http://resolver.tudelft.nl/uuid:1a7de9df-ca56-4691-bdef-74a55c2f3887","Activating business models for condominium renovations: Identification of viable business models for Integrated Home Renovation Services for condominiums in the Netherlands and Flanders D2.2","Elgendy, R. (TU Delft Real Estate Management); Mlecnik, E. (TU Delft Real Estate Management)","","2024","The need for energy efficient buildings has become increasingly important in climate change mitigation. Almost 75% of the building stock in Europe is energy inefficient. Residential buildings are responsible for 27% of the total energy consumption in Europe. Integrated home renovation services (IHRS) have emerged as a potential solution to accelerate highly energy-efficient renovations for homeowners’ associations (HOAs). The question arises: How can the business models of current IHRS accelerate highly energy-efficient renovations by HOAs? This report explores the current state of IHRS in Europe with a focus on the viewpoint of the Netherlands and Flanders. The methods used are desk research, questionnaires and interviews. Five business models (BMs) of CondoReno project partners were analyzed, to support their development strategies within the regional context. This report compares IHRS BMs and brings knowledge about the operation of IHRS. The results show that the BMs of the project partners share some similarities and differ in other aspects. Regarding the similarities, all five providers share the value of offering customized energy renovation packages, also in terms of customer segments, by addressing the specific needs of HOAs. They slightly differ regarding the channels used, customer relationships, key resources, key activities and key partners. They differ in revenue/value streams and cost structure, owing to context-specific aspects of the type of organization. As such, this study informs stakeholders about the development of effective and targeted IHRS initiatives in the EU.","business models; integrated home renovation services; renovation; homeowner associations; condominium; energy efficiency","en","report","CondoReno","","","","","","","","","","Real Estate Management","","",""
"uuid:4d8724f7-f7b6-4a8d-86b5-b52cd5097797","http://resolver.tudelft.nl/uuid:4d8724f7-f7b6-4a8d-86b5-b52cd5097797","Photo-oxidation of Micro-and Nanoplastics: Physical, Chemical, and Biological Effects in Environments","Xu, Yanghui (TU Delft Sanitary Engineering; Chinese Academy of Sciences); Ou, Q. (TU Delft Sanitary Engineering; Chinese Academy of Sciences); van der Hoek, J.P. (TU Delft Sanitary Engineering; Waternet); Liu, G. (TU Delft Sanitary Engineering; Chinese Academy of Sciences); Lompe, K.M. (TU Delft Sanitary Engineering)","","2024","Micro- and nanoplastics (MNPs) are attracting increasing attention due to their persistence and potential ecological risks. This review critically summarizes the effects of photo-oxidation on the physical, chemical, and biological behaviors of MNPs in aquatic and terrestrial environments. The core of this paper explores how photo-oxidation-induced surface property changes in MNPs affect their adsorption toward contaminants, the stability and mobility of MNPs in water and porous media, as well as the transport of pollutants such as organic pollutants (OPs) and heavy metals (HMs). It then reviews the photochemical processes of MNPs with coexisting constituents, highlighting critical factors affecting the photo-oxidation of MNPs, and the contribution of MNPs to the phototransformation of other contaminants. The distinct biological effects and mechanism of aged MNPs are pointed out, in terms of the toxicity to aquatic organisms, biofilm formation, planktonic microbial growth, and soil and sediment microbial community and function. Furthermore, the research gaps and perspectives are put forward, regarding the underlying interaction mechanisms of MNPs with coexisting natural constituents and pollutants under photo-oxidation conditions, the combined effects of photo-oxidation and natural constituents on the fate of MNPs, and the microbiological effect of photoaged MNPs, especially the biotransformation of pollutants.","Microplastics; Photo-oxidation; Physical Effects; Photochemical Processes","en","review","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:d282e08e-6348-434b-b9c8-f3436b727341","http://resolver.tudelft.nl/uuid:d282e08e-6348-434b-b9c8-f3436b727341","Reviews and responses for Compilation of an open-source traffic and CO2 emissions dataset for commercial aviation","Salgas, A. (Université de Toulouse); Sun, Junzi (TU Delft Control & Simulation); Delbecq, Scott (Université de Toulouse); Planès, Thomas (Université de Toulouse); Lafforgue, Gilles (Université de Toulouse)","Catarino Soares Franco, A.M. (editor); Li, Max (editor); Strohmeier, Martin (editor)","2024","","Open-data; Emissions; Air Traffic","en","contribution to periodical","","","","","","","","","","","Control & Simulation","","",""
"uuid:5501c983-6dd4-46a7-81aa-be9839655272","http://resolver.tudelft.nl/uuid:5501c983-6dd4-46a7-81aa-be9839655272","High-Confidence Data-Driven Ambiguity Sets for Time-Varying Linear Systems","Boskos, D. (TU Delft Team Dimitris Boskos); Cortes, Jorge (University of California); Martinez, Sonia (University of California)","","2024","This paper builds Wasserstein ambiguity sets for the unknown probability distribution of dynamic random variables leveraging noisy partial-state observations. The constructed ambiguity sets contain the true distribution of the data with quantifiable probability and can be exploited to formulate robust stochastic optimization problems with out-of-sample guarantees. We assume the random variable evolves in discrete time under uncertain initial conditions and dynamics, and that noisy partial measurements are available. All random elements have unknown probability distributions and we make inferences about the distribution of the state vector using several output samples from multiple realizations of the process. To this end, we leverage an observer to estimate the state of each independent realization and exploit the outcome to construct the ambiguity sets. We illustrate our results in an economic dispatch problem involving distributed energy resources over which the scheduler has no direct control.","Aerodynamics; Distributional uncertainty; estimation; linear system observers; Noise measurement; Optimization; Power system dynamics; Probability distribution; Random variables; stochastic systems; Uncertainty","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-08","","","Team Dimitris Boskos","","",""
"uuid:3e973f93-e4c6-4067-8e43-ca7931028de1","http://resolver.tudelft.nl/uuid:3e973f93-e4c6-4067-8e43-ca7931028de1","Distributed Adaptive Synchronization in Euler Lagrange Networks with Uncertain Interconnections","Tao, T. (TU Delft Team Bart De Schutter); Roy, Spandan (International Institute of Information Technology); De Schutter, B.H.K. (TU Delft Delft Center for Systems and Control); Baldi, S. (TU Delft Team Bart De Schutter; Southeast University)","","2024","In this work we propose a new practical synchronization protocol for multiple Euler Lagrange (EL) systems without structural linear-in-the-parameters (LIP) knowledge of the uncertainty and where the agents can be interconnected before control design by unknown state-dependent interconnection terms. This setting is meant to overcome two standard a priori assumptions in the literature concerning uncertainty with LIP structure and absence of interaction among agents before designing the synchronization protocol. To overcome these assumptions, we propose an adaptive distributed control mechanism having the purpose of estimating the coefficients of the resulting state-dependent uncertainty structure.","Adaptive synchronization; Adaptive systems; bounded interconnections; Control design; Euler Lagrange dynamics; Friction; heterogeneous networks; Lips; Standards; Synchronization; Uncertainty","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-10","","Delft Center for Systems and Control","Team Bart De Schutter","","",""
"uuid:268a3b5b-fc9b-418f-948d-16530e661d33","http://resolver.tudelft.nl/uuid:268a3b5b-fc9b-418f-948d-16530e661d33","A Pitch-Matched High-Frame-Rate Ultrasound Imaging ASIC for Catheter-Based 3-D Probes","Hopf, Y.M. (TU Delft Electronic Instrumentation); Simoes dos Santos, D. (TU Delft ImPhys/Medical Imaging; TU Delft ImPhys/Verweij group); Ossenkoppele, Boudewine W. (Student TU Delft); Noothout, E.C. (TU Delft ImPhys/Verweij group); Chang, Z.Y. (TU Delft Electronic Instrumentation); Chen, Chao (Student TU Delft); Vos, H.J. (TU Delft ImPhys/Verweij group; Erasmus MC); Verweij, M.D. (TU Delft ImPhys/Medical Imaging; TU Delft ImPhys/Verweij group; Erasmus MC); de Jong, N. (TU Delft ImPhys/De Jong group; Erasmus MC); Pertijs, M.A.P. (TU Delft Electronic Instrumentation)","","2024","This article presents an application-specific integrated circuit (ASIC) for catheter-based 3-D ultrasound imaging probes. The pitch-matched design implements a comprehensive architecture with high-voltage (HV) transmitters, analog front ends, hybrid beamforming analog-To-digital converters (ADCs), and data transmission to the imaging system. To reduce the number of cables in the catheter while maintaining a small footprint per element, transmission (TX) beamforming is realized on the chip with a combination of a shift register (SR) and a row/column (R/C) approach. To explore an additional cable-count reduction in the receiver part of the design, a channel with a combination of time-division multiplexing (TDM), subarray beamforming, and multi-level pulse amplitude modulation (PAM) data transmission is also included. This achieves an 18-fold cable-count reduction and minimizes the power consumption in the catheter by a load modulation (LM) cable driver. It is further explored how common-mode interference can limit beamforming gain and a strategy to reduce its impact with local regulators is discussed. The chip was fabricated in TSMC 0.18-m HV BCD technology and a 2-D PZT transducer matrix of 16 × 18 elements with a pitch of 160 m and a center frequency of 6 MHz was manufactured on the chip. The system can generate all required TX patterns at up to 30 V, provides quick settling after the TX phase, and has an reception (RX) power consumption of only 1.12 mW/element. The functionality and operation of up to 1000 volumes/s have been demonstrated in electrical and acoustic imaging experiments.","3-D ultrasound; analog front end (AFE); common-mode interference suppression; high frame rate; intracardiac echocardiography (ICE); load-modulation datalink; transmit beamformer; transmit/receive (T/R) switching; ultrasound application-specific integrated circuit (ASIC)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-30","","","Electronic Instrumentation","","",""
"uuid:accfe314-6066-4eb5-ad14-04fad3e0f168","http://resolver.tudelft.nl/uuid:accfe314-6066-4eb5-ad14-04fad3e0f168","The Slow and The Furious? Performance Antipattern Detection in Cyber-Physical Systems","van Dinten, I. (TU Delft Software Engineering); Derakhshanfar, Pouria (JetBrains Research); Panichella, A. (TU Delft Software Engineering); Zaidman, A.E. (TU Delft Software Technology)","","2024","Cyber-Physical Systems (CPSs) have gained traction in recent years. A major non-functional quality of CPS is performance since it affects both usability and security. This critical quality attribute depends on the specialized hardware, simulation engines, and environmental factors that characterize the system under analysis. While a large body of research exists on performance issues in general, studies focusing on performance-related issues for CPSs are scarce. The goal of this paper is to build a taxonomy of performance issues in CPSs. To this aim, we present two empirical studies aimed at categorizing common performance issues (Study I) and helping developers detect them (Study II). In the first study, we examined commit messages and code changes in the history of 14 GitHub-hosted open-source CPS projects to identify commits that report and fix self-admitted performance issues. We manually analyzed 2699 commits, labeled them, and grouped the reported performance issues into antipatterns. We detected instances of three previously reported Software Performance Antipatterns (SPAs) for CPSs. Importantly, we also identified new SPAs for CPSs not described earlier in the literature. Furthermore, most performance issues identified in this study fall into two new antipattern categories: Hard Coded Fine Tuning (399 of 646) and Magical Waiting Number (150 of 646). In the second study, we introduce static analysis techniques for automatically detecting these two new antipatterns; we implemented them in a tool called AP-Spotter. We analyzed 9 open-source CPS projects not utilized to build the SPAs taxonomy to benchmark AP-Spotter. Our results show that AP-Spotter achieves 62.04% precision in detecting the antipatterns","Software performance antipatterns; Cyber-Physical Systems; Antipattern Detection; Software Maintenance; Empirical Software Engineering; Static Analysis","en","journal article","","","","","","","","","","Software Technology","Software Engineering","","",""
"uuid:57935769-3408-47fa-9347-19e1393b9cbb","http://resolver.tudelft.nl/uuid:57935769-3408-47fa-9347-19e1393b9cbb","The SATA-Drive: A Modular Robotic Drive for Reusable Steerable Laparoscopic Instruments","Lenssen, T.A. (TU Delft Medical Instruments & Bio-Inspired Technology); Dankelman, J. (TU Delft Medical Instruments & Bio-Inspired Technology); Horeman, T. (TU Delft Medical Instruments & Bio-Inspired Technology)","","2024","Introduction: Most robotic instruments and their drives still risk residual contamination due to cleaning complexities, rendering them limited reusable, and tend to have larger instruments than the 5mm laparoscopic standard. The novel steerable laparoscopic SATA-LRS uses modularity for cleanability and exchangeability. The SATA-Drive: a robotic driver designed for the actuation of a 3mm scaled version of the SATA-LRS is presented. Methods: A modular, expandable gear mechanism was designed to efficiently rotate and translate the instrument shafts. The 3mm SATA-LRS is controlled as proof. An user-experiment is conducted to test the (de)coupling of the instrument to and from the drive. Results: A video shows the SATA-Driver successfully articulating, rotating and grasping the end-effector. End-effector dis- and reassembly is possible in 36 (13 SD) seconds, while complete instrument coupling requires 28(8 SD) seconds and de-coupling requires 16 (7 SD) seconds. Discussion: A non-surgical robot arm, mounted with the SATA-drive has effectively been transformed into a system similar to robot assisted laparoscopy. The modularity of the drive's segmented build can easily be adapted and could benefit the adoption of future instruments. The SATA-LRS's cleanability features and its end-effector changes without disassembly are expected to benefit medical robotics. The 3mm SATA-LRS shows the instrument's potential for mini-laparoscopy.","Driver; End effectors; Gears; Grasping; Instrument; Instruments; Laparoscopes; Laparoscopy; RAS; SATA; Shafts; Surgery","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:3ac4d7bc-3fcf-4d1c-89ac-731b7ab40b76","http://resolver.tudelft.nl/uuid:3ac4d7bc-3fcf-4d1c-89ac-731b7ab40b76","Collective action lessons for the energy transition: learning from social movements of the past","Pearce, B.J. (TU Delft Policy Analysis); Djinlev, Vanja (ETH Zürich)","","2024","To accelerate the energy transition and achieve the needed large-scale transformation to address climate change, different barriers including lock-ins and path dependencies, incumbent power structures and changing individual and collective norms, values, and behaviors around energy need to be addressed. In the face of these challenges, citizens are beginning to play a bigger role in the transformation of the energy system. For example, they are becoming prosumers (energy consumers who also produce energy) and are increasingly engaging in collective energy actions, including taking part in energy communities. In the latter instance, collective investment and consumption decisions are made together, and norms, understanding and behavior towards energy are shaped collectively. To better understand the roles that individuals and groups can play in confronting the challenges of the energy transition, we make use of and adapt Ostrom’s socio-ecological systems (SES) framework to analyze past examples of collective actions and to delve deeper into the causes and catalysts of collective actions. We show how this framework can be used to analyze collective actions across time and contexts, focusing on connecting individual and group behavior with changing societal norms and the corresponding barriers to change. By applying the adapted SES framework as a lens to analyze historical examples of collective actions that have resulted in a widespread transformation in social norms and structures, we identify similarities and differences between these case studies and the current energy transition. Confronting incumbents and the challenge of changing social norms and behaviors are among the similarities, but the specific tactics used to limit incumbents’ powers and the actions taken to influence the norms and behaviors differ. Lastly, we determine the key actors that influenced social and behavioral change, as one of the main outcomes of the analysis.","","en","journal article","","","","","","","","","","","Policy Analysis","","",""
"uuid:c9638625-6c82-4180-9c4f-929d311ebf11","http://resolver.tudelft.nl/uuid:c9638625-6c82-4180-9c4f-929d311ebf11","Examining the Interplay Between National Strategies and Value Change in the Battle Against COVID-19: An Agent-Based Modelling Inquiry","Ale Ebrahim Dehkordi, Molood (TU Delft Energie and Industrie); Melnyk, A. (TU Delft Ethics & Philosophy of Technology); Ghorbani, Amineh (TU Delft System Engineering); Herder, P.M. (TU Delft Energy Technology)","","2024","Social disruptions caused by the COVID-19 pandemic challenged existing institutional arrangements that govern the society. During that time, nation-states had to prevent the collapse of society and rapidly establish new institutions and adapt existing ones to address public health, job security, and freedom-of-movement concerns. At the same time, institutional developments are explicitly or implicitly related to the cultural and moral values relevant to societal well-being. Values hold a significant role in governing society during crises, guiding states' institutional response to unforeseen challenges. However, values themselves are not static: research has shown that values may change rapidly during crises. This paper studies the relationship between value change and institutional change in times of crisis using agent-based modelling and machine learning techniques. In our model, we represent countries as agents who define institutional strategies to control disease spread and subsequently protect the well-being of their citizens. Institutional change and value change are modelled as two independent processes. Yet, the model confirms the seemingly trivial inverse correlation between them: when the value of openness-to-change increases in a society, the institutional strategies also become less strict. Conversely, when conservatism increases, the strategies become stricter on average. However, there is no direct causal relationship between the two changes: being open to change does not necessarily make a government select more relaxed rules, but this correlation is rather an emergent consequence of being more flexible in changing rules, whether the new ones are stricter or more relaxed.","Institutional modelling; values; value change; Institutional evolution; crisis","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:ce41e552-b804-4420-a59d-6fc661984561","http://resolver.tudelft.nl/uuid:ce41e552-b804-4420-a59d-6fc661984561","Long-term stability, noise, and temperature sensitivity of modular porous-pot electrodes designed for geophysical and geotechnical applications, and details of their construction","Comeau, M.J. (TU Delft Applied Geophysics and Petrophysics); Ueding, Stefan (University of Münster); Becken, Michael (University of Münster)","","2024","Electrodes are used to measure a potential difference between two points. In geophysical and geotechnical applications they are often in the form of non-polarizable porous-pot electrodes. Here we describe the design, construction, and testing of modular and refillable electrodes, which facilitates repair as the electrodes degrade over time. We use a chemical composition based on a metal in contact with an over-saturated electrolyte that consists of a salt of that metal and an auxiliary salt. We compare characteristics when the electrolyte is stabilized in a clay or not, and with various states of ceramic porous plugs and two types of wood plugs. Next, we assess the long-term stability (more than 1 month), noise (periods of 1 s to 1 hr), and temperature sensitivity of different types of electrodes. Electrodes with an electrolyte and clay formula showed lower noise (0.2–0.4 μV at periods of 1–120 s), greater long-term stability (0.05–0.5 mV/month of smooth drift), and greater consistency between samples measured than those with no clay (noise and drift values up to four times larger). The effects from different porous plugs were negligible, with similar results for ceramic and wood types. The temperature sensitivity of the electric potential was assessed, from −3 to 35°C. All electrodes showed a temperature sensitivity of about −30 μV/°C. This is considered very low compared to some commercially available electrodes. Finally, continuous long-term laboratory and field measurements of the potential highlight the application of the new electrodes.","","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:6c62e06a-8a1b-4000-923b-c01948515e28","http://resolver.tudelft.nl/uuid:6c62e06a-8a1b-4000-923b-c01948515e28","Identifying the most important facilitators of open research data sharing and reuse in Epidemiology: A mixed-methods study","Zuiderwijk-van Eijk, A.M.G. (TU Delft Information and Communication Technology); Türk, Berkay Onur (Eindhoven University of Technology)","","2024","To understand how open research data sharing and reuse can be further improved in the field of Epidemiology, this study explores the facilitating role that infrastructural and institutional arrangements play in this research discipline. It addresses two research questions: 1) What influence do infrastructural and institutional arrangements have on open research data sharing and reuse practices in the field of Epidemiology? And 2) how could infrastructural and institutional instruments used in Epidemiology potentially be useful to other research disciplines? First, based on a systematic literature review, a conceptual framework of infrastructural and institutional instruments for open research data facilitation is developed. Second, the conceptual framework is applied in interviews with Epidemiology researchers. The interviews show that two infrastructural and institutional instruments have a very high influence on open research data sharing and reuse practices in the field of Epidemiology, namely (a) access to a powerful search engine that meets open data search needs and (b) support by data stewards and data managers. Third, infrastructural and institutional instruments with a medium, high, or very high influence were discussed in a research workshop involving data stewards and research data officers from different research fields. This workshop suggests that none of the influential instruments identified in the interviews are specific to Epidemiology. Some of our findings thus seem to apply to multiple other disciplines. This study contributes to Science by identifying field-specific facilitators and challenges for open research data in Epidemiology, while at the same time revealing that none of the identified influential infrastructural and institutional instruments were specific to this field. Practically, this implies that open data infrastructure developers, policymakers, and research funding organizations may apply certain infrastructural and institutional arrangements to multiple research disciplines to facilitate and enhance open research data sharing and reuse.","","en","journal article","","","","","","","","","","","Information and Communication Technology","","",""
"uuid:a3c3ff9c-45bb-428e-8511-07b84c9f8523","http://resolver.tudelft.nl/uuid:a3c3ff9c-45bb-428e-8511-07b84c9f8523","How should an AI trust its human teammates? Exploring possible cues of artificial trust","Centeio Jorge, C. (TU Delft Interactive Intelligence); Jonker, C.M. (TU Delft Interactive Intelligence); Tielman, M.L. (TU Delft Interactive Intelligence)","","2024","In teams composed of humans, we use trust in others to make decisions, such as what to do next, who to help and who to ask for help. When a team member is artificial, they should also be able to assess whether a human teammate is trustworthy for a certain task. We see trustworthiness as the combination of (1) whether someone will do a task and (2) whether they can do it. With building beliefs in trustworthiness as an ultimate goal, we explore which internal factors (krypta) of the human may play a role (e.g., ability, benevolence, and integrity) in determining trustworthiness, according to existing literature. Furthermore, we investigate which observable metrics (manifesta) an agent may take into account as cues for the human teammate’s krypta in an online 2D grid-world experiment (n = 54). Results suggest that cues of ability, benevolence and integrity influence trustworthiness. However, we observed that trustworthiness is mainly influenced by human’s playing strategy and cost-benefit analysis, which deserves further investigation. This is a first step towards building informed beliefs of human trustworthiness in human-AI teamwork.","","en","journal article","","","","","","","","","","","Interactive Intelligence","","",""
"uuid:d35b1817-8ebf-472a-845b-38723c668ed9","http://resolver.tudelft.nl/uuid:d35b1817-8ebf-472a-845b-38723c668ed9","Hoe klaar ben je als gemeente voor zero-emissie stadslogistiek?: Naar een stadslogistiek maturity model voor gemeenten","van Duin, Ron (TU Delft Transport and Logistics; Rotterdam University of Applied Sciences); Anand, N.R. (Rotterdam University of Applied Sciences); Motloung, T. (Breda University of Applied Sciences); Quak, H.J. (Breda University of Applied Sciences; TNO)","","2024","Het aantal activiteiten in de stadslogistiek groeit snel, waardoor de uitstoot toeneemt en de bereikbaarheid en veiligheid in steden afnemen. Volgend op de Green Deal Zero-emissie stadslogistiek (ZES) is daarom in het Klimaatakkoord afgesproken om tot zero emissie stadslogistiek te komen. Concreet betekent dit, dat 30 tot 40 van de grootste gemeenten in Nederland in 2025 een zero emissie zone voor stadslogistiek moeten invoeren. Veel gemeenten zijn druk met de voorbereidingen van de zones, maar in de praktijk ontbreekt het hen aan de mogelijkheid om hun voortgang te kunnen zien en deze te ijken met andere gemeenten. Om hier inzicht in te verkrijgen is het stadslogistiek maturity model ontwikkeld. We hebben dit model in 2022 getest voor drie gemeenten, namelijk de gemeente Deventer, Zwolle en Nijmegen","Maturity model; zero emission; municipalities; Policies","nl","journal article","","","","","","","","","","","Transport and Logistics","","",""
"uuid:c5b333c8-3824-4b11-95ba-13fa75f0d344","http://resolver.tudelft.nl/uuid:c5b333c8-3824-4b11-95ba-13fa75f0d344","Asgard/NOTT: L-band nulling interferometry at the VLTI. II. Warm optical design and injection system","Garreau, Germain (Katholieke Universiteit Leuven); Bigioli, Azzurra (Katholieke Universiteit Leuven); Laugier, Romain (Katholieke Universiteit Leuven); Raskin, Gert (Katholieke Universiteit Leuven); Morren, Johan (Katholieke Universiteit Leuven); Berger, Jean Philippe (Université Grenoble Alpes); Dandumont, Colin (Université de Liège); Goldsmith, Harry-Dean Kenchington (Australian National University); Loicq, J.J.D. (TU Delft Spaceborne Instrumentation)","","2024","Asgard/NOTT (previously Hi-5) is a European Research Council (ERC)-funded project hosted at KU Leuven and a new visitor instrument for the Very Large Telescope Interferometer (VLTI). Its primary goal is to image the snow line region around young stars using nulling interferometry in the L′-band (3.5 to 4.0) μm, where the contrast between exoplanets and their host stars is advantageous. The breakthrough is the use of a photonic beam combiner, which only recently allowed the required theoretical raw contrast of 10−3 in this spectral range. Nulling interferometry observations of exoplanets also require a high degree of balancing between the four pupils of the VLTI in terms of intensity, phase, and polarization. The injection into the beam combiner and the requirements of nulling interferometry are driving the design of the warm optics and the injection system. The optical design up to the beam combiner is presented. It offers a technical solution to efficiently couple the light from the VLTI into the beam combiner. During the coupling, the objective is to limit throughput losses to 5% of the best expected efficiency for the injection. To achieve this, a list of different loss sources is considered with their respective impact on the injection efficiency. Solutions are also proposed to meet the requirements of beam balancing for intensity, phase, and polarization. The different properties of the design are listed, including the optics used, their alignment and tolerances, and their impact on the instrumental performances in terms of throughput and null depth. The performance evaluation gives an expected throughput loss <6.4% of the best efficiency for the injection and a null depth of ∼2.10−3, mainly from optical path delay errors outside the scope of this work","","en","journal article","","","","","","","","","","","Spaceborne Instrumentation","","",""
"uuid:a081f421-da03-4c20-b567-6e271f9d0dd6","http://resolver.tudelft.nl/uuid:a081f421-da03-4c20-b567-6e271f9d0dd6","Perspectives on Assessing the Flexibility of Hospitals for Crisis Mode Operations: Lessons From the COVID-19 Pandemic in the Netherlands","van Heel, M.E. (TU Delft Design & Construction Management; Erasmus MC); Pretelt, Manuela (Royal HaskoningDHV); van Gelder, M.H. (TU Delft History, Form & Aesthetics; Wiegerinck, Arnhem); van Oel, C.J. (TU Delft Design & Construction Management)","","2024","Background: The COVID-19 pandemic placed healthcare design at the heart of the crisis. Hospitals faced challenges such as rapidly increasing their intensive care unit capacity, enabling physical distancing measures, quickly converting to telehealth and telework practices, and above all, keeping patients and staff safe. Improving flexibility in hospital facility design and adaptability of hospital operations to function in “crisis mode” can be seen as ways of future-proofing for pandemics. In a design brief, flexibility is typically mentioned as an important target. Meanwhile, robustness of technical infrastructure is called for, and standardization at unit level with single-occupancy inpatient accommodation may be considered a way to enhance flexibility and adaptability in dealing with a surge in infectious patients. Aim: To future-proof facility design with pandemic preparedness and resilience in mind, this study evaluated what kinds of interventions were taken in Dutch hospital facilities and what perspectives need to be considered when hospitals operate in crisis mode. Methods: We have collected data from facility and estate professionals from 30 Dutch hospitals. Using a practice-based approach, in-depth interviewing helped uncover and compare successful operational strategies and design elements that provided the flexibility needed in the early stages of the recent crisis. Results: As we looked at existing facilities and alterations made to allow hospitals to operate during the COVID-19 pandemic, we discovered that staff availability and adaptability were deemed crucial. Conclusion: We add the perspective of staff as an essential factor to be considered when future-proofing hospital facility desigr crisis mode operation.","flexibility; hospital design; pandemic resilience; robustness; staff adaptability","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:052cb2b0-287f-4818-ab32-ce44b954320b","http://resolver.tudelft.nl/uuid:052cb2b0-287f-4818-ab32-ce44b954320b","Developing reusable packaging for FMCG: Consumers’ perceptions of benefits and risks of refillable and returnable packaging systems","Miao, X. (TU Delft Marketing and Consumer Research); Magnier, L.B.M. (TU Delft Marketing and Consumer Research); Mugge, R. (TU Delft Design, Organisation and Strategy)","Hideki, Kobayashi (editor); Shinichi, Fukushige (editor); Eiji, Yamasue (editor); Keishiro, Hara (editor)","2024","This research investigates consumers’ perception of two main types of reusable packaging systems: refillable and returnable packaging systems. An online experiment was conducted with Dutch consumers (n=250) in which attitudinal and behavioural responses to two reusable packaging systems were compared to the responses to disposable packaging. We created three types of packaging (disposable, refillable and returnable) for either food (ketchup) and non-food (shampoo) products. Results showed that consumers evaluate refillable and returnable packaging positively. Three benefits (environmental benefits, anticipated conscience and enjoyment) and three risks (contamination, complexity and performance risks) of reusable packaging are measured in this study. This research contributes to theunderstanding of consumers’ perception of reusable packaging systems, which can help future designers and companies to design their systems more effectively.","Reuse; Benefits and risks; consumer behaviour; Circular economy; Returnable packaging; Refillable packaging","en","book chapter","Springer Nature","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-17","","Design, Organisation and Strategy","Marketing and Consumer Research","","",""
"uuid:444d976e-670b-4635-a111-8e4665991f97","http://resolver.tudelft.nl/uuid:444d976e-670b-4635-a111-8e4665991f97","Recovery from the pandemic: Planning the reterritorialisation of agricultural activities","Liu, Tianzhu (University of Bern); Korthals Altes, W.K. (TU Delft Urban Development Management); Wallet, Frédéric (INRAE center Occitanie-Toulouse); Melot, Romain (INRAE - Campus Agro Paris-Saclay)","Andres, Lauren (editor); Bryson, John R. (editor); Ersoy, Aksel (editor); Reardon, Louise (editor)","2024","This chapter discusses planning the reterritorialisation of agricultural activities as an avenue of the Covid-19 pandemic recovery. Reterritorialisation indicates local food being targeted to local inhabitants instead of the global market. We argue that the pandemic has accelerated the reterritorialisation process. Supply chain actors actively responded to the local market, local agrifood sector labour was revalued, the rural-urban linkage was rebuilt along with the lifestyle change, and public political awareness was raised in engaging local agrifood issues. We propose planning the reterritorialisation of agriculture as a solution to perpetuating local agrifood activities and recovering from the pandemic. We discuss planning strategies from perspectives of access to land, the transition of farming practices, and structuring local supply chains. We conclude with research agenda drawn from the challenges faced by the coexistence of local and global food systems, the policy coherence and the juxtaposed complex issues like climate change and geopolitical conflicts.","access to land; covid-19; food planning; local food system; short food supply chain; spatial planning","en","book chapter","Edward Elgar Publishing","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-12","","","Urban Development Management","","",""
"uuid:fe50979a-2553-453b-8cf7-c9757453ff19","http://resolver.tudelft.nl/uuid:fe50979a-2553-453b-8cf7-c9757453ff19","Engineering ssRNA tile filaments for (dis)assembly and membrane binding","De Franceschi, N. (TU Delft BN/Cees Dekker Lab); Hoogenberg, B. (TU Delft BN/Cees Dekker Lab); Katan, A.J. (TU Delft QN/Afdelingsbureau); Dekker, C. (TU Delft BN/Cees Dekker Lab)","","2024","Cytoskeletal protein filaments such as actin and microtubules confer mechanical support to cells and facilitate many cellular functions such as motility and division. Recent years have witnessed the development of a variety of molecular scaffolds that mimic such filaments. Indeed, filaments that are programmable and compatible with biological systems may prove useful in studying or substituting such proteins. Here, we explore the use of ssRNA tiles to build and modify filaments in vitro. We engineer a number of functionalities that are crucial to the function of natural proteins filaments into the ssRNA tiles, including the abilities to assemble or disassemble filaments, to tune the filament stiffness, to induce membrane binding, and to bind proteins. This work paves the way for building dynamic cytoskeleton-mimicking systems made out of rationally designed ssRNA tiles that can be transcribed in natural or synthetic cells.","","en","journal article","","","","","","","","","","","BN/Cees Dekker Lab","","",""
"uuid:4756c84a-1d2a-4315-86aa-2596d411f1f2","http://resolver.tudelft.nl/uuid:4756c84a-1d2a-4315-86aa-2596d411f1f2","Mapping Contextual Factors Influencing Physical Activity Behavior of People with a Physical Demanding Job","Beckmann, Julia (Student TU Delft); Coenen, Pieter (Amsterdam UMC); Speklé, Erwin (Arbo Unie, Utrecht); Kraal, J.J. (TU Delft Applied Ergonomics and Design)","Melles, Marijke (editor)","2024","People with a physically demanding job have an unhealthy disbalance in occupational and leisure-time physical activity (PA). We aimed to understand which contextual factors influence this disbalance, and explore opportunities for lifestyle interventions that could restore this disbalance. We applied a contextmapping study with six production workers from a Dutch coating department. Participants filled in a sensitizing booklet with PA-related activities, and were interviewed afterwards. Participants reported reasons for (not) being active in leisure-time using an experience sampling method. Our results indicate that main reasons for being inactive during leisure time were their believes that occupational PA is enough for a healthy lifestyle, and the need to rest after work. Results show that lifestyle interventions should tackle workers inadequate risk perception and over-exhaustion to empower them to shift their PA behavior in a healthier direction. This indicates the need for a holistic approach targeting both home and working environments.","Holistic approach; Life-long health; Lifestyle intervention; Occupational health; Physical activity paradox; Prevention","en","book chapter","Springer Nature","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-05","","","Applied Ergonomics and Design","","",""
"uuid:09abe37f-d7e9-4394-af5e-fbda94deb290","http://resolver.tudelft.nl/uuid:09abe37f-d7e9-4394-af5e-fbda94deb290","Comparing the Active, Functional, and Passive Range of Motion of Finger Joints Using Dynamic Measurement","Yuan, Tianyun (Student TU Delft); Song, Yu (Student TU Delft); Goossens, R.H.M. (TU Delft Human-Centered Design; TU Delft Applied Ergonomics and Design); Kraan, G.A. (TU Delft Applied Ergonomics and Design; Reinier de Graaf Gasthuis)","Melles, Marijke (editor)","2024","Studies on finger kinematics, especially the range of motion (RoM) measurements, are essential to understand the use of finger joints and the pathology of related disease. Limited literatures compared the active RoM (A-RoM) of finger joints with either their functional RoM (F-RoM) or passive RoM (p-RoM) using different measuring protocols and tools. This study aims to provide an overall comparison including all three types of RoMs. We measured A-RoM, F-RoM, and P-RoM, using a dynamic measurement system. Our goal is to investigate the relationships among the three RoMs by comparing their extreme rotation angles. The results suggested that P-RoM was the largest motion range, and F-RoM can exceed their A-RoM. The F-RoM of distal-interphalangeal joints may rotated 8–20° more than their A-RoM, mainly during precise and power manipulations. Besides to A-RoM, knowledge of F-RoM and P-RoM are also important for a comprehensive understanding for clinical practice, and thus, to support the optimization and evaluation of treatment devices for finger joint, such as implant replacement.","3D motion analysis; Activities of daily living; Finger kinematics","en","book chapter","Springer Nature","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-05","","Human-Centered Design","Applied Ergonomics and Design","","",""
"uuid:806323be-e2aa-4bc6-86b1-ca2df873d75f","http://resolver.tudelft.nl/uuid:806323be-e2aa-4bc6-86b1-ca2df873d75f","Fast contrail estimation with OpenSky data","Sun, Junzi (TU Delft Control & Simulation); Roosenbrand, E.J. (TU Delft Control & Simulation)","","2024","Contrails, formed under specific atmospheric conditions, have a noteworthy role in heat-trapping within the atmosphere. This study bridges the gap between theoretical contrail formation models and real-world data by employing flight information from OpenSky and meteorological data from the European Centre for Medium-Range Weather Forecasts. We introduce a computationally efficient contrail estimation module, leveraging a client-server architecture that allows on-demand weather data interpolation via an API, significantly reducing computational load and enhancing performance locally. The study also benchmarks the entire pipeline, from data acquisition to contrail prediction, offering a robust tool for future air traffic studies requiring interpolated weather data.","Open-data; Emissions; Air Traffic","en","journal article","","","","","","","","","","","Control & Simulation","","",""
"uuid:f6290571-fabb-4857-910a-4fb4300b1c54","http://resolver.tudelft.nl/uuid:f6290571-fabb-4857-910a-4fb4300b1c54","Reviews and responses for Fast contrail estimation with OpenSky data","Sun, Junzi (TU Delft Control & Simulation); Roosenbrand, E.J. (TU Delft Control & Simulation)","Figuet, Benoit (editor); Dubot, Thomas (editor); Waltert, Manuel (editor); Olive, Xavier (editor)","2024","Contrails, formed under specific atmospheric conditions, have a noteworthy role in heat-trapping within the atmosphere. This study bridges the gap between theoretical contrail formation models and real-world data by employing flight information from OpenSky and meteorological data from the European Centre for Medium-Range Weather Forecasts. We introduce a computationally efficient contrail estimation module, leveraging a client-server architecture that allows on-demand weather data interpolation via an API, significantly reducing computational load and enhancing performance locally. The study also benchmarks the entire pipeline, from data acquisition to contrail prediction, offering a robust tool for future air traffic studies requiring interpolated weather data.","Open-data; Emissions; Air Traffic","en","contribution to periodical","","","","","","","","","","","Control & Simulation","","",""
"uuid:a024a3ff-7f37-4eb1-aaae-e71a3955ebc9","http://resolver.tudelft.nl/uuid:a024a3ff-7f37-4eb1-aaae-e71a3955ebc9","Compilation of an open-source traffic and CO2 emissions dataset for commercial aviation","Salgas, A. (Université de Toulouse); Sun, Junzi (TU Delft Control & Simulation); Delbecq, Scott (Université de Toulouse); Planès, Thomas (Université de Toulouse); Lafforgue, Gilles (Université de Toulouse)","","2024","The study of the environmental transition of the aviation sector calls for prospective traffic scenarios. Detailed traffic and emissions inventories are often needed to refine the available analyses and to enable the simulation of regionalised scenarios. In the past studies, these are generally based on commercial, proprietary traffic data, making their dissemination problematic and reducing the reproducibility of the science produced. Open-source alternatives do exist, but with limited geographical coverage. This paper presents a method to aggregate different sources of flight information, in order to obtain an open-source air traffic dataset for 2019. Then, missing flight information is identified and completed using an airline route database built from Wikipedia parsing and related socio-economic data. After that, several reference datasets are used to evaluate the accuracy of the extended open-source dataset. Despite varying accuracy for different routes, major traffic flows are reasonably well estimated at the country and continental levels. Finally, the CO2 emissions are obtained using an existing aircraft performance surrogate model, and the accuracies are examined compared to the results from previous studies.","Open-data; Emissions; Air Traffic","en","journal article","","","","","","","","","","","Control & Simulation","","",""
"uuid:2b0ec6e8-9134-483a-8c8f-4b6959591e94","http://resolver.tudelft.nl/uuid:2b0ec6e8-9134-483a-8c8f-4b6959591e94","Defining design orientation: A field-based discovery approach","Cankurtaran, P. (TU Delft Marketing and Consumer Research); Beverland, Michael B. (University of Sussex Business School); Farrelly, Francis J. (Royal Melbourne Institute of Technology University)","","2024","The value of design as a means of innovation has long been recognized. More recently, interest in how design can create value has moved from a functional to a strategic focus whereby the design concept defines the way in which the whole firm competes. This is known as “design orientation,” although research on the nature of this construct remains scarce. In this exploratory study to define and unpack the nature of design orientation we follow the same process as previous research on orientations, through extrapolation from the sustained behaviours at firms that use design to drive their strategy. Empirically, we ground our definition in insights from design experts and senior managers (n = 62) within a diverse sample of “design-oriented” firms (n = 26). We identify that design orientation consists of an overarching ethos defined by four core emphases (connective, empathetic, future, and aesthetic), reflected in and reinforced by eight behaviours (catalysing, integrating, perspective taking, marrying logics, disrupting, future-proofing, design language, and brand reinforcing). In so doing, we define the design orientation construct and identify the strategic investments firms can use to leverage it for competitive advantage. We provide an agenda for future research and explore managerial challenges associated with implementation.","Design orientation; Strategic design; Value creation; Innovation; Theory-building","en","journal article","","","","","","","","","","","Marketing and Consumer Research","","",""
"uuid:ac2616a6-2636-4834-b0e6-9e859a57795e","http://resolver.tudelft.nl/uuid:ac2616a6-2636-4834-b0e6-9e859a57795e","Corrosion classification through deep learning of electrochemical noise time-frequency transient information","Homborg, A.M. (TU Delft Team Arjan Mol; Netherlands Defence Academy); Mol, J.M.C. (TU Delft Team Arjan Mol); Tinga, Tiedo (Netherlands Defence Academy)","","2024","This paper for the first time treats the interpretation of electrochemical noise time-frequency spectra as an image classification problem. It investigates the application of a convolutional neural network (CNN) for deep learning image classification of electrochemical noise time-frequency transient information. Representative slices of these spectra were selected by our transient analysis technique and served as input images for the CNN. Corrosion data from two types of pitting corrosion processes serve as test cases: AISI304 and AA2024-T3 immersed in a 0.01M HCl and 0.1M NaCl solution between 0 and 1ks after immersion, respectively. Continuous wavelet transform (CWT) spectra and modulus maxima (MM) are used to train the CNN, either individually or in a combined form. The classification accuracy of the CNN trained with the combined dataset is 0.97 and with the two individual datasets 0.72 (only CWT spectrum) and 0.84 (only MM). The ability to additionally classify a more progressed form of pitting corrosion of AA2024-T3 between 9 and 10ks after immersion indicates that the proposed method is sufficiently robust using combined datasets with CWT spectra and MM. The pitting processes can effectively be detected and classified by the proposed method. The most important contribution of the present work is to introduce a novel procedure that decreases the classical need for large amounts of raw data for training and validation purposes, while still achieving a satisfactory classification robustness. A relatively small number of individual signals thereby generates a multitude of input images that still contain all relevant kinetic information about the underlying chemo-physical process.","Machine learning; Electrochemical noise transients; Continuous wavelet transform; Modulus maxima; Time-frequency images; Corrosion classification","en","journal article","","","","","","","","","","","Team Arjan Mol","","",""
"uuid:13431629-499d-4237-a99e-93f6aaf0c1b2","http://resolver.tudelft.nl/uuid:13431629-499d-4237-a99e-93f6aaf0c1b2","How Ready a Municipality is for Zero-Emission City Logistics? Development and Application of Maturity Model for Dutch Municipalities","Anand, N.R. (Rotterdam University of Applied Sciences); Motloung, T. (Breda University of Applied Sciences); Quak, H.J. (Breda University of Applied Sciences; TNO); van Duin, Ron (TU Delft Transport and Logistics; Rotterdam University of Applied Sciences)","","2024","The number of activities in city logistics is growing rapidly causing an increase in emissions, and a decline in accessibility and safety in cities. Therefore, the Dutch government has introduced GreenDeal Zero-emission city logistics. The goal of this deal is to have 30-40 of the biggest municipalities in the Netherlands have zero-emission city logistics in 2025. The goal for 2025 is clear, but it lacks a way for municipalities to see their progress and a way to find improvements in their city logistics. This research is focused on developing a maturity model as a tool to assess the maturity level of a municipality for its performance-related city logistics process management to achieve its aim of reaching zero emissions. The
City Logistics Maturity Model for Municipality (CL3M) requires a domain-specific, multidimensional model to assess city logistics from a municipal point of view. The model includes six levels (0-5) and a PCDA cycle is embedded throughout the levels. The model is populated through three focus fields (Technical, Social and Corporate, and Policy), branching out into six areas of development: Information and communication technology, urban logistics planning, Stakeholder communication, Public-Private Partnerships, Subsidization and incentivization, and Regulations. The CL3M model was tested for three municipalities, namely, the municipality of Deventer, Zwolle, and Nijmegen. The assessment pointed out that CL3M is yet in its juvenile stage and with further development, the model can reach its full potential in usefulness, reliability, and adaptation","City logistics; Maturity model; CO2 Emissions; zero emission; Climate Agreement","en","conference paper","","","","","","","","","","","Transport and Logistics","","",""
"uuid:06b36cb6-1728-449a-bb66-422be9095e57","http://resolver.tudelft.nl/uuid:06b36cb6-1728-449a-bb66-422be9095e57","Can an energy only market enable resource adequacy in a decarbonized power system?: A co-simulation with two agent-based-models","Sanchez Jimenez, I.J. (TU Delft Energie and Industrie); Ribo-Perez, D.G. (TU Delft Energie and Industrie; Universitat Politécnica de Valencia); Cvetkovic, M. (TU Delft Intelligent Electrical Power Grids); Kochems, J. (German Aerospace Center); Schimeczek, C. (German Aerospace Center); De Vries, Laurens (TU Delft Energie and Industrie)","","2024","Future power systems, in which generation will come almost entirely from variable Renewable Energy Sources (vRES), will be characterized by weather-driven supply and flexible demand. In a simulation of the future Dutch power system, we analyze whether there are sufficient incentives for market-driven investors to provide a sufficient level of security of supply, considering the profit-seeking and myopic behavior of investors. We co-simulate two agent-based models (ABM), one for generation expansion and one for the operational time scale. The results suggest that in a system with a high share of vRES and flexibility, prices will be set predominantly by the demand’s willingness to pay, particularly by the opportunity cost of flexible hydrogen electrolyzers. The demand for electric heating could double the price of electricity in winter, compared to summer, and in years with low vRES could cause shortages. Simulations with stochastic weather profiles increase the year-to-year variability of cost recovery by more than threefold and the year-to-year price variability by more than tenfold compared to a scenario with no weather uncertainty. Dispatchable technologies have the most volatile annual returns due to high scarcity rents during years of low vRES production and diminished returns during years with high vRES production. We conclude that in a highly renewable EOM, investors would not have sufficient incentives to ensure the reliability of the system. If they invested in such a way to ensure that demand could be met in a year with the lowest vRES yield, they would not recover their fixed costs in the majority of years.","Agent-based model; High share of renewable energies; Flexibility; Co-simulation","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:083a7ad7-5f15-4a68-bf50-5cd1017ef780","http://resolver.tudelft.nl/uuid:083a7ad7-5f15-4a68-bf50-5cd1017ef780","Data analytics in managing projects","Papadonikolaki, E. (TU Delft Integral Design & Management); Galera-Zarco, Carlos (University College London (UCL))","Huemann, Martina (editor); Turner, Rodney (editor)","2024","In today’s society, project work gains traction across many industries. As projects are characterised by novelty and uncertainty, emerging digital technologies promise solutions that improve performance and help deliver full benefits. Amidst this digitisation, digital technologies such as data analytics and Artificial Intelligence (AI) streamline the large amount of data generated. However, this critical information is only partially leveraged during and after projects to date. Project data are generated, collected, and analysed across all stages of project management and delivery. Eleni Papadonikolaki and Carlos Galera-Zarco conceptualise the relation between projects, information, and data, and discuss key application areas of data analytics in projects, for instance in scheduling and costing. Next, they present the state-of-the-art applications, means, and tools of data analytics in key areas of project management. Finally, they set out challenges and future opportunities around project data analytics especially with regard to leadership, teamwork, and talent management.","","en","book chapter","Routledge - Taylor & Francis Group","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-28","","","Integral Design & Management","","",""
"uuid:f2294efd-4de1-4df0-8573-881ebaee4fa1","http://resolver.tudelft.nl/uuid:f2294efd-4de1-4df0-8573-881ebaee4fa1","Microsegregation Influence on Austenite Formation from Ferrite and Cementite in Fe–C–Mn–Si and Fe–C–Si Steels","Krugla, M. (TU Delft Team Erik Offerman; Tata Steel); Offerman, S.E. (TU Delft Team Erik Offerman); Sietsma, J. (TU Delft Team Joris Dik); Hanlon, Dave N. (Tata Steel)","","2024","The production reality of sheet steels from casting to the end product is such that in the cases of ultra- and advanced high-strength steels, we have to deal with the segregation of elements on macro- and microlevels. Both can have a significant impact on the microstructure formation and resulting properties. There are several production stages where it can influence the transformations, i.e., casting, hot rolling process and annealing after cold rolling. In the present work, we focus on the latter, and more specifically, the transformation from ferrite–cementite to austenite, especially the nucleation process, in cold-rolled material. We vary the levels of two substitutional elements, Mn and Si, and then look in detail at the microsegregation and nucleation processes. The classical nucleation theory is used, and both the chemical driving force and strain energy are calculated for various scenarios. In the case of a high Mn and high Si concentration, the nucleation can thus be explained. In the cases of high Mn and low Si concentrations as well as low Mn alloys, more research is needed on the nuclei shapes and strain energy.","nucleation; microsegregation; advanced high-strength steels; driving force; Strain Energy Release","en","journal article","","","","","","","","","","","Team Erik Offerman","","",""
"uuid:14d82dc4-eaa0-44af-b5b8-0459cb7dbd54","http://resolver.tudelft.nl/uuid:14d82dc4-eaa0-44af-b5b8-0459cb7dbd54","Can designers take the driver’s seat? A new human-centered process to design with data and machine learning","Colombo, S. (TU Delft Human-Centred Artificial Intelligence); Costa, Camilla (Politecnico di Milano)","","2024","Machine Learning (ML) is increasingly becoming a crucial asset across diverse industries. However, designers lack human-centered processes to envision and develop innovative solutions enabled by ML. By engaging in a Research-through-Design activity, we outline a new design process to generate human-centered adaptive systems enabled by data and ML. We describe and discuss the possibilities and limits of designing with ML, the need to concurrently address user experience and ML aspects, and the implications of their mutual influence. We argue that designers can envision and design human-centered ML-enabled systems if they acquire fundamental ML knowledge, although certain tasks necessitate close collaboration with ML experts. We discuss how uncertainty and risk of failure characterize the outlined process and may limit its applicability. The proposed process serves as a foundational framework for future research in human-centered design innovation through data and ML.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-21","","","Human-Centred Artificial Intelligence","","",""
"uuid:5398d36a-a785-4f27-991d-aaffb23be2e8","http://resolver.tudelft.nl/uuid:5398d36a-a785-4f27-991d-aaffb23be2e8","Adaptive Differentially Quantized Subspace Perturbation (ADQSP): A Unified Framework for Privacy-Preserving Distributed Average Consensus","Li, Qiongxiu (Tsinghua University); Gundersen, Jaron Skovsted (Aalborg University); Lopuhaa-Zwakenberg, Milan (University of Twente); Heusdens, R. (TU Delft Signal Processing Systems; Netherlands Defence Academy)","","2024","Privacy-preserving distributed average consensus has received significant attention recently due to its wide applicability. Based on the achieved performances, existing approaches can be broadly classified into perfect accuracy-prioritized approaches such as secure multiparty computation (SMPC), and worst-case privacy-prioritized approaches such as differential privacy (DP). Methods of the first class achieve perfect output accuracy but reveal some private information, while methods from the second class provide privacy against the strongest adversary at the cost of a loss of accuracy. In this paper, we propose a general approach named adaptive differentially quantized subspace perturbation (ADQSP) which combines quantization schemes with so-called subspace perturbation. Although not relying on cryptographic primitives, the proposed approach enjoys the benefits of both accuracy-prioritized and privacy-prioritized methods and is able to unify them. More specifically, we show that by varying a single quantization parameter the proposed method can vary between SMPC-type performances and DP-type performances. Our results show the potential of exploiting traditional distributed signal processing tools for providing cryptographic guarantees. In addition to a comprehensive theoretical analysis, numerical validations are conducted to substantiate our results.","consensus; data aggregation; decentralized networks; differential privacy; quantization; Secure multiparty computation; subspace perturbation","en","journal article","","","","","","","","2024-07-22","","","Signal Processing Systems","","",""
"uuid:094250fb-166d-4fe7-891f-5d313229c515","http://resolver.tudelft.nl/uuid:094250fb-166d-4fe7-891f-5d313229c515","Capturing Electricity Market Dynamics in Strategic Market Participation Using Neural Network Constrained Optimization","Dolanyi, Mihaly (Katholieke Universiteit Leuven); Bruninx, K. (TU Delft Energie and Industrie; Katholieke Universiteit Leuven); Toubeau, Jean Francois (Université de Mons); Delarue, Erik (Katholieke Universiteit Leuven)","","2024","In competitive electricity markets, the optimal bid or offer problem of a strategic agent is commonly formulated as a bi-level program and solved as a mathematical program with equilibrium constraints (MPEC). If the lower-level (LL) part of the problem can be well approximated as a convex problem, this approach leads to a global optimum. However, electricity markets are governed by non-convex (partially known) constraints and reward functions of the participating agents. In this paper, an alternative data-driven paradigm, labeled as a mathematical program with neural network constraint (MPNNC), is developed. The method uses a neural network to represent the mapping between the upper-level (agent) decisions and the lower-level (market) outcomes, i.e., it replaces the lower-level problem with a surrogate model. In the presented case studies, the proposed model is used to find the optimal load shedding strategy of a strategic load-serving entity. First, the MPNNC performance is compared to the MPEC approach, both in convex and non-convex environments, showing that the proposed MPNNC achieves similar performance to an ideal MPEC that has perfect knowledge of the simulated market environment. Then, aggregated supply curves from the Belgian spot exchange are used to assess the potential gains of using the developed model in real-life applications.","electricity markets; mathematical program with neural network constraint; Strategic bidding","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-27","","","Energie and Industrie","","",""
"uuid:187343ad-a455-475c-89a5-868966358afb","http://resolver.tudelft.nl/uuid:187343ad-a455-475c-89a5-868966358afb","Estimating bridge criticality due to extreme traffic loads in highway networks","Mendoza Lugo, M.A. (TU Delft Hydraulic Structures and Flood Risk); Nogal Macho, M. (TU Delft Integral Design & Management); Morales Napoles, O. (TU Delft Hydraulic Structures and Flood Risk)","","2024","Around the world, an increasing amount of bridge infrastructure is ageing. The resources involved in the reassessment of existing assets often exceed available resources and many bridges lack a minimum structural assessment. Therefore, there is a need for comprehensive and quantitative approaches to assess all the assets in the bridge network to reduce the risk of collapsing, damage to infrastructure, and economic losses. This paper proposes a methodology to quantify the structural criticality of bridges at a network level. To accomplish this, long-run site-specific simulations are conducted using Bayesian Networks and bivariate copulas, utilizing recorded traffic data obtained from permanent counting stations. To enhance the dataset, information from Weigh-in-Motion systems from different regions was integrated through a matching process. Subsequently, the structural response resulting from the simulated traffic is assessed, and the extreme values of the traffic load effects are obtained for selected return periods. Site-specific bridge criticality as a performance indicator for traffic load effects is derived by comparing the extreme load effects with the design load effects. The outcomes are mapped to facilitate visualization employing an open-source geographic information system application. To illustrate the application of the methodology, a total of 576 bridges within a national highway network are investigated, and a comparison with a popular simplified method is shown. The methodology herein presented can be used to assist in assessing the condition of a bridge network and prioritizing maintenance and repair activities by identifying potential bridges subjected to major load stress.","Bayesian Network; Copulas; Extreme value; Bridge network; Maps; Traffic load effects; Bridge criticality","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:00b74fa5-8fef-4514-a21f-877159d58c88","http://resolver.tudelft.nl/uuid:00b74fa5-8fef-4514-a21f-877159d58c88","Intelligent Anomaly Detection for Lane Rendering Using Transformer with Self-Supervised Pre-Training and Customized Fine-Tuning","Dong, Y. (TU Delft Transport and Planning); Lu, Xingmin (North China University of Technology); Li, Ruohan (Villanova University); Song, Wei (North China University of Technology); van Arem, B. (TU Delft Transport and Planning); Farah, H. (TU Delft Transport and Planning)","","2024","The burgeoning navigation services using digital maps provide great convenience to drivers. However, there are sometimes anomalies in the lane rendering map images, which might mislead human drivers and result in unsafe driving. To accurately and effectively detect the anomalies, this paper transforms lane rendering image anomaly detection into a classification problem and proposes a four-phase pipeline consisting of data pre-processing, self-supervised pre-training with the masked image modeling (MiM) method, customized fine-tuning using cross-entropy loss with label smoothing, and post-processing to tackle it using state-of-the-art deep learning techniques, especially the Transformer models. Various experiments verify the effectiveness of the proposed pipeline. The proposed pipeline can deliver superior lane rendering image anomaly detection performance, and especially, the self-supervised pre-training with MiM can greatly improve the detection accuracy while significantly reducing the total training time, e.g, Swin Transformer with Uniform Masking as self-supervised pretraining (Swin-Trans-UM) obtained better accuracy at 94.77% and better Area Under The Curve (AUC) at 0.9743 compared with the pure Swin Transformer without pre-training (Swin-Trans) whose accuracy is 94.01% AUC is 0.9498, and the fine-tuning epochs reduced to 41 from original 280. Ablation study further regarding techniques to alleviate the data imbalance between normal and abnormal instances further enhances the model performance.","Anomaly Detection; Lane rendering image; Transformer; Self-supervised learning; Image classification","en","poster","","","","","","","","","","","Transport and Planning","","",""
"uuid:0ca8e7f6-6d62-4425-ae47-830d1024ba39","http://resolver.tudelft.nl/uuid:0ca8e7f6-6d62-4425-ae47-830d1024ba39","Blade Element Theory Model for UAV Blade Damage Simulation","de Alvear Cardenas, J.I. (San José State University); de Visser, C.C. (TU Delft Control & Simulation)","","2024","From fault-tolerant control to failure detection, blade damage simulation is integral for developing and testing failure-resilient modern unmanned aerial vehicles. Existing approaches assume partial loss of rotor effectiveness or reduce the problem to centrifugal forces resulting from the shift in the propeller centre of gravity. In this study, a white-box blade damage model based on Blade Element Theory is proposed, integrating both mass and aerodynamic effects of blade damage. The model serves as plug-in to the nominal system model, enables the simulation of any degree of blade damage and does not require costly experimental data from failure cases. A complementary methodology for the identification of the airfoil lift and drag coefficients is also presented. Both contributions were demonstrated with the Bebop 2 drone platform and validated with static test stand wrench measurements obtained at 3 levels of blade damage (0%, 10%, 25%) in a dedicated wind tunnel experimental campaign with velocities up to 12 m/s. Results indicate high accuracy in simulating a healthy propeller. In the presence of blade damage, the model exhibits a relative error between 5% and 24% at high propeller rotational speeds and between 15% and 75% at low propeller rotational speeds.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Control & Simulation","","",""
"uuid:19af5ad2-e69d-435e-9539-c89dd885a27f","http://resolver.tudelft.nl/uuid:19af5ad2-e69d-435e-9539-c89dd885a27f","Unreal Success: Vision-Based UAV Fault Detection and Diagnosis Framework","de Alvear Cardenas, J.I. (San José State University); de Visser, C.C. (TU Delft Control & Simulation)","","2024","Online fault detection and diagnosis (FDD) enables Unmanned Aerial Vehicles (UAVs) to take informed decisions upon actuator failure during flight, adapting their control strategy or deploying emergency systems. Despite the camera being a ubiquitous sensor on-board of most commercial UAVs, it has not been used within FDD systems before, mainly due to the nonexistence of UAV multi-sensor datasets that include actuator failure scenarios. This paper presents a knowledge-based FDD framework based on a lightweight LSTM network and a single layer neural network classifier that fuses camera and Inertial Measurement Unit (IMU) information. Camera data are pre-processed by first computing its optical flow with RAFT-S, a state-of-the-art deep learning model, and then extracting features with the backbone of MobileNetV3-S. Short-Time Fourier Transform is applied on the IMU data for obtaining their time-frequency information. For training and assessing the proposed framework, UUFOSim was developed: an Unreal Engine-based simulator built on AirSim that allows the collection of high-fidelity photo-realistic camera and sensor information, and the injection of actuator failures during flight. Data were collected in simulation for the Bebop 2 UAV with 16 failure cases. Results demonstrate the added value of the camera and the complementary nature of both sensors with failure detection and diagnosis accuracies of 99.98% and 98.86%, respectively.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Control & Simulation","","",""
"uuid:1101dd16-9bfc-4cac-a5c6-0d29d03da42f","http://resolver.tudelft.nl/uuid:1101dd16-9bfc-4cac-a5c6-0d29d03da42f","A hybrid decision-making framework for a supplier selection problem based on lean, agile, resilience, and green criteria: a case study of a pharmaceutical industry","Sheykhzadeh, Morteza (University of Tehran); Ghasemi, Rohollah (University of Tehran); Vandchali, Hadi Rezaei (University of Tasmania); Sepehri, A. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Torabi, Seyed Ali (University of Tehran)","","2024","Due to the outbreak of COVID-19 around the globe in the last few years, the need for pharmaceutical supply chains is felt more than before. However, increasing uncertainties along with unpredictable demand for products led to disruptions in supply chains when receiving requests from retailers. These disruptions not only affected the economic aspect of supply chains but also caused shortages in hospitals and medical centers. Therefore, it has become significant for companies to select their suppliers to avoid disruptions in the case of the severity of infections. To address this issue in practice, this paper has been conducted based on a case study to address the role of lean, agile, resilience, and green (LARG) criteria in selecting the supplier in a pharmaceutical supply chain and compare the results obtained before and after the prevalence of COVID-19. The main purpose of this study is to determine and evaluate different indicators within the LARG concept to avoid disruptions when selecting suppliers. Besides, the significance of these criteria before and after the pandemic condition is addressed. Due to addressing multiple aspects of the problem, a hybrid fuzzy multi-attribute decision-making (MADM) approach is adopted for this elaboration when the four LARG criteria are integrated with eighteen supplier selection sub-criteria. To calculate the impact of each criterion (or sub-criteria), a fuzzy best–worst method (BWM) along with an additive ratio assessment (ARAS) is employed to propose a supplier ranking for a distributor of a pharmaceutical supply chain. The developed model is novel as LARG criteria in the context of supplier selection have not been studied to address the disruptions in the pharmaceutical supply chain. This is significant because it gives insight to both retailers and suppliers to emphasize the correct criteria, especially in the pandemic or related disrupting conditions. The results demonstrated that quality, collaboration, safety stock, and environmental criteria weigh the highest before the pandemic, while just-in-time delivery, lead time, safety stock, and environmental criteria weigh the highest after the pandemic. This study demonstrates that developing a supplier selection approach that meets the demand in a short time and recommends suppliers to hold surplus inventory helps the healthcare systems better respond to the market needs.","Fuzzy multi-attribute decision-making; LARG supply chain; Pharmaceutical supply chains; Supplier selection","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-02","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:e316ce2d-d063-4e7b-a686-0c74d3b4905a","http://resolver.tudelft.nl/uuid:e316ce2d-d063-4e7b-a686-0c74d3b4905a","Multi-Sensor Seismic Processing Approach using Geophones and HWC DAS in the Monitoring of CO2 Storage at the Hellisheiði Geothermal Field in Iceland","Bellezza, Cinzia (OGS-National Institute of Oceanography and Applied Geophysics–); Barison, Erika (OGS-National Institute of Oceanography and Applied Geophysics–); Farina, Biancamaria (OGS-National Institute of Oceanography and Applied Geophysics–); Poletto, Flavio (OGS-National Institute of Oceanography and Applied Geophysics–); Meneghini, Fabio (OGS-National Institute of Oceanography and Applied Geophysics–); Böhm, Gualtiero (OGS-National Institute of Oceanography and Applied Geophysics–); Draganov, D.S. (TU Delft Applied Geophysics and Petrophysics); Janssen, M.T.G. (TU Delft Applied Geophysics and Petrophysics); van Otten, Gijs (Seismic Mechatronics BV)","","2024","Geothermal power production may result in significant CO2 emissions as part of the produced steam. CO2 capture, utilisation, subsurface storage (CCUS) and developments to exploit geothermal resources are focal points for future clean and renewable energy strategies. The Synergetic Utilisation of CO2 Storage Coupled with Geothermal Energy Deployment (SUCCEED) project aims to demonstrate the feasibility of using produced CO2 for re-injection in the geothermal field to improve geothermal performance, while also storing the CO2 as an action for climate change mitigation. Our study has the aim to develop innovative reservoir-monitoring technologies via active-source seismic data acquisition using a novel electric seismic vibrator source and permanently installed helically wound cable (HWC) fibre-optic distributed acoustic sensing (DAS) system. Implemented together with auxiliary multi-component (3C and 2C) geophone receiver arrays, this approach gave us the opportunity to compare and cross-validate the results using wavefields from different acquisition systems. We present the results of the baseline survey of a time-lapse monitoring project at the Hellisheiði geothermal field in Iceland. We perform tomographic inversion and multichannel seismic processing to investigate both the shallower and the deeper basaltic rocks targets. The wavefield analysis is supported by seismic modelling. The HWC DAS and the geophone-stacked sections show good consistency, highlighting the same reflection zones. The comparison of the new DAS technology with the well-known standard geophone acquisition proves the effectiveness and reliability of using broadside sensitivity HWC DAS in surface monitoring applications.","CO2 injection monitoring; geothermal reservoir; CCUS; surface seismic processing; distributed acoustic sensing (DAS); geophones","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:4dc3ba97-ae9c-40eb-bf7e-c49bcddba7d9","http://resolver.tudelft.nl/uuid:4dc3ba97-ae9c-40eb-bf7e-c49bcddba7d9","Digital government and the circular economy transition: An analytical framework and a research agenda","Medaglia, Rony (Copenhagen Business School); Rukanova, B.D. (TU Delft Innovation Affairs); Zhang, Ziyan (Sun Yat-sen University)","","2024","The transition from a linear economy towards a circular economy (CE), based on reusing, repairing, refurbishing, and recycling existing materials and products, is one of the key priorities in pursuing Sustainable Development Goals (SDGs), where governments play a fundamental role, with the support of digital technologies.
Despite the increasing global policy focus on CE, research on the role of digital government in initiating, implementing, and consolidating a transition towards a circular economy is surprisingly scarce and fragmented, and a systematic effort in digital government research is yet to emerge.
To tackle this issue, this article sets out to answer the research question: what is the role of digital government in the transition towards a circular economy? Driven by this research question, we conduct a review on 88 empirical studies in the Information Systems (IS) and digital government fields and discuss existing research foci and gaps in relation to the types of digital technologies used, the types of stakeholders involved, the stages of the product life cycle, and the type of resources that governments draw on to advance the circular economy transition. In addition, we identify two types of transition styles, based on an analysis of the types of roles taken by the government in two cases of transition towards a circular economy.
Based on these findings, we provide two contributions to establishing a new line of research in digital government and the circular economy: an analytical framework, including a static view, a longitudinal view, and a transition style view of the role of digital government in the circular economy transition; and a research agenda that builds on our framework, to guide future research on the role of digital government in the circular economy transition.","Digital government; Circular economy; Sustainability","en","journal article","","","","","","","","","","","Innovation Affairs","","",""
"uuid:9dd31e25-1136-4d3b-bcdb-0e3ef4104903","http://resolver.tudelft.nl/uuid:9dd31e25-1136-4d3b-bcdb-0e3ef4104903","The Push Forward in Rehabilitation: Validation of a Machine Learning Method for Detection of Wheelchair Propulsion Type","van der Slikke, R.M.A. (TU Delft Biomechatronics & Human-Machine Control; The Hague University of Applied Sciences); de Leeuw, Arie-Willem (The Hague University of Applied Sciences); de Rooij, Aleid (Leiden University Medical Center; Basalt Revalidatie); Berger, M.A.M. (The Hague University of Applied Sciences; Basalt Revalidatie)","","2024","Within rehabilitation, there is a great need for a simple method to monitor wheelchair use, especially whether it is active or passive. For this purpose, an existing measurement technique was extended with a method for detecting self- or attendant-pushed wheelchair propulsion. The aim of this study was to validate this new detection method by comparison with manual annotation of wheelchair use. Twenty-four amputation and stroke patients completed a semi-structured course of active and passive wheelchair use. Based on a machine learning approach, a method was developed that detected the type of movement. The machine learning method was trained based on the data of a single-wheel sensor as well as a setup using an additional sensor on the frame. The method showed high accuracy (F1 = 0.886, frame and wheel sensor) even if only a single wheel sensor was used (F1 = 0.827). The developed and validated measurement method is ideally suited to easily determine wheelchair use and the corresponding activity level of patients in rehabilitation.","rehabilitation; inertial sensor; wheelchair activity","en","journal article","","","","","","","","","","","Biomechatronics & Human-Machine Control","","",""
"uuid:35663783-562d-4a7e-82d9-3d0d66123c8a","http://resolver.tudelft.nl/uuid:35663783-562d-4a7e-82d9-3d0d66123c8a","How Trees Shape Urban Spaces: Multiplicity and Differentiation of the Urban Forest Viewed from a Visual-Spatial Perspective","de Wit, S.I. (TU Delft Landscape Architecture); van der Velde, J.R.T. (TU Delft Landscape Architecture)","","2024","Background: The field of urban forestry encompasses many dimensions, of which that of visual-spatial perception, addressing the spatial relationship between city and trees, has received little attention. Analyzing the urban forest from a visual-spatial perspective is needed to understand relationships between different components as well as site-specific qualities. Methods: Tree configurations describe the relationship between form and space, determined by the relative disposition of the trees which result from an interaction between design and the development over time. Based on field observations, with the city of Delft in the Netherlands as a case study, 35 generic tree configuration types have been defined. With this “vocabulary,” specific tree configurations and their relations are researched, describing the urban forest from an eyelevel perspective as an essential level on which the spatiality of the urban forest can be understood. Results: Unraveling the urban forest components by comparing two emblematic ensembles of tree configurations allows an understanding of their heterogeneity as well as their coherence and dynamics. Conclusions: The relationship of the tree vocabulary with the specific location exposes their role as an ordering structure and a carrier of the identity of Delft, and their differentiation and site-specific qualities, revealing a composition of wooded areas each with their own characteristics, shows both urban and forested areas as equivalent components of an urban forest mosaic. This differentiation can be used as a tool for strengthening relations between the different components as well as diversity and heterogeneity in urban forests.","site specificity; tree configurations; Tree architecture; tree vocabulary; visual-spatial characteristics","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-01","","","Landscape Architecture","","",""
"uuid:b9c15cb9-5740-404c-abbf-ffafd2a71bd7","http://resolver.tudelft.nl/uuid:b9c15cb9-5740-404c-abbf-ffafd2a71bd7","Do wool carpets ‘clean’ the air or not? A study on the sorption effects of wool carpets by sensory evaluation","Noorian Najafabadi, S.A. (TU Delft Environmental & Climate Design); Ding, Er (TU Delft Environmental & Climate Design); Hobeika, N. (TU Delft Urban Data Science); Bluyssen, P.M. (TU Delft Environmental & Climate Design)","","2024","Indoor air quality (IAQ) is an important aspect of maintaining human health and well-being, particularly since people spend most of their time indoors. Carpets, with their large surface area and dense fibre piles, have the potential to significantly impact IAQ by emitting and absorbing volatile organic compounds (VOC) from building materials and human activities. The cleaning effect of wool carpets regarding the sorption of odours from two sources of pollution: hardboard and sweaty underwear (as a proxy for bio-effluents), was investigated with an untrained panel of subjects assessing the odour intensity and the acceptability. Tests were performed in three different test environments, including a sniffing table, CLIMPAQs, and full-scale test chambers. The outcome showed that wool carpets can potentially clean the air of odours in small-scale environments, where the wool carpet covers the floor and walls of the test environment, and the odour sources are in contact with the wool carpet. However, the results were less conclusive in on scale scenarios where wool carpets only covered the floor. Overall, wool carpets have the potential to ad(b)sorb odorous emissions, but only when these emissions are near the wool carpet, and thus can have the opportunity to be ad(b)sorbed.","Sensory evaluation; Indoor air quality; Wool carpets; Ad(b)sorption; Indoor air pollution","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:f58bf308-39b9-4053-bab3-6f0bc5a44cdb","http://resolver.tudelft.nl/uuid:f58bf308-39b9-4053-bab3-6f0bc5a44cdb","Linking residential mobility with daily mobility: A three-wave cross-lagged panel analysis of travel mode choices and preferences pre–post residential relocation in the Netherlands","Tao, Y. (TU Delft Urban Studies)","","2024","The causal impact of the built environment on travel behaviours is a subject of debate. This debate especially concerns the independent effect of the built environment on the observed travel patterns after taking into account residential self-selection arising from pre-existing travel-related attitude. This study argues that travel attitude varies over time, and thus, is also reshaped by residential built environment and interrelated with residents? travel behaviours. Focusing on the event of residential relocation in the Netherlands, this study longitudinally investigated the interrelations between travel mode choices and preferences before, immediately after and a year after the relocation. Results from the random-intercept cross-lagged panel models substantiated the residential self-selection based on the pre-relocation preferences for motorised means of transport, including cars and public transport. Moreover, travel mode preferences varied to a greater extent than travel mode use pre?post relocation, and especially, frequent use of public transport or bicycles stimulated by the new place of residence had a one-year lagged effect on developing the mode preference. Therefore, the structural role of residential built environment manifests as (re)shaping travel mode choices as well as mode-specific preferences in the process of residential relocation.","longitudinal design; neighbourhood effects; Netherlands; residential self-selection; travel behavior","en","journal article","","","","","","","","","","","Urban Studies","","",""
"uuid:91c087a3-6d22-4b55-b0d9-884b2747a7bc","http://resolver.tudelft.nl/uuid:91c087a3-6d22-4b55-b0d9-884b2747a7bc","Inkjet printing P(VDF-TrFE-CTFE) actuators for large bending strains","Sekar, S.A. (Student TU Delft); Hunt, A. (TU Delft Micro and Nano Engineering)","","2024","Additive manufacturing of sensors and actuators together with structural materials and electronics will make it possible to fabricate innovative system designs that are overly laborious to realise with conventional methods. While printing of the structural materials and electronics are advancing fast, the additive manufacturing methods for actuators and sensors are in an earlier stage of development. This research will develop a manufacturing process for entirely inkjet printed electroactive polymer (EAP) actuators basing on the P(VDF-TrFE-CTFE) relaxor ferroelectric polymer and Ag electrodes. The process consists of (1) printing an Ag layer on a polyethylene terephthalate (PET) substrate for the bottom electrode; (2) formulating, printing and annealing a P(VDF-TrFE-CTFE) ink for the EAP layer; and (3) printing and sintering an Ag layer on the plasma-treated EAP surface to form the top electrode. Two actuator variations, addressed as DMC and KM512, are manufactured and characterised by their: (a) response to quasi-static excitation (1 Hz sine wave); (b) hysteresis behaviour; (c) actuation amplitude variation with the input voltage; and (d) frequency response. The 18 mm long actuators showed 91.4 µm (DMC, 200 V p p ) and 224 µm (KM512, 275 V p p ) deflections in response to 1 Hz sinusoidal excitation, and 1.10 mm (DMC, 113 Hz, 200 V p p ) and 1.72 mm (KM512, 114 Hz, 200 V p p ) deflections in resonant operation. It is 55% more quasi-static strain and 470% more resonant strain than in earlier fully inkjet-printed polyvinylidene fluoride (PVDF) -based actuators, and comparable to similar partially inkjet-printed actuators. This is the first time that inkjet printing of all three layers of a relaxor ferroelectric actuator have been achieved.","actuator; inkjet printing; electroactive polymer; ink formulation; piezoelectric; ferroelectric; P(VDF-TrFE-CTFE)","en","journal article","","","","","","","","","","","Micro and Nano Engineering","","",""
"uuid:e5a9fa2b-2f10-4393-a985-f1f1f2d8d154","http://resolver.tudelft.nl/uuid:e5a9fa2b-2f10-4393-a985-f1f1f2d8d154","Correlated Sparse Bayesian Learning for Recovery of Block Sparse Signals with Unknown Borders","Doğan, D. (TU Delft Signal Processing Systems); Leus, G.J.T. (TU Delft Signal Processing Systems)","","2024","We consider the problem of recovering complex-valued block sparse signals with unknown borders. Such signals arise naturally in numerous applications. Several algorithms have been developed to solve the problem of unknown block partitions. In pattern-coupled sparse Bayesian learning (PCSBL), each coefficient involves its own hyperparameter and those of its immediate neighbors to exploit the block sparsity. Extended block sparse Bayesian learning (EBSBL) assumes the block sparse signal consists of correlated and overlapping blocks to enforce block correlations. We propose a simpler alternative to EBSBL and reveal the underlying relationship between the proposed method and a particular case of EBSBL. The proposed algorithm uses the fact that immediate neighboring sparse coefficients are correlated. The proposed model is similar to classical sparse Bayesian learning (SBL). However, unlike the diagonal correlation matrix in conventional SBL, the unknown correlation matrix has a tridiagonal structure to capture the correlation with neighbors. Due to the entanglement of the elements in the inverse tridiagonal matrix, instead of a direct closed-form solution, an approximate solution is proposed. The alternative algorithm avoids the high dictionary coherence in EBSBL, reduces the unknowns of EBSBL, and is computationally more efficient. The sparse reconstruction performance of the algorithm is evaluated with both correlated and uncorrelated block sparse coefficients. Simulation results demonstrate that the proposed algorithm outperforms PCSBL and correlation-based methods such as EBSBL in terms of reconstruction quality. The numerical results also show that the proposed correlated SBL algorithm can deal with isolated zeros and nonzeros as well as block sparse patterns.","block sparse signals; correlated sparse Bayesian learning; expectation-maximization (EM) method; compressive sensing","en","journal article","","","","","","","","","","","Signal Processing Systems","","",""
"uuid:30d594bf-5ee1-4d25-b7a4-c8e4b4615ce0","http://resolver.tudelft.nl/uuid:30d594bf-5ee1-4d25-b7a4-c8e4b4615ce0","A Resilience Enhanced Secondary Control for AC Micro-grids","Xiao, J. (TU Delft DC systems, Energy conversion & Storage); Wang, L. (TU Delft Hydraulic Structures and Flood Risk); Qin, Z. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2024","Communication-based distributed secondary control is deemed necessary to restore the state of islanding AC microgrids to set points. As its limited global information, the microgrids become vulnerable to cyber-attacks, which by falsifying the communicating singles, like the angular frequency, can disturb the power dispatch in the microgrids or even induce blackout by pushing the microgrids beyond the safe operation area and triggering the protection. To make the microgrids more cyber secure, adaptive resilient control for the secondary frequency regulation is proposed. It assumes that each converter is communicating with its adjacent converters. With the proposed control, the weight of the communication channel being attacked is automatically reduced, and the more the communicating signals are falsified, the further the weight of that communication channel is weakened. The proposed approach does not rely on attack detection and thereby is easy to implement; Besides, it still works when challenged by a combination of multi-attack signals; Moreover, it applies to multiple communication lines getting attacked cases. Finally, the effectiveness and feasibility of the proposed resilient control scheme are validated by both simulations and experimental results.","AC micro-grid; adaptive control; Communication networks; cyber-attack; Cyberattack; distributed control; Frequency control; Microgrids; Power system stability; Resilience; Voltage control","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-04","","","DC systems, Energy conversion & Storage","","",""
"uuid:5e3140a9-f6e0-4247-b706-9442fcde54ff","http://resolver.tudelft.nl/uuid:5e3140a9-f6e0-4247-b706-9442fcde54ff","Spatiotemporal operando UV–vis spectroscopy: Development and mechanistic alternation of CO oxidation on Pt/Al2O3 on the reactor scale","van Beek, L.E. (TU Delft ChemE/Catalysis Engineering; Université Grenoble Alpes); Jain, D. (TU Delft ChemE/Catalysis Engineering); Gholkar, P.V. (TU Delft ChemE/Catalysis Engineering); Eldridge, T.J. (TU Delft ChemE/Catalysis Engineering); Nguyen, H.P. (Toyota Motor Europe); Muramoto, Kei (Toyota Motor Europe); Urakawa, A. (TU Delft ChemE/Catalysis Engineering)","","2024","Operando methodologies are widely used in heterogenous catalysis to understand unique state of catalyst materials emerging under specific reaction conditions and to establish catalyst structure-activity relationships. Recent studies highlight the importance of combining multiple operando techniques (multimodal approach) to gain complementary information as well as looking into chemical and material gradients and spatial variations on the reactor scale. In this work, we developed an operando UV–vis diffuse reflectance spectroscopy (DRS) setup compatible with a common fixed-bed tubular reactor. The design is based on optical calculations, validation experiments and signals considerations. A spatial resolution of 1 mm along the axial direction of the reactor was successfully demonstrated and combined with a time resolution of seconds with good signal to noise. CO oxidation over Pt/Al2O3 was performed as a proof of principle experiment demonstrating the capabilities of the new setup. The information gained by the space-resolved operando UV–vis DRS was combined with other space-resolved operando studies such as diffuse reflectance infrared Fourier transform spectroscopy (DRIFTS), gas sampling and temperature profiling. The study shows that the nature of active sites (Pt redox state) and thus the reaction mechanism alter with reaction temperature and also in space. Spatiotemporal UV–vis DRS is also demonstrated, showing the capability for transient studies with space-resolution.","CO oxidation; Diffuse reflection; operando; Pt/AlO; Space- and time-resolution; UV–vis","en","journal article","","","","","","","","","","","ChemE/Catalysis Engineering","","",""
"uuid:94b2a5b9-d869-4415-a713-ec39427809f0","http://resolver.tudelft.nl/uuid:94b2a5b9-d869-4415-a713-ec39427809f0","Ground-Based Soil Moisture Retrieval Using the Correlation Between Dual-Polarization GNSS-R Interference Patterns","El Hajj, Marcel M. (King Abdullah University of Science and Technology); Steele-Dunne, S.C. (TU Delft Mathematical Geodesy and Positioning); Almashharawi, Samer K. (King Abdullah University of Science and Technology); Tian, X. (TU Delft Geoscience and Remote Sensing; Wageningen University & Research); Johansen, Kasper (King Abdullah University of Science and Technology); Camargo, Omar A.Lopez (King Abdullah University of Science and Technology); Amezaga-Sarries, Adria (Microwave Sensors and Electronics Sl); Mas-Vinolas, Andreu (Microwave Sensors and Electronics Sl); McCabe, Matthew F. (King Abdullah University of Science and Technology)","","2024","Soil moisture (SM) is an important state variable in land surface models. Here, we investigate the potential of a ground-based global navigation satellite system receiver with two linearly polarized antennas that measure the interference power (IP) of direct and reflected signals in horizontal polarization (H-pol) and vertical polarization (V-pol) to estimate SM. The coefficient of determination between the IP waveforms at H-pol and V-pol ( $\boldsymbol {R}_{ \boldsymbol {v}\mathbf {/} \boldsymbol {h}}^{\mathbf {2}}$ ) was used as a predictor of SM. A coherent specular reflection model was employed to first explore the relationship between $\boldsymbol {R}_{ \boldsymbol {v}\mathbf {/} \boldsymbol {h}}^{\mathbf {2}}$ and SM for different values of soil roughness. That relationship was subsequently applied to estimate SM from $\boldsymbol {R}_{ \boldsymbol {v}\mathbf {/} \boldsymbol {h}}^{\mathbf {2}}$ determined from global positioning system (GPS) signals acquired continuously by a ground-based receiver between May and December 2022 for an area with very smooth bare soil. The results show that the proposed method can estimate the SM of the upper 10-cm layer with high accuracy (with a root-mean-square error (RMSE) of approximately 1.5 vol.%) and demonstrate the potential of the ground-based IP technique as a practical system solution for proximal remote sensing of SM over bare soils .","Global navigation satellite systems reflectometry (GNSS-R); interference power (IP); soil moisture (SM)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-30","","Geoscience and Remote Sensing","Mathematical Geodesy and Positioning","","",""
"uuid:0fb8c6cf-63ef-41c0-aaf5-9e58b7736479","http://resolver.tudelft.nl/uuid:0fb8c6cf-63ef-41c0-aaf5-9e58b7736479","Understanding influences on entrepreneurship educator role identity","Brush, Candida (Arthur M. Blank Center, Wellesley, Massachusetts); Wraae, Birgitte (UCL University College); Nikou, S. (TU Delft Responsible Marketing and Consumer Behavior; Åbo Akademi University)","","2024","Purpose: Despite the considerable increase in research on entrepreneurship education, few studies examine the role of entrepreneurship educators. Similarly, most frameworks from entrepreneurship education recognize the educator’s importance in facilitating instruction and assessment, but the factors influencing the educator role are not well understood. According to the identity theory, personal factors including self-efficacy, job satisfaction and personal values influence the perspective of self, significance and anticipations that an individual in this role associates with it, determining their planning and actions. The stronger the role identity the more likely entrepreneurship educators will be in effectively developing their entrepreneurial skills as well as the overall learning experience of their students. The objective of this study is to pinpoint the factors that affect entrepreneurial role identity. Design/methodology/approach: Drawing upon the identity theory, this study developed a theoretical framework and carried out an empirical investigation involving a survey of 289 entrepreneurship educators across the globe. Structural equation modeling (SEM) technique was applied to analyze and explore the factors that impact the identity of the educators in their role as entrepreneurship teachers. Findings: The findings show that the role identity of entrepreneurship educators is significantly influenced by their self-efficacy, job satisfaction and personal values. Among these factors, self-efficacy and job satisfaction have the most significant impacts on how educators perceive their role. The implications of these results and directions for future research are also discussed. Originality/value: The novelty of the current study is derived from its conceptualization of the antecedents of role perception among entrepreneurship educators. This study stands out as one of the earliest attempts to investigate the factors that shape an individual’s scene of self and professional identity as an entrepreneurship educator. The significance of comprehending the antecedents of role perception lies in the insights it can offer into how educators undertake and execute their role, and consequently, their effectiveness in teaching entrepreneurship.","Entrepreneurship; entrepreneurship educator; role identity; Role perception; Self-efficacy","en","journal article","","","","","","","","","","","Responsible Marketing and Consumer Behavior","","",""
"uuid:e5890b49-ded3-496e-ae99-f8feea5311dc","http://resolver.tudelft.nl/uuid:e5890b49-ded3-496e-ae99-f8feea5311dc","Enabling Technologies for the Navigation and Communication of UAS Operating in the Context of BVLOS","Politi, Elena (Harokopio University of Athens); Purucker, Patrick (University of Applied Sciences Amberg-Weiden); Larsen, Morten (AnyWi Technologies); Reis, Ricardo J. Dos (Embraer Research and Technology Europe); Rajan, R.T. (TU Delft Signal Processing Systems); Penna, Sergio Duarte (CISTER—Research Centre in Real-Time Embedded Computing Systems); Boer, Jan-Floris (Royal Netherlands Aerospace Centre NLR); Rodosthenous, Panagiotis (Information Technology for Market Leadership); Dimitrakopoulos, George (Harokopio University of Athens); Varlamis, Iraklis (Harokopio University of Athens); Höß, Alfred (University of Applied Sciences Amberg-Weiden)","","2024","Unmanned Aerial Systems (UAS) have rapidly gained attraction in recent years as a promising solution to revolutionize numerous applications and meet the growing demand for efficient and timely delivery services due to their highly automated operation framework. Beyond Visual Line of Sight (BVLOS) operations, in particular, offer new means of delivering added-value services via a wide range of applications. This ""plateau of productivity"" holds enormous promise, but it is challenging to equip the drone with affordable technologies which support the BVLOS use case. To close this gap, this work showcases the convergence of the automotive and aviation industries to advance BVLOS aviation for UAS in a practical setting by studying a combination of Commercial Off-The-Shelf (COTS) technologies and systems. A novel risk-based approach of investigating the key technological components, architectures, algorithms, and protocols is proposed that facilitate highly reliable and autonomous BVLOS operations, aiming to enhance the alignment between market and operational needs and to better identify integration requirements between the different capabilities to be developed.","","en","journal article","","","","","","","","","","","Signal Processing Systems","","",""
"uuid:50eb4eb2-fbfc-4275-8398-3ba54d05c893","http://resolver.tudelft.nl/uuid:50eb4eb2-fbfc-4275-8398-3ba54d05c893","Firn on ice sheets","Amory, Charles (Université Grenoble Alpes); Buizert, Christo (Oregon State University); Buzzard, Sammie (Cardiff University; University of Northumbria); Case, Elizabeth (Columbia University); Clerx, Nicole (University of Fribourg); Culberg, Riley (Cornell University); Datta, Rajashree Tri (University of Colorado); Lhermitte, S.L.M. (TU Delft Mathematical Geodesy and Positioning; Katholieke Universiteit Leuven); Wouters, B. (TU Delft Physical and Space Geodesy)","","2024","Most of the Greenland and Antarctic ice sheets are covered with firn — the transitional material between snow and glacial ice. Firn is vital for understanding ice-sheet mass balance and hydrology, and palaeoclimate. In this Review, we synthesize knowledge of firn, including its formation, observation, modelling and relevance to ice sheets. The refreezing of meltwater in the pore space of firn currently prevents 50% of meltwater in Greenland from running off into the ocean and protects Antarctic ice shelves from catastrophic collapse. Continued atmospheric warming could inhibit future protection against mass loss. For example, warming in Greenland has already contributed to a 5% reduction in firn pore space since 1980. All projections of future firn change suggest that surface meltwater will have an increasing impact on firn, with melt occurring tens to hundreds of kilometres further inland in Greenland, and more extensively on Antarctic ice shelves. Although progress in observation and modelling techniques has led to a well-established understanding of firn, the large uncertainties associated with meltwater percolation processes (refreezing, ice-layer formation and storage) must be reduced further. A tighter integration of modelling components (firn, atmosphere and ice-sheet models) will also be needed to better simulate ice-sheet responses to anthropogenic warming and to quantify future sea-level rise.","","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-23","","","Mathematical Geodesy and Positioning","","",""
"uuid:5e2c89e3-6bc0-49ad-8256-1f564d0c3fbd","http://resolver.tudelft.nl/uuid:5e2c89e3-6bc0-49ad-8256-1f564d0c3fbd","On the effectiveness of Reynolds-averaged and subgrid scale models in predicting flows inside car cabins","Grossi, G. (TU Delft Aerodynamics; University of Cassino and Southern Lazio); Arpino, Fausto (University of Cassino and Southern Lazio); Bertone, M. (TU Delft Aerodynamics; University of Cassino and Southern Lazio); Cortellessa, Gino (University of Cassino and Southern Lazio); Sciacchitano, A. (TU Delft Aerodynamics)","","2024","The aim of the present study is to analyze the performances of unsteady Reynolds-averaged Navier-Stokes (URANS) and large eddy simulation (LES) approaches in predicting the airflow patterns inside car cabins and to give insight in the design of computational fluid dynamics simulations of a real car cabin. For this purpose, one eddy viscosity-based turbulence model (shear stress transport k-ω) and two subgrid scale models (wall-adapting local eddy-viscosity and dynamic kinetic energy) were tested, and numerical results were compared with particle image velocimetry measurements carried out on a commercial car. The URANS model exhibited great accuracy in predicting the mean flow behavior and was appreciably outperformed by the LES models only far from the inlet sections. For this reason, it was deemed suitable for conducting further analyses, aimed at characterizing the airflow patterns in winter and summer conditions and performing a thermal comfort analysis. The thermal regime was found to have a very little effect on the air flow patterns, once the quasi-steady state regime is achieved; in fact, both in winter and in summer, the temperature field is fairly uniform within the car cabin, making the contribution of buoyancy negligible and velocity fields to be very similar in the two seasons. Findings also reveal that thermal comfort sensation can be different for passengers sharing the same car but sitting on different seats; this aspect should be considered when designing and operating the ventilation system, since the minimum comfort requirements should be met for all the occupants.","","en","journal article","","","","","","","","","","","Aerodynamics","","",""
"uuid:c72b1d20-f52d-42ad-91b7-001a78a097c6","http://resolver.tudelft.nl/uuid:c72b1d20-f52d-42ad-91b7-001a78a097c6","Systematic review of machine-learning models in orthopaedic trauma an overview and quality assessment of 45 studies","Dijkstra, H. (University Medical Center Groningen); van de Kuit, A. (University Medical Center Groningen); de Groot, T. (University Medical Center Groningen; Harvard Medical School); Canta, O. (University Medical Center Groningen); Groot, O. Q. (University Medical Center Utrecht); Oosterhoff, J.H.F. (TU Delft Information and Communication Technology); Doornberg, J. N. (University Medical Center Groningen; Flinders University of South Australia)","","2024","Aims Machine-learning (ML) prediction models in orthopaedic trauma hold great promise in assisting clinicians in various tasks, such as personalized risk stratification. However, an overview of current applications and critical appraisal to peer-reviewed guidelines is lacking. The objectives of this study are to 1) provide an overview of current ML prediction models in orthopaedic trauma; 2) evaluate the completeness of reporting following the Transparent Reporting of a multivariable prediction model for Individual Prognosis Or Diagnosis (TRIPOD) statement; and 3) assess the risk of bias following the Prediction model Risk Of Bias Assessment Tool (PROBAST) tool. Methods A systematic search screening 3,252 studies identified 45 ML-based prediction models in orthopaedic trauma up to January 2023. The TRIPOD statement assessed transparent reporting and the PROBAST tool the risk of bias. Results A total of 40 studies reported on training and internal validation; four studies performed both development and external validation, and one study performed only external validation. The most commonly reported outcomes were mortality (33%, 15/45) and length of hospital stay (9%, 4/45), and the majority of prediction models were developed in the hip fracture population (60%, 27/45). The overall median completeness for the TRIPOD statement was 62% (interquartile range 30 to 81%). The overall risk of bias in the PROBAST tool was low in 24% (11/45), high in 69% (31/45), and unclear in 7% (3/45) of the studies. High risk of bias was mainly due to analysis domain concerns including small datasets with low number of outcomes, complete-case analysis in case of missing data, and no reporting of performance measures. Conclusion The results of this study showed that despite a myriad of potential clinically useful applications, a substantial part of ML studies in orthopaedic trauma lack transparent reporting, and are at high risk of bias. These problems must be resolved by following established guidelines to instil confidence in ML models among patients and clinicians. Otherwise, there will remain a sizeable gap between the development of ML prediction models and their clinical application in our day-to-day orthopaedic trauma practice.","","en","review","","","","","","","","","","","Information and Communication Technology","","",""
"uuid:728fb398-9f0e-4752-90ca-304967ca203a","http://resolver.tudelft.nl/uuid:728fb398-9f0e-4752-90ca-304967ca203a","Migration and clinical outcomes of a novel cementless hydroxyapatite-coated titanium acetabular shell: two-year follow-up of a randomized controlled trial using radiostereometric analysis","van der Lelij, Thies J. N. (Leiden University Medical Center); Marang-van de Mheen, P.J. (TU Delft Safety and Security Science; Leiden University Medical Center); Kaptein, Bart L. (Leiden University Medical Center); Koster, Lennard A. (Leiden University Medical Center); Ljung, Peter (Hässleholm Hospital); Nelissen, Rob G. H. H. (Leiden University Medical Center); Toksvig-Larsen, Sören (Hässleholm Hospital; Lund University)","","2024","Aims The objective of this study was to compare the two-year migration and clinical outcomes of a new cementless hydroxyapatite (HA)-coated titanium acetabular shell with its previous version, which shared the same geometrical design but a different manufacturing process for applying the titanium surface. Methods Overall, 87 patients undergoing total hip arthroplasty (THA) were randomized to either a Trident II HA or Trident HA shell, each cementless with clusterholes and HA-coating. All components were used in combination with a cemented Exeter V40 femoral stem. Implant migration was measured using radiostereometric analysis (RSA), with radiographs taken within two days of surgery (baseline), and at three, 12, and 24 months postoperatively. Proximal acetabular component migration was the primary outcome measure. Clinical scores and patient-reported outcome measures (PROMs) were collected at each follow-up. Results Mean proximal migrations at three, 12, and 24 months were 0.08 mm (95% confidence interval (CI) 0.03 to 0.14), 0.11 mm (95% CI 0.06 to 0.16), and 0.14 mm (95% CI 0.09 to 0.20), respectively, in the Trident II HA group, versus 0.11 mm (95% CI 0.06 to 0.16), 0.12 mm (95% CI 0.07 to 0.17), and 0.14 mm (95% CI 0.09 to 0.19) in the Trident HA group (p = 0.875). No significant differences in translations or rotations between the two designs were found in any other direction. Clinical scores and PROMs were comparable between groups, except for an initially greater postoperative improvement in Hip disability and Osteoarthritis Outcome Symptoms score in the Trident HA group (p = 0.033). Conclusion The Trident II clusterhole HA shell has comparable migration with its predecessor, the Trident hemispherical HA cluster shell, suggesting a similar risk of long-term aseptic loosening. Cite this article: Bone Joint J 2024;106-B(2):136–143.","Radiostereometric analysis; Total hip arthroplasty; Acetabular cup; Aseptic loosening; Migration; Clinical outcome; acetabular shells; hydroxyapatite; titanium; Randomized controlled trial","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-01","","","Safety and Security Science","","",""
"uuid:a019c126-b976-4dff-95f1-65f1ec6560ab","http://resolver.tudelft.nl/uuid:a019c126-b976-4dff-95f1-65f1ec6560ab","Guest Editorial: Advances in AI-assisted radar sensing applications","Vishwakarma, Shelly (University of Southampton); Chetty, Kevin (University College London (UCL)); Le Kernec, Julien (University of Glasgow); Chen, Qingchao (Peking University); Adve, Raviraj (University of Toronto); Gurbuz, Sevgi Zubeyde (University of Alabama); Li, Wenda (Heriot-Watt University); Ram, Shobha Sundar (Indraprastha Institute of Information Technology Delhi (IIIT-Delhi)); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems)","","2024","","artificial intelligence; convolutional neural nets; radar signal processing; radar target recognition","en","contribution to periodical","","","","","","","","","","","Microwave Sensing, Signals & Systems","","",""
"uuid:807811a2-faf5-4520-ab84-6c383a7a45af","http://resolver.tudelft.nl/uuid:807811a2-faf5-4520-ab84-6c383a7a45af","Review of Integrated Chassis Control Techniques for Automated Ground Vehicles","Skrickij, Viktor (Vilnius Gediminas Technical University); Kojis, Paulius (Vilnius Gediminas Technical University); Šabanovič, Eldar (Vilnius Gediminas Technical University); Shyrokau, B. (TU Delft Intelligent Vehicles); Ivanov, Valentin (Ilmenau University of Technology)","","2024","Integrated chassis control systems represent a significant advancement in the dynamics of ground vehicles, aimed at enhancing overall performance, comfort, handling, and stability. As vehicles transition from internal combustion to electric platforms, integrated chassis control systems have evolved to meet the demands of electrification and automation. This paper analyses the overall control structure of automated vehicles with integrated chassis control systems. Integration of longitudinal, lateral, and vertical systems presents complexities due to the overlapping control regions of various subsystems. The presented methodology includes a comprehensive examination of state-of-the-art technologies, focusing on algorithms to manage control actions and prevent interference between subsystems. The results underscore the importance of control allocation to exploit the additional degrees of freedom offered by over-actuated systems. This paper systematically overviews the various control methods applied in integrated chassis control and path tracking. This includes a detailed examination of perception and decision-making, parameter estimation techniques, reference generation strategies, and the hierarchy of controllers, encompassing high-level, middle-level, and low-level control components. By offering this systematic overview, this paper aims to facilitate a deeper understanding of the diverse control methods employed in automated driving with integrated chassis control, providing insights into their applications, strengths, and limitations.","automated driving; electric vehicles; integrated chassis control; vehicle dynamics; vehicle state estimation; control allocation; sensors","en","review","","","","","","","","","","","Intelligent Vehicles","","",""
"uuid:e3cf26d1-86b2-4e2b-9c82-412386b37f6b","http://resolver.tudelft.nl/uuid:e3cf26d1-86b2-4e2b-9c82-412386b37f6b","Drivers for optimum sizing of wind turbines for offshore wind farms","Mehta, M.K. (TU Delft Wind Energy); Zaaijer, M B (TU Delft Wind Energy); von Terzi, D.A. (TU Delft Wind Energy)","","2024","Large-scale exploitation of offshore wind energy is deemed essential to provide its expected share to electricity needs of the future. To achieve the same, turbine and farm-level optimizations play a significant role. Over the past few years, the growth in the size of turbines has massively contributed to the reduction in costs. However, growing turbine sizes come with challenges in rotor design, turbine installation, supply chain, etc. It is, therefore, important to understand how to size wind turbines when minimizing the levelized cost of electricity (LCoE) of an offshore wind farm. Hence, this study looks at how the rated power and rotor diameter of a turbine affect various turbine and farm-level metrics and uses this information in order to identify the key design drivers and how their impact changes with setup. A multi-disciplinary design optimization and analysis (MDAO) framework is used to perform the analysis. The framework uses low-fidelity models that capture the core dependencies of the outputs on the design variables while also including the trade-offs between various disciplines of the offshore wind farm. The framework is used, not to estimate the LCoE or the optimum turbine size accurately, but to provide insights into various design drivers and trends. A baseline case, for a typical setup in the North Sea, is defined where LCoE is minimized for a given farm power and area constraint with the International Energy Agency 15 MW reference turbine as a starting point. It is found that the global optimum design, for this baseline case, is a turbine with a rated power of 16 MW and a rotor diameter of 236 m. This is already close to the state-of-the-art designs observed in the industry and close enough to the starting design to justify the applied scaling. A sensitivity study is also performed that identifies the design drivers and quantifies the impact of model uncertainties, technology/cost developments, varying farm design conditions, and different farm constraints on the optimum turbine design. To give an example, certain scenarios, like a change in the wind regime or the removal of farm power constraint, result in a significant shift in the scale of the optimum design and/or the specific power of the optimum design. Redesigning the turbine for these scenarios is found to result in an LCoE benefit of the order of 1 %–2 % over the already optimized baseline. The work presented shows how a simplified approach can be applied to a complex turbine sizing problem, which can also be extended to metrics beyond LCoE. It also gives insights into designers, project developers, and policy makers as to how their decision may impact the optimum turbine scale.","","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:6684d85d-708e-4459-abc8-4b0d488f2581","http://resolver.tudelft.nl/uuid:6684d85d-708e-4459-abc8-4b0d488f2581","Posterolateral or Direct Lateral Surgical Approach for Hemiarthroplasty After a Hip Fracture: A Randomized Clinical Trial Alongside a Natural Experiment","Tol, Maria C.J.M. (Onze Lieve Vrouwe Gasthuis); Willigenburg, Nienke W. (Onze Lieve Vrouwe Gasthuis); Willems, Hanna C. (Amsterdam UMC); Gosens, Taco (ETZ Tilburg; Tilburg University); Heetveld, Martin J. (Spaarne Gasthuis); Eggen, B. (TU Delft Statistics); Kormos, M. (TU Delft Statistics); van der Pas, Stéphanie L. (Vrije Universiteit Amsterdam; Amsterdam Public Health); van der Vaart, A.W. (TU Delft Statistics)","","2024","Importance: Hip fractures in older adults are serious injuries that result in disability, higher rates of illness and death, and a substantial strain on health care resources. High-quality evidence to improve hip fracture care regarding the surgical approach of hemiarthroplasty is lacking. Objective: To compare 6-month outcomes of the posterolateral approach (PLA) and direct lateral approach (DLA) for hemiarthroplasty in patients with acute femoral neck fracture. Design, Setting, and Participants: This multicenter, randomized clinical trial (RCT) comparing DLA and PLA was performed alongside a natural experiment (NE) at 14 centers in the Netherlands. Patients aged 18 years or older with an acute femoral neck fracture were included, with or without dementia. Secondary surgery of the hip, pathological fractures, or patients with multitrauma were excluded. Recruitment took place between February 2018 and January 2022. Treatment allocation was random or pseudorandom based on geographical location and surgeon preference. Statistical analysis was performed from July 2022 to September 2022. Exposure: Hemiarthroplasty using PLA or DLA. Main Outcome and Measures: The primary outcome was health-related quality of life 6 months after surgery, quantified with the EuroQol Group 5-Dimension questionnaire (EQ-5D-5L). Secondary outcomes included dislocations, fear of falling and falls, activities of daily living, pain, and reoperations. To improve generalizability, a novel technique was used for data fusion of the RCT and NE. Results: A total of 843 patients (542 [64.3%] female; mean [SD] age, 82.2 [7.5] years) participated, with 555 patients in the RCT (283 patients in the DLA group; 272 patients in the PLA group) and 288 patients in the NE (172 patients in the DLA group; 116 patients in the PLA group). In the RCT, mean EQ-5D-5L utility scores at 6 months were 0.50 (95% CI, 0.45-0.55) after DLA and 0.49 (95% CI, 0.44-0.54) after PLA, with 77% completeness. The between-group difference (-0.04 [95% CI, -0.11 to 0.04]) was not statistically significant nor clinically meaningful. Most secondary outcomes were comparable between groups, but PLA was associated with more dislocations than DLA (RCT: 15 of 272 patients [5.5%] in PLA vs 1 of 283 patients [0.4%] in DLA; NE: 6 of 113 patients [5.3%]) in PLA vs 2 of 175 patients [1.1%] in DLA). Data fusion resulted in an effect size of 0.00 (95% CI, -0.04 to 0.05) for the EQ-5D-5L and an odds ratio of 12.31 (95% CI, 2.77 to 54.70) for experiencing a dislocation after PLA. Conclusions and Relevance: This combined RCT and NE found that among patients treated with a cemented hemiarthroplasty after an acute femoral neck fracture, PLA was not associated with a better quality of life than DLA. Rates of dislocation and reoperation were higher after PLA. Randomized and pseudorandomized data yielded similar outcomes, which suggests a strengthening of these findings. Trial Registration: ClinicalTrials.gov Identifier: NCT04438226.","","en","journal article","","","","","","","","","","","Statistics","","",""
"uuid:548f421a-572e-4a0e-8f52-624e87b40d52","http://resolver.tudelft.nl/uuid:548f421a-572e-4a0e-8f52-624e87b40d52","Modeling of inflicted head injury by shaking trauma in children: what can we learn?: Update to parts I&II: A systematic review of animal, mathematical and physical models","Hutchinson, K. (TU Delft Medical Instruments & Bio-Inspired Technology); van Zandwijk, Jan Peter (Netherlands Forensic Institute - NFI); Vester, Marloes E.M. (Care Needs Assessment Centre CIZ); Seth, A. (TU Delft Biomechatronics & Human-Machine Control); Bilo, Rob A.C. (Veilig Thuis Rotterdam Rijnmond); van Rijn, Rick R. (Netherlands Forensic Institute - NFI; Amsterdam UMC); Loeve, A.J. (TU Delft Medical Instruments & Bio-Inspired Technology)","","2024","Inflicted shaking trauma can cause injury in infants, but exact injury mechanisms remain unclear. Controversy exists, particularly in courts, whether additional causes such as impact are required to produce injuries found in cases of (suspected) shaking. Publication rates of studies on animal and biomechanical models of inflicted head injury by shaking trauma (IHI-ST) in infants continue rising. Dissention on the topic, combined with its legal relevance, makes maintaining an up-to-date, clear and accessible overview of the current knowledge-base on IHI-ST essential. The current work reviews recent (2017–2023) studies using models of IHI-ST, serving as an update to two previously published reviews. A systematic review was conducted in Scopus and PubMed for articles using animal, physical and mathematical models for IHI-ST. Using the PRISMA methodology, two researchers independently screened the publications. Two, five, and ten publications were included on animal, physical, and mathematical models of IHI-ST, respectively. Both animal model studies used rodents. It is unknown to what degree these can accurately represent IHI-ST. Physical models were used mostly to investigate gross head-kinematics during shaking. Most mathematical models were used to study local effects on the eye and the head’s internal structures. All injury thresholds and material properties used were based on scaled adult or animal data. Shaking motions used as inputs for animal, physical and mathematical models were mostly greatly simplified. Future research should focus on using more accurate shaking inputs for models, and on developing or and validating accurate injury thresholds applicable for shaking.","Animal models; Biomechanical models; Child abuse; Closed head injuries; Forensic pathology","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-18","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:e661bc93-dba4-4b65-a1bf-c9ae79081bec","http://resolver.tudelft.nl/uuid:e661bc93-dba4-4b65-a1bf-c9ae79081bec","The lasting impact of formation cycling on the Li-ion kinetics between SEI and the Li-metal anode and its correlation with efficiency","Zhang, S. (TU Delft RST/Storage of Electrochemical Energy); Li, Yuhang (Tsinghua University); Bannenberg, L.J. (TU Delft RID/TS/Instrumenten groep); Liu, Ming (Tsinghua University); Ganapathy, S. (TU Delft RID/TS/Instrumenten groep); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy)","","2024","Formation cycling is a critical process aimed at improving the performance of lithium ion (Li-ion) batteries during subsequent use. Achieving highly reversible Li-metal anodes, which would boost battery energy density, is a formidable challenge. Here, formation cycling and its impact on the subsequent cycling are largely unexplored. Through solid-state nuclear magnetic resonance (ssNMR) spectroscopy experiments, we reveal the critical role of the Li-ion diffusion dynamics between the electrodeposited Li-metal (ED-Li) and the as-formed solid electrolyte interphase (SEI). The most stable cycling performance is realized after formation cycling at a relatively high current density, causing an optimum in Li-ion diffusion over the Li-metal-SEI interface. We can relate this to a specific balance in the SEI chemistry, explaining the lasting impact of formation cycling. Thereby, this work highlights the importance and opportunities of regulating initial electrochemical conditions for improving the stability and life cycle of lithium metal batteries.","","en","journal article","","","","","","","","","","","RST/Storage of Electrochemical Energy","","",""
"uuid:b0b4b511-818e-4b6e-b7d7-62b4c1ae586f","http://resolver.tudelft.nl/uuid:b0b4b511-818e-4b6e-b7d7-62b4c1ae586f","Computationally Aware Surrogate Models for the Hydrodynamic Response Characterization of Floating Spar-Type Offshore Wind Turbine","Ilardi, Davide (University of Genova); Kalikatzarakis, Miltiadis (University of Strathclyde); Oneto, Luca (University of Genova); Collu, Maurizio (University of Strathclyde); Coraddu, A. (TU Delft Ship Design, Production and Operations)","","2024","Due to increasing environmental concerns and global energy demand, the development of Floating Offshore Wind Turbines (FOWTs) is on the rise. FOWTs offer a promising solution to expand wind farm deployment into deeper waters with abundant wind resources. However, their harsh operating conditions and lower maturity level compared to fixed structures pose significant engineering challenges, notably in the design phase. A critical challenge is the time-consuming hydromechanics analysis traditionally done using computationally intensive Computational Fluid Dynamics (CFD) models. In this study, we introduce Artificial Intelligence-based surrogate models using state-of-the-art Machine Learning algorithms. These surrogate models achieve CFD-level accuracy (within 3% difference) while dramatically reducing computational requirements from minutes to milliseconds. Specifically, we build a surrogate model for characterizing the hydrodynamic response of a floating spar-type offshore wind turbine (including added mass, radiation damping matrices, and hydrodynamic excitation) using computationally efficient shallow Machine Learning models, optimizing the trade-off between computational efficiency and accuracy, based on data generated by a cutting-edge potential-flow code.","accuracy; computational fluid dynamics; computational requirements; Floating offshore wind turbines; hydrodynamic response; machine learning; surrogate models","en","journal article","","","","","","","","","","","Ship Design, Production and Operations","","",""
"uuid:420f7566-25ca-42e0-879d-c371a7f873d9","http://resolver.tudelft.nl/uuid:420f7566-25ca-42e0-879d-c371a7f873d9","Preface: SiliconPV 2023, the 13th international conference on crystalline silicon photovoltaics","Weeber, A.W. (TU Delft Photovoltaic Materials and Devices)","","2024","","","en","contribution to periodical","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-24","","","Photovoltaic Materials and Devices","","",""
"uuid:a4e1a4d4-0a31-43c4-9f94-a78eeac07061","http://resolver.tudelft.nl/uuid:a4e1a4d4-0a31-43c4-9f94-a78eeac07061","An adaptive parallel arc-length method","Verhelst, H.M. (TU Delft Ship and Offshore Structures; TU Delft Numerical Analysis); den Besten, J.H. (TU Delft Ship and Offshore Structures); Möller, M. (TU Delft Numerical Analysis)","","2024","Parallel computing is omnipresent in today's scientific computer landscape, starting at multicore processors in desktop computers up to massively parallel clusters. While domain decomposition methods have a long tradition in computational mechanics to decompose spatial problems into multiple subproblems that can be solved in parallel, advancing solution schemes for dynamics or quasi-statics are inherently serial processes. For quasi-static simulations, however, there is no accumulating ‘time’ discretization error, hence an alternative approach is required. In this paper, we present an Adaptive Parallel Arc-Length Method (APALM). By using a domain parametrization of the arc-length instead of time, the multi-level error for the arc-length parametrization is formed by the load parameter and the solution norm. Given coarse approximations of arc-length intervals, finer corrections enable the parallelization of the presented method. This results in an arc-length method that is parallel within a branch and inherently adaptive. This concept is easily extended for bifurcation problems. The performance of the method is demonstrated using isogeometric Kirchhoff-Love shells on problems with snap-through and pitch-fork instabilities and applied to the problem of a snapping meta-material. These results show that parallel corrections are performed in a fraction of the time of the serial initialization, achievable on desktop scale.","Arc-length methods; Parallelisation; Isogeometric analysis; Kirchhoff-Love shell; Post-buckling","en","journal article","","","","","","","","","","","Ship and Offshore Structures","","",""
"uuid:78cc3c05-d711-41e9-8b0e-f791b5c1cb4b","http://resolver.tudelft.nl/uuid:78cc3c05-d711-41e9-8b0e-f791b5c1cb4b","How predictable are macroscopic traffic states: a perspective of uncertainty quantification","Li, G. (TU Delft Transport and Planning); Knoop, V.L. (TU Delft Transport and Planning); van Lint, J.W.C. (TU Delft Transport and Planning)","","2024","Traffic condition forecasting is fundamental for Intelligent Transportation Systems. Besides accuracy, many services require an estimate of uncertainty for each prediction. Uncertainty quantification must consider the inherent randomness in traffic dynamics, the so-called aleatoric uncertainty, and the additional distrust caused by data shortage, the so-called epistemic uncertainty. They together depict how predictable macroscopic traffic is. This study uses deep ensembles of graph neural networks to estimate both types of uncertainty in network-level speed forecasting. Experimental results given by the used model reveal that, although rare congestion patterns arise randomly, the short-term predictability of traffic states is mainly restricted by the irreducible stochasticity in traffic dynamics. The predicted future state bifurcates into congested or free-flowing cases. This study suggests that the potential for improving prediction models through expanding speed and flow data is limited while diversifying data types is crucial.","traffic forecasting; uncertainty quantification; traffic dynamics; predictability","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:151efe59-e26c-4eac-aa83-16a67930ae6e","http://resolver.tudelft.nl/uuid:151efe59-e26c-4eac-aa83-16a67930ae6e","On string vibrations influenced by a smooth obstacle at one of the endpoints","Ihsan, A.F. (Telkom University); van Horssen, W.T. (TU Delft Mathematical Physics); Tuwankotta, J.M. (Institute of Technology Bandung)","","2024","In this paper, the vibrations of a string are considered. At one end of the string, a smooth obstacle is placed and the other end of the string is attached to a fixed point. The contact between the string and the obstacle varies in time, and leads to a linear, moving boundary value problem for the string vibrations. By applying a boundary fixing transformation, the problem is transformed from a linear problem with a moving boundary, to a nonlinear problem with fixed boundaries. It is assumed that the vibrations around the stationary position of the string are small. Explicit approximations of the solution are obtained by using a multiple time-scales perturbation method. Depending on the parameters in the problem, it turns out that three different cases for the obstacle boundary condition have to be considered, that is, Dirichlet, or Neumann, or Robin type of boundary conditions. To avoid an infinite-dimensional system of ordinary differential equations that occurs in the analysis of the modal interactions of the string vibrations, characteristic coordinates are used together with a multiple time-scales approach to analyze the string dynamics in terms of traveling waves in opposite directions. A comparison between a direct numerical integration of the PDE problem and the results obtained by using the aforementioned perturbation approach shows an excellent agreement in the results.","Characteristic coordinates; Modal interactions; Moving boundary problem; Multiple time-scales perturbation method","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-27","","","Mathematical Physics","","",""
"uuid:96fe8373-414d-4e23-854b-9d4b04e30a0e","http://resolver.tudelft.nl/uuid:96fe8373-414d-4e23-854b-9d4b04e30a0e","Applying a logistics cluster typology in spatial planning for circularity: Lessons from a Dutch policy lab","Nefs, Merten (TU Delft Spatial Planning and Strategy)","","2024","The spatial planning of logistics is an emerging topic due to scarcity of land, environmental impacts and the transition to a circular economy. This paper proposes a policy information tool for these issues, including a new logistics cluster typology applied in suitability maps. The validity and applicability of this tool are tested in a Dutch policy lab. The analysis reveals two stakeholder views: one emphasising an informed multilevel dialogue and the other pointing to local freedom of decision making. Applicability can be improved by training, updating and deciding on a clear status of the tool in the policy process.","logistics typology; spatial planning; circular economy; policy tool; policy lab","en","journal article","","","","","","","","","","","Spatial Planning and Strategy","","",""
"uuid:28141475-92bf-45e9-b27c-17dd56021692","http://resolver.tudelft.nl/uuid:28141475-92bf-45e9-b27c-17dd56021692","CFD analysis of the full-scale resistance of an oil tanker in presence of a mud–water interface","Lovato, S. (Maritime Research Institute Netherlands (MARIN)); Toxopeus, S.L. (Maritime Research Institute Netherlands (MARIN)); Settels, J.W. (Maritime Research Institute Netherlands (MARIN)); Keetels, G.H. (TU Delft Offshore and Dredging Engineering); Kirichek, Alex (TU Delft Rivers, Ports, Waterways and Dredging Engineering)","","2024","The presence of mud layers on the bottom of ports and waterways can have negative effects on the hydrodynamic behaviour of marine vessels. This numerical study investigates the effect of muddy seabeds on the full-scale resistance of an oil tanker sailing straight ahead. The objective is to determine the influence of factors such as the densimetric Froude number, UKC and mud rheology at speeds between 3 and 9 knots. The numerical study is conducted using a finite-volume Reynolds-Averaged Navier–Stokes (RANS) flow solver combined with the Volume-Of-Fluid (VOF) method to capture the mud–water interface. At certain critical speeds, the presence of mud increased the ship’s total resistance by up to 15 times compared to the case with solid bottoms. The non-Newtonian rheology of mud was found to influence the ship’s resistance mainly at low speeds and when sailing through the mud layer. This article also shows that, when sailing through mud, the computed resistance at high speeds may be underestimated because of two effects, namely ‘water lubrication’ and ‘numerical ventilation’.","Shallow water; CFD; KVLCC2; Nautical bottom; Mud rheology","en","journal article","","","","","","","","","","","Offshore and Dredging Engineering","","",""
"uuid:575bc204-eebf-47ba-8bb8-15da7edd3f91","http://resolver.tudelft.nl/uuid:575bc204-eebf-47ba-8bb8-15da7edd3f91","A nonlinear repair technique for the MPFA-D scheme in single-phase flow problems and heterogeneous and anisotropic media","Castiel Reis de Souza, A. (TU Delft Numerical Analysis); Elisiário de Carvalho, Darlan Karlo (Universidade Federal de Pernambuco); de Moura Cavalcante, Túlio (Universidade Federal de Pernambuco); Licapa Contreras, Fernando Raul (Universidade Federal de Pernambuco); Edwards, Michael G. (Swansea University); Lyra, Paulo Roberto Maciel (Universidade Federal de Pernambuco)","","2024","A novel Flux Limited Splitting (FLS) non-linear Finite Volume (FV) method for families of linear Control Volume Distributed Multi Point Flux Approximation (CVD-MPFA) schemes is presented. The new formulation imposes a local discrete maximum principal (LDMP) which ensures that the discrete solution is free of spurious oscillations. The FLS scheme can be seen as a natural extension of the M-Matrix Flux Splitting method that splits the MPFA flux components in terms of the Two-Point Flux Approximation (TPFA) flux and Cross Diffusion Terms (CDT), with the addition of a dynamically computed relaxation parameter to the CDT that identifies and locally corrects the regions where the LDMP is violated. Moreover, the whole non-linear procedure was devised as a series of simple straightforward matrix operations. The methodology is presented considering the Multi-Point Flux Approximation with a Diamond (MPFA-D) in what we call the FLS + MPFA-D formulation which is tested using a series of challenging benchmark problems. For all test cases, the FLS repair technique imposes the LDMP and eliminates the spurious oscillations induced by the original MPFA-D method.","Flux Limited Splitting (FLS); Non-linear Repair Technique; Discrete Maximum Principle (DMP); Heterogeneous and Anisotropic media; Unstructured Meshes","en","journal article","","","","","","","","","","","Numerical Analysis","","",""
"uuid:f46910a1-4031-4927-a5e2-84fb0dd72e3b","http://resolver.tudelft.nl/uuid:f46910a1-4031-4927-a5e2-84fb0dd72e3b","Surface gravity wave-induced drift of floating objects in the diffraction regime","Xiao, Q. (University of Oxford); Calvert, R. (TU Delft Environmental Fluid Mechanics; University of Edinburgh); Yan, S.Q. (University of London); Adcock, T.A.A. (University of Oxford); van den Bremer, T.S. (TU Delft Environmental Fluid Mechanics; University of Oxford)","","2024","Floating objects will drift due to the action of surface gravity waves. This drift will depart from that of a perfect Lagrangian tracer due to both viscous effects (non-potential flow) and wave–body interaction (potential flow). We examine the drift of freely floating objects in regular (non-breaking) deep-water wave fields for object sizes that are large enough to cause significant diffraction. Systematic numerical simulations are performed using a hybrid numerical solver, qaleFOAM, which deals with both viscosity and wave–body interaction. For very small objects, the model predicts a wave-induced drift equal to the Stokes drift. For larger objects, the drift is generally greater and increases with object size (we examine object sizes up to 10% of the wavelength). The effects of different shapes, sizes and submergence depths and steepnesses are examined. Furthermore, we derive a ‘diffraction-modified Stokes drift’ akin to Stokes (Trans. Camb. Phil. Soc., vol. 8, 1847, pp. 411–455), but based on the combination of incident, diffracted and radiated wave fields, which are based on potential-flow theory and obtained using the boundary element method. This diffraction-modified Stokes drift explains both qualitatively and quantitatively the increase in drift. Generally, round objects do not diffract the wave field significantly and do not experience a significant drift enhancement as a result. For box-shape objects, drift enhancement is greater for larger objects with greater submergence depths (we report an increase of 92% for simulations without viscosity and 113% with viscosity for a round-cornered box whose size is 10% of the wavelength). We identify the specific standing wave pattern that arises near the object because of diffraction as the main cause of the enhanced drift. Viscosity plays a small positive role in the enhanced drift behaviour of large objects, increasing the drift further by approximately 20%.","wave-structure interactions","en","journal article","","","","","","","","","","","Environmental Fluid Mechanics","","",""
"uuid:03accef9-d307-4fcc-b7a3-54db837cb4df","http://resolver.tudelft.nl/uuid:03accef9-d307-4fcc-b7a3-54db837cb4df","Contributing to health system resilience during pandemics via purchasing and supply strategies: an exploratory system dynamics approach","Götz, Paula (Student TU Delft); Auping, Willem L. (TU Delft Policy Analysis); Hinrichs-Krapels, S. (TU Delft Policy Analysis)","","2024","Background: Health systems worldwide struggled to obtain sufficient personal protective equipment (PPE) and ventilators during the COVID-19 pandemic due to global supply chain disruptions. Our study’s aim was to create a proof-of-concept model that would simulate the effects of supply strategies under various scenarios, to ultimately help decision-makers decide on alternative supply strategies for future similar health system related crises. Methods: We developed a system dynamics model that linked a disease transmission model structure (susceptible, exposed, infectious, recovered (SEIR)) with a model for the availability of critical supplies in hospitals; thereby connecting care demand (patients’ critical care in hospitals), with care supply (available critical equipment and supplies). To inform the model structure, we used data on critical decisions and events taking place surrounding purchase, supply, and availability of PPE and ventilators during the first phase of the COVID-19 pandemic within the English national health system. We used exploratory modelling and analysis to assess the effects of uncertainties on different supply strategies in the English health system under different scenarios. Strategies analysed were: (i) purchasing from the world market or (ii) through direct tender, (iii) stockpiling, (iv) domestic production, (v) supporting innovative supply strategies, or (vi) loaning ventilators from the private sector. Results: We found through our exploratory analysis that a long-lasting shortage in PPE and ventilators is likely to be apparent in various scenarios. When considering the worst-case scenario, our proof-of-concept model shows that purchasing PPE and ventilators from the world market or through direct tender have the greatest influence on reducing supply shortages, compared to producing domestically or through supporting innovative supply strategies. However, these supply strategies are affected most by delays in their shipment time or set-up. Conclusion: We demonstrated that using a system dynamics and exploratory modelling approach can be helpful in identifying the purchasing and supply chain strategies that contribute to the preparedness and responsiveness of health systems during crises. Our results suggest that to improve health systems’ resilience during pandemics or similar resource-constrained situations, purchasing and supply chain decision-makers can develop crisis frameworks that propose a plan of action and consequently accelerate and improve procurement processes and other governance processes during health-related crises; implement diverse supplier frameworks; and (re)consider stockpiling. This proof-of-concept model demonstrates the importance of including critical supply chain strategies as part of the preparedness and response activities to contribute to health system resilience.","Critical medical supply chains; Exploratory modelling and analysis; Health system preparedness; Health system resilience; Health system responsiveness; Personal protective equipment (PPE); System dynamics","en","journal article","","","","","","","","","","","Policy Analysis","","",""
"uuid:7e9668b7-e295-4802-b659-328491e357aa","http://resolver.tudelft.nl/uuid:7e9668b7-e295-4802-b659-328491e357aa","Regulatory incentives for transmission system operators under flow-based market coupling","Kenis, Michiel (Katholieke Universiteit Leuven; Flemish Institute for Technological Research; EnergyVille); Bruninx, K. (TU Delft Energie and Industrie; Katholieke Universiteit Leuven); Delarue, Erik (Katholieke Universiteit Leuven; EnergyVille)","","2024","Flow-based market coupling is a critical element of the electricity market in Europe. Transmission System Operators determine the commercial transmission capacity that can be implicitly traded in a zonal day-ahead market. However, this entails a trade-off: higher commercial transmission capacities increase market efficiency, affecting the electricity market prices, but also increase redispatch costs, affecting the network tariff. The decision on the commercial transmission capacity should optimally balance day-ahead welfare and redispatch costs, but depends on the rules and regulated incentives enforced on the TSOs. A MinRAM criterion, i.e., imposing minima for the commercial transmission capacity, is a one-size-fits-all policy without variation in time and space that unlikely leads to optimal transmission capacity allocation and is hard to tune because regulators have incomplete information. Incentive regulation is an alternative policy instrument promoting welfare-maximizing commercial transmission capacities, robust against information asymmetry. We provide a set of mathematical conditions to properly design an incentive scheme that rewards price convergence and penalizes excessive redispatch costs. Therefore, this paper serves as a stepping stone towards tapping the full potential of cross-border trade in zonal markets for policymakers, regulators, TSOs and market participants.","Congestion management; Day-ahead markets; Flow-based market coupling; Incentive regulation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-13","","","Energie and Industrie","","",""
"uuid:a38ea3ca-fd25-49ed-9988-948c08cfb6fc","http://resolver.tudelft.nl/uuid:a38ea3ca-fd25-49ed-9988-948c08cfb6fc","The Scientific Graphic Organizer for Lab Work","Pols, C.F.J. (TU Delft ImPhys/Docenten)","","2024","","","en","journal article","","","","","","","","","","","ImPhys/Docenten","","",""
"uuid:adc79ce6-2035-4804-91a0-087573bf3f8b","http://resolver.tudelft.nl/uuid:adc79ce6-2035-4804-91a0-087573bf3f8b","Wafer-scale uniformity of Dolan-bridge and bridgeless Manhattan-style Josephson junctions for superconducting quantum processors","Muthusubramanian, N. (TU Delft QN/Kavli Nanolab Delft); Finkel, M. (TU Delft QCD/DiCarlo Lab); Duivestein, W.J. (TU Delft QN/Groeblacher Lab); Zachariadis, C. (TU Delft QN/Kavli Nanolab Delft); van der Meer, S.L.M. (TU Delft QCD/DiCarlo Lab); Veen, H.M. (TU Delft QCD/DiCarlo Lab); Beekman, M.C. (TU Delft BUS/TNO STAFF; TNO); Stavenga, T. (TU Delft QCD/DiCarlo Lab); Bruno, A. (TU Delft QN/Kavli Nanolab Delft); DiCarlo, L. (TU Delft QCD/DiCarlo Lab; TU Delft QN/DiCarlo Lab)","","2024","We investigate die-level and wafer-scale uniformity of Dolan-bridge and bridgeless Manhattan-style Josephson junctions, using multiple substrates with and without through-silicon vias (TSVs). Dolan junctions fabricated on planar substrates have the highest yield and lowest room-temperature conductance spread, equivalent to ∼ 100 M H z in transmon frequency. In TSV-integrated substrates, Dolan junctions suffer most in both yield and disorder, making Manhattan junctions preferable. Manhattan junctions show pronounced conductance decrease from wafer center to edge, which we qualitatively capture using a geometric model of spatially-dependent resist shadowing during junction electrode evaporation. Analysis of actual junction overlap areas using scanning electron micrographs supports the model, and further points to a remnant spatial dependence possibly due to contact resistance.","transmon; scalability; through-silicon vias; frequency targeting; Dolan-bridge junction; Manhattan-style junction","en","journal article","","","","","","","","","","","QN/Kavli Nanolab Delft","","",""
"uuid:f8ba8bd4-2b0f-499a-89af-0b667a70ac78","http://resolver.tudelft.nl/uuid:f8ba8bd4-2b0f-499a-89af-0b667a70ac78","Nanocarbon-based sheets: Advances in processing methods and applications","Kostaras, Christos (University of Patras); Pavlou, C. (TU Delft Bio-Electronics; University of Patras; FORTH/ICE-HT); Galiotis, Costas (FORTH/ICE-HT); Dassios, Konstantinos G. (University of Patras)","","2024","As an emerging class of materials, nanocarbons have attracted significant interest for practical applications due to their remarkable mechanical, electrical and thermal properties coupled with high surface areas and tunable surface chemistry. However, challenges like high aspect ratios and poor dispersibility in polymer matrices hinder their widespread use in technological applications. The problems are most prominently resolved with the use of free-standing nanocarbon sheets. The present paper reviews recent advancements in fabricating and utilizing free-standing sheets consisting of various nanocarbons: carbon nanotubes and 2D materials like graphene, graphene oxide, and reduced graphene oxide. It initially delves into the nanomechanics of these sheets, focusing on inter-particle cross-linking and nacre-like microstructures. Energy storage applications are also examined, with emphasis on the role of nanocarbon-based sheets in the enhancement of specific energy capacity and performance retention of batteries, electric double layer supercapacitors, and pseudocapacitors. In the field of electromagnetic interference shielding, the sheets' superior electrical conductivity and microstructures, which amplify internal reflections in the GHz and THz regions, are showcased. Their potential in heat dissipation, owing to their high thermal conductivity and large surface area, is also explored. Additionally, they are reviewed for membrane-based separation processes, specifically gas separation, reverse osmosis, forward osmosis, and pervaporation, highlighting properties like ion selectivity and chlorine resistance. The last discussion concerns the role of nanocarbon-based sheets in catalysis where they can enhance reaction efficiencies and promote sustainable solutions. Either as catalysts and/or supports, with key features such as high surface area, electrical conductivity, and adaptable functionalities, they showcase significant potential in various catalytic processes like electrocatalysis and environmental remediation.","Carbon nanotubes; Graphene; Cross-linking; Energy storage; EMI shielding; Thermal dissipation; Water treatment","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-20","","","Bio-Electronics","","",""
"uuid:7c95d34b-ae83-47db-803c-c2938607758a","http://resolver.tudelft.nl/uuid:7c95d34b-ae83-47db-803c-c2938607758a","Personal Data Comics: A Data Storytelling Approach Supporting Personal Data Literacy","Gomez Ortega, A. (TU Delft Internet of Things); Bourgeois, Jacky (TU Delft Internet of Things); Kortuem, G.W. (TU Delft Internet of Things)","","2024","Most people interact with digital technologies that collect personal data about their behavior and experiences, leaving behind a data trail. The data within this trail is abstract and difficult to interpret; still, people often need to decide about its collection and distribution. Hence, it is paramount to support personal data literacy, for which data visualization approaches have been successful. These approaches focus mostly on data from single sources (e.g., IoT devices at home) or types (e.g., menstrual logs) and fail to capture people’s situated knowledge. We hypothesize that creating data comics can address these limitations and support people in developing personal data literacy. In this paper, we explore how non-data experts create personal data comics, starting from simple data visualizations, and investigate their effectiveness and engagement in the context of pregnancy. Doing so, we identify comic elements that facilitate the autonomous exploration of personal data and provide design recommendations to support independent data comic creation.","Personal Data; Data Literacy; Data Visualization; Data Comics","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Internet of Things","","",""
"uuid:211d9fc2-aab8-455b-85e8-b3328e2b930a","http://resolver.tudelft.nl/uuid:211d9fc2-aab8-455b-85e8-b3328e2b930a","Influence of Scour Protection on the Vertical Bearing Behaviour of Monopiles in Sand","Li, Qiang (Hangzhou City University; PowerChina Huadong Engineering Corporation Limited); Wang, Xinquan (Hangzhou City University); Gavin, Kenneth (TU Delft Geo-engineering); Jiang, Shengxiang (PowerChina Huadong Engineering Corporation Limited); Diao, Hongguo (Hangzhou City University); Wang, Kangyu (Zhejiang University of Technology)","","2024","Extensive studies have been performed on the effectiveness of scour protection against scour erosion progression. But there is little research to date evaluating the effect of scour protection on vertical resistance behaviour of monopile foundations. This paper investigates the influence of scour protection on the vertical loading behaviour of monopiles installed in sand using centrifuge tests and finite element analysis (FEA). Four scour protection widths (1D, 2D, 3D, 4D; where D is the pile diameter) and three scour protection thicknesses (1 m, 2 m, 3 m) were modelled on a pile with a slenderness ratio (L/D) of five. In the FEA, the scour protection mechanism was modelled using two strategies, namely the ‘stress method’ by applying stress and the ‘material method’ by applying virtual material on the seabed surface around the pile. Outcomes between these two strategies were compared, and the contact coefficient δ used in the ‘material method’ for describing the contact effectiveness of the overlaying scour protection material with the pile structure was introduced, providing a more scientific and accurate calculation reference for engineering applications. The results indicated that the vertical capacity of monopiles could be increased by 5% to 23% by adopting the scour protection measure, depending on the scour protection width and scour protection thickness.","piles and piling; scour protection; vertical resistance; finite element methods; centrifuge modelling","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:d30c564e-03ed-42eb-9049-e010cc339fa2","http://resolver.tudelft.nl/uuid:d30c564e-03ed-42eb-9049-e010cc339fa2","Insights into the effect of susceptor rotational speed in CVD reactor on the quality of 4H-SiC epitaxial layer on homogeneous substrates","Tang, Zhuorui (Fudan University); Gu, Lin (Fudan University); Jin, Lei (48th Research Institute of China Electronics Technology Group Corporation); Dai, Kefeng (Jihua Laboratory); Mao, Chaobin (Jihua Laboratory); Wu, Sanzhong (Jihua Laboratory); Zhang, Rongwei (Ningbo Xinsheng Medium Voltage Electrical Appliance Co., Ltd.); Yang, Jinsong (Ningbo Xinsheng Medium Voltage Electrical Appliance Co., Ltd.); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2024","In this work, 4H-SiC homoepitaxial layers were grown on 4°off-axis substrates at different susceptor rotation speeds by using a hot-wall horizontal CVD reactor. The effect of different susceptor rotation speed on the quality of 4H-SiC epitaxial layers in terms of thickness, thickness uniformity, crystallinity, surface morphology and morphological defects was investigated via Fourier transform infrared spectroscopy (FTIR), high-resolution X-ray diffraction (XRD), atomic force microscopy (AFM), confocal differential interference contrast microscopy (CDIC), ultra-violet photo-luminescence spectroscopy (UV-PL), scanning electron microscopy (SEM), and micro-Raman spectroscopy, respectively. A flow field simulation was performed to explain the impact of susceptor rotation speed on the film deposition. The FTIR results suggested that the susceptor rotation speed could be an important factor to adjust thickness uniformity and deposition rate. The XRD patterns showed that crystallinity was independent of the susceptor rotation speed. The surface morphology can be improved by changing the susceptor rotation speed. According to CDIC scans, the down-fall related defects were reduced through the increase in the susceptor rotation speed. The origin of down-fall related defects was interpreted by Raman spectroscopy and speculative models. To sum up, the susceptor rotation speed is a crucial factor in increasing growth rate and improving uniformity. Also, the faster susceptor rotation speed helps reduce the number of down-fall related defects in the hot-wall CVD reactor.","4H-SiC homoepitaxial layer; CVD reactor; susceptor rotation speed; quality; defects","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-15","","","Electronic Components, Technology and Materials","","",""
"uuid:87e5685a-8445-46d2-8629-79a076dcdcb1","http://resolver.tudelft.nl/uuid:87e5685a-8445-46d2-8629-79a076dcdcb1","Effect of temperature on lanthanide charge transition levels and vacuum referred binding energies","Dorenbos, P. (TU Delft RST/Luminescence Materials)","","2024","Location of lanthanide levels in the bandgap, vacuum referred binding energy (VRBE) in the lanthanide ground state and energy of lanthanide charge transition levels (CTLs) are just three different namings for the same concept. A concept of importance for the performance of lanthanide activated compounds. Energy differences of CTLs with the conduction band bottom and valence band top are important when it concerns e.g. lanthanide luminescence, charge carrier trapping, and valence stability. Effect of temperature on CTL energy or VRBE has so far never been addressed despite that luminescence application and thermoluminescence studies may span a temperature range from 10 K to 1000 K. In this work information on the bandgap (or energy of host exciton creation) around 10 K and at RT in compounds is gathered to demonstrate that bandgap decreases by 0.1 eV to 0.3 eV when temperature increases to RT. A similar decrease will be demonstrated for the energy of electron transfer from the VB to a trivalent lanthanide. The findings have consequences for VRBE-diagram construction, i.e. the experimental parameters for such construction should all apply to the same temperature. They also have consequences on how to relate luminescence thermal quenching energy barriers and TL derived electron and hole trap depths with a VRBE diagram. By proper evaluating the effects of temperature, accuracy of VRBE diagrams and consistency with luminescence and thermoluminescence data can be improved.","","en","journal article","","","","","","","","","","","RST/Luminescence Materials","","",""
"uuid:ae3b0cd6-c963-4115-bebf-b3848e1b07a3","http://resolver.tudelft.nl/uuid:ae3b0cd6-c963-4115-bebf-b3848e1b07a3","PMUT and CMUT Devices for Biomedical Applications: A Review","Moisello, Elisabetta (Pavia University); Novaresi, Lara (Pavia University); Eshani Sarkar, E. (TU Delft Bio-Electronics); Malcovati, Piero (Pavia University); Lopes Marta da Costa, T.M. (TU Delft Bio-Electronics); Bonizzoni, Edoardo (Pavia University)","","2024","Piezoelectric Micromachined Ultrasonic Transducers (PMUT) and Capacitive Micromachined Ultrasonic Transducers (CMUT) have seen great developments in recent years, both in terms of performance and scope of applications within the biomedical ultrasound domain. This paper presents a review of the state-of-the-art of PMUT and CMUT technologies, focusing on their principle of operation, microfabrication techniques and use in different biomedical imaging and therapeutic applications. The advantages and drawbacks of PMUT and CMUT technologies in comparison with conventional bulk transducers are highlighted, the trade-offs among PMUTs and CMUTs are discussed, and their relevance in the current landscape of medical diagnostics and therapeutic uses is outlined, thus providing a clear overview of these promising technologies for the present and the next generation biomedical ultrasound applications.","PMUT; CMUT; Ultrasound imaging; PAI; neuromodulation; biomedical","en","review","","","","","","","","","","","Bio-Electronics","","",""
"uuid:2e74f03d-65c9-4adb-8195-1d1de80603ca","http://resolver.tudelft.nl/uuid:2e74f03d-65c9-4adb-8195-1d1de80603ca","Numerical study on material removal of a convex pattern surface interacting with non-spherical particles","Yan, Y. (TU Delft Transport Engineering and Logistics; Jilin University); Pargalgauskas, S. (TU Delft Marine and Transport Technology); Helmons, R.L.J. (TU Delft Offshore and Dredging Engineering; Norwegian University of Science and Technology (NTNU)); Schott, D.L. (TU Delft Transport Engineering and Logistics)","","2024","A convex pattern surface is proposed and optimized to mitigate the sliding wear of bulk handling equipment caused by interaction with bulk solids. This work investigates the effectiveness of the convex pattern surface on wear reduction during interactions with non-spherical particles. Multiple representative particles, obtained through a sampling method, are reconstructed using a photogrammetry technique. Two contact parameters between particles are calibrated through shear box and drawdown tests to ensure flow behavior similar to the real material. The numerical results indicate that the convex pattern surface can effectively reduce wear compared to a plain sample when involving both spherical and non-spherical particles. For a plain sample, the wear volume remains independent of particle shapes and increases linearly with numerical revolutions. For the convex pattern surface, the wear volume demonstrates a quadratic relationship with the test revolutions as the deformation of convex elements weakens the effectiveness of the sample on wear reduction. The particle flow behavior analysis reveals that the convex pattern surface experiences the lowest wear volume when in contact with non-spherical particles. This can be attributed to the non-spherical particles sliding shorter distances and rotating with higher angular velocities on the convex pattern surface.","Convex pattern surface; DEM; Particle shape; Wear deformation; Wear reduction","en","journal article","","","","","","","","","","Marine and Transport Technology","Transport Engineering and Logistics","","",""
"uuid:fc625a4f-c5bc-4ba2-8a25-1663f031444a","http://resolver.tudelft.nl/uuid:fc625a4f-c5bc-4ba2-8a25-1663f031444a","Identification and Suppression of Multicomponent Noise in Audio Magnetotelluric Data Based on Convolutional Block Attention Module","Zhang, Liang (Guizhou University); Li, Guang (East China University of Technology); Chen, Huang (Chongqing University); Tang, Jingtian (Central South University); Yang, Guanci (Guizhou University); Yu, Mingbiao (Guizhou University); Hu, Yong (China University of Mining and Technology; China University of Petroleum (East China)); Xu, Jun (Guizhou University); Sun, J. (TU Delft Pattern Recognition and Bioinformatics)","","2024","Audio magnetotelluric (AMT) is commonly used in mineral resource exploration. However, the weak energy of AMT signals makes them susceptible to being overwhelmed by noise, leading to erroneous geophysical interpretations. In recent years, deep learning has been applied to AMT denoising and has shown better denoising performance compared to traditional methods. However, current deep learning denoising methods overlook the characteristics of AMT signals, resulting in reduced denoising accuracy. To enhance the denoising performance of deep learning by better matching the features of AMT signals, we propose a convolutional block attention module (CBAM)-based method for AMT denoising. This method focuses on the features of AMT signals and improves the process from three aspects: 1) in the establishment of the sample set, we adopt a multicomponent form based on the correlation of noise to enable the neural network to explore the potential connections among the components of AMT during the training process, thus constructing a stronger network mapping relationship; 2) in the construction of the neural network, we have introduced the CBAM structure into the residual blocks of the ResNet to enhance the network's feature learning capability by focusing on the characteristics of noise; and 3) in the design of the denoising procedure, we adopt a process of identification before denoising to protect the noise-free data segments from being compromised during the denoising process. Finally, through synthetic, field data experiments, and comparative tests, we demonstrate that our proposed method achieves higher denoising accuracy than some traditional methods and conventional deep learning methods.","Convolutional Block Attention Module (CBAM); ResNet; Audio Magnetotelluric (AMT); Denoising","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-05","","","Pattern Recognition and Bioinformatics","","",""
"uuid:e1c7a606-e0d5-4a7e-b99b-023215b16166","http://resolver.tudelft.nl/uuid:e1c7a606-e0d5-4a7e-b99b-023215b16166","GLOBGM v1.0: a parallel implementation of a 30 arcsec PCR-GLOBWB-MODFLOW global-scale groundwater model","Verkaik, Jarno (Deltares; Universiteit Utrecht); Sutanudjaja, Edwin H. (Universiteit Utrecht); Oude Essink, Gualbert H.P. (Deltares; Universiteit Utrecht); Lin, H.X. (TU Delft Mathematical Physics; Universiteit Leiden); Bierkens, Marc F.P. (Universiteit Utrecht; Deltares)","","2024","We discuss the various performance aspects of parallelizing our transient global-scale groundwater model at 30′′ resolution (30arcsec; °1/41km at the Equator) on large distributed memory parallel clusters. This model, referred to as GLOBGM, is the successor of our 5′ (5arcmin; °1/410km at the Equator) PCR-GLOBWB 2 (PCRaster Global Water Balance model) groundwater model, based on MODFLOW having two model layers. The current version of GLOBGM (v1.0) used in this study also has two model layers, is uncalibrated, and uses available 30′′ PCR-GLOBWB data. Increasing the model resolution from 5′ to 30′′ creates challenges, including increased runtime, memory usage, and data storage that exceed the capacity of a single computer. We show that our parallelization tackles these problems with relatively low parallel hardware requirements to meet the needs of users or modelers who do not have exclusive access to hundreds or thousands of nodes within a supercomputer. For our simulation, we use unstructured grids and a prototype version of MODFLOW 6 that we have parallelized using the message-passing interface. We construct independent unstructured grids with a total of 278 million active cells to cancel all redundant sea and land cells, while satisfying all necessary boundary conditions, and distribute them over three continental-scale groundwater models (168 million - Afro-Eurasia; 77 million - the Americas; 16 million - Australia) and one remaining model for the smaller islands (17 million). Each of the four groundwater models is partitioned into multiple non-overlapping submodels that are tightly coupled within the MODFLOW linear solver, where each submodel is uniquely assigned to one processor core, and associated submodel data are written in parallel during the pre-processing, using data tiles. For balancing the parallel workload in advance, we apply the widely used METIS graph partitioner in two ways: it is straightforwardly applied to all (lateral) model grid cells, and it is applied in an area-based manner to HydroBASINS catchments that are assigned to submodels for pre-sorting to a future coupling with surface water. We consider an experiment for simulating the years 1958-2015 with daily time steps and monthly input, including a 20-year spin-up, on the Dutch national supercomputer Snellius. Given that the serial simulation would require °1/44.5 months of runtime, we set a hypothetical target of a maximum of 16h of simulation runtime. We show that 12 nodes (32 cores per node; 384 cores in total) are sufficient to achieve this target, resulting in a speedup of 138 for the largest Afro-Eurasia model when using 7 nodes (224 cores) in parallel. A limited evaluation of the model output using the United States Geological Survey (USGS) National Water Information System (NWIS) head observations for the contiguous United States was conducted. This showed that increasing the resolution from 5′ to 30′′ results in a significant improvement with GLOBGM for the steady-state simulation when compared to the 5′ PCR-GLOBWB groundwater model. However, results for the transient simulation are quite similar, and there is much room for improvement. Monthly and multi-year total terrestrial water storage anomalies derived from the GLOBGM and PCR-GLOBWB models, however, compared favorably with observations from the GRACE satellite. For the next versions of GLOBGM, further improvements require a more detailed (hydro)geological schematization and better information on the locations, depths, and pumping rates of abstraction wells.","","en","journal article","","","","","","","","","","","Mathematical Physics","","",""
"uuid:7d2394ed-3835-442e-ae30-02fe08172173","http://resolver.tudelft.nl/uuid:7d2394ed-3835-442e-ae30-02fe08172173","The Road Network Design Problem for the Deployment of Automated Vehicles (RNDP-AVs): A Nonlinear Programming Mathematical Model","Conceição, Lígia (Universidade do Porto); Correia, Gonçalo (TU Delft Transport and Planning); van Arem, B. (TU Delft Transport and Planning); Tavares, José Pedro (Universidade do Porto)","","2024","Once trusted, automated vehicles (AVs) will gradually appear in urban areas. Such a transition is an opportunity in transport planning to control undesired impacts and possibly mitigate congestion at a time when both conventional vehicles (CVs) and AVs coexist. This paper deals with the complex transport decision problem of designing part of the network that is exclusive for AVs through a nonlinear programming model. The objective function minimises the costs of travel times where vehicles circulate under user equilibrium. The model evaluates the benefits of having an AVs-dedicated infrastructure and the associated costs from the detouring of CVs. Three planning strategies are explored: incremental, long-term and hybrid planning. The first creates a subnetwork evolving incrementally over time. The second reversely designs a subnetwork from the optimal solution obtained at a ratio of 90% AVs. The third limits the incremental planning towards that optimal long-term solution. The model is applied to the city of Delft, in the Netherlands. Two scenarios are analysed, with and without AV-dedicated roads, at several AV penetration rates. We find that implementing dedicated roads for AVs reduces the overall costs and congestion up to 16%. However, CV detouring is inevitable at later network stages, increasing the total distance travelled (up to 8%) and congestion in the surroundings of AV subnetworks. Concerning the planning strategies, incremental planning is appropriate for starting in the initial stages and is the strategy that most tackles CV detouring. The hybrid or the long-term strategies are more suitable to be applied after a ratio of 50% AVs, and the hybrid planning is the strategy that most reduces delay.","automated vehicles; mathematical programming; optimisation; road network design problem","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:49b6f6d6-dfa8-4af5-8c72-36cc0990a8d6","http://resolver.tudelft.nl/uuid:49b6f6d6-dfa8-4af5-8c72-36cc0990a8d6","Investigation of petrophysical and hydrogeological parameters of the transboundary Nubian Aquifer system using geophysical methods","Mohammed, Musaab A. A. (University of Miskolc; University of Bahri); Mohamed, Ahmed (Assiut University); Szabó, Norbert P. (University of Miskolc); Alarifi, Saad S. (King Saud University); Abdelrady, Ahmed (TU Delft Water Resources); Alao, Joseph Omeiza (Air Force Institute of Technology)","","2024","The recent research aims to investigate the petrophysical and hydrogeological parameters of the Nubian aquifer system (NAS) in Northern Khartoum State, Sudan, using integrated geophysical methods, including surface electrical resistivity and geophysical well-logging. The Nubian aquifer is a transboundary regional aquifer that covers vast areas in Sudan, Egypt, Libya and Chad. The well-logs, including self-potential (SP), natural gamma ray (GR), and long normal resistivity (RS), are integrated with Vertical Electrical Sounding (VES) measurements to delineate the hydrostratigraphical units. As a result, two aquifers are detected. An upper aquifer comprises coarse sand with an average thickness of 50 m and a lower aquifer of sandstone with more than 200 m thickness. For a thorough evaluation of the aquifers, in the first stage, the petrophysical and hydrogeological parameters, including formation factor, total and effective porosity, shale volume, hydraulic conductivity, and transmissivity, are measured solely from geophysical well-logs. In the second step, the results of geophysical well logs are combined with VES and pumping test data to detect the spatial variation of the measured parameters over the study area. As a result, the hydraulic conductivity of the Nubian aquifers ranged from 1.9 to 7.8 m/day, while the transmissivity varied between 120 and 733 m2/day. These results indicated that the potentiality of the Nubian formation is high; however, in some regions, due to the sediment heterogeneity, the aquifers have intermediate to high potential. According to the obtained results, it can be concluded that the Nubian Aquifer in Khartoum state is ideal for groundwater development. This research discovered that geophysical approaches can be used to characterize moderately heterogeneous groundwater systems by comparing the Nubian aquifer with similar aquifer systems that have similar hydrogeological settings. This study emphasized the application of universal principles in extrapolating hydraulic parameters in hydrogeophysical surveys. This approach aims to reduce the costs and efforts associated with traditional hydrogeological approaches.","well logs; vertical electrical sounding; pumping test; hydraulic conductivity; transmissivity","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:d69ee37f-6416-4a5b-9244-77b3bef2f110","http://resolver.tudelft.nl/uuid:d69ee37f-6416-4a5b-9244-77b3bef2f110","Scour Effect on the Lateral Bearing Behaviour of Monopiles Considering Different Slenderness Ratios","Li, Qiang (Hangzhou City University; PowerChina Huadong Engineering Corporation Limited); Wang, Xinquan (Hangzhou City University); Gavin, Kenneth (TU Delft Geo-engineering); Jiang, Shengxiang (PowerChina Huadong Engineering Corporation Limited); Diao, Hongguo (Hangzhou City University); Wang, Mingyuan (PowerChina Huadong Engineering Corporation Limited); Wang, Kangyu (Zhejiang University of Technology)","","2024","Scour leads to the loss of soil around monopile foundations for offshore wind turbines, which affects their structural safety. In this paper, the effect of scour on the lateral behaviour of monopiles was extensively investigated using finite element analysis, and calibration and comparison were undertaken using centrifuge tests. Piles with three slenderness ratios, i.e., 3, 5 and 8, were studied by keeping the diameter constant and varying the embedment length. Three scour types (local narrow, local wide and global) and four scour depths (0.5D, 1D, 1.5D and 2D; D signifies the pile diameter) were considered in this investigation. The results indicate that the lateral resistance of the pile is the greatest in the case of local narrow scour, followed by that in the cases of local wide scour and global scour. When the scour depth is larger than 1D, the influence of the scour type on the pile lateral bearing behaviour is insignificant. The influence of the scour type and scour depth on the pile lateral bearing behaviour is broadly similar for piles with slenderness ratios of 3, 5 and 8. However, the piles featured with smaller embedment lengths show a larger decrease rate in their lateral capacity, which means the effect of scour should cause more concern on small slenderness ratio monopiles.","piles and piling; scour; finite element methods; centrifuge modelling; lateral bearing behaviour","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:d288d546-2869-4b3c-a54a-13d1c1ad3532","http://resolver.tudelft.nl/uuid:d288d546-2869-4b3c-a54a-13d1c1ad3532","Climate footprint of industry-sponsored clinical research: an analysis of a phase-1 randomised clinical study and discussion of opportunities to reduce its impact","LaRoche, J.K.L. (TU Delft Design for Sustainability; Janssen Research and Development); Alvarenga, Rodrigo (Environmental Resources Management, Ghent); Collins, Michael (Environmental Resources Management, Edinburgh); Costelloe, Thomas (Environmental Resources Management, Bletchley); Soete, Wouter De; Faludi, Jeremy (TU Delft Design for Sustainability); Rens, Kristel (6 Janssen Pharmaceutica NV, Beerse)","","2024","OBJECTIVE: This study aims to calculate the global warming potential, in carbon dioxide (CO2) equivalent emissions, from all in-scope activities involved in a phase-1 clinical study. DESIGN: Retrospective analysis. DATA SOURCE: Internal data held by Janssen Pharmaceuticals. STUDIES INCLUDED: Janssen-sponsored TMC114FD1HTX1002 study conducted between 2019 and 2021. MAIN OUTCOME: Measure CO2 equivalents (CO2e) for in-scope clinical trial activities calculated according to intergovernmental panel on climate change 2021 impact assessment methodology. RESULTS: The CO2e emissions generated by the trial were 17.65 tonnes. This is equivalent to the emissions generated by driving an average petrol-fueled family car 71 004 km or roughly 1.8 times around the circumference of the Earth. Commuting to the clinical site by the study participants generated the most emissions (5419 kg, 31% of overall emissions), followed by trial site utilities (2725 kg, 16% of overall emissions) and site staff travel (2560 kg, 15% of overall emissions). In total, the movement of people (participant travel, site staff travel and trial site staff travel) accounted for 8914 kg or 51% of overall trial emissions. CONCLUSIONS: Decentralised trial models which seek to bring clinical trial operations closer to the participant offer opportunities to reduce participant travel. The electrification of sponsor vehicle fleets and society's transition towards electric vehicles may result in further reductions. TRIAL REGISTRATION NUMBER: NCT04208061.","","en","journal article","","","","","","","","","","","Design for Sustainability","","",""
"uuid:41f09a94-213b-4c70-aade-9bd56a1e53c8","http://resolver.tudelft.nl/uuid:41f09a94-213b-4c70-aade-9bd56a1e53c8","A new power-law model for μ–Λ relationships in convective and stratiform rainfall","Gatidis, C. (TU Delft Atmospheric Remote Sensing); Schleiss, M.A. (TU Delft Atmospheric Remote Sensing); Unal, C.M.H. (TU Delft Atmospheric Remote Sensing)","","2024","In this study, we take a closer look at the important issue of μ–Λ relationships in raindrop size distributions (DSDs) by conducting a systematic analysis of 20 months of data collected by disdrometers in the Netherlands. A new power-law model for representing μ–Λ relationships based on the double normalization framework is proposed and used to derive separate μ–Λ relationships for stratiform and convective rain events. The sensitivity of the obtained relationships to measurement uncertainty is studied by applying two different quality control filters based on the mass-weighted mean drop diameter (Dm) and liquid water content (LWC). Our results show that there are significant differences in μ–Λ relationships between convective and stratiform rainfall types. However, the retrieved relationships appear to be quite robust to measurement noise and there is good agreement with other reference relations for similar climatological conditions.","","en","journal article","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:e36e2f50-e8d7-4ec6-bc0a-e73203fee4c4","http://resolver.tudelft.nl/uuid:e36e2f50-e8d7-4ec6-bc0a-e73203fee4c4","From acceptance to continuance: Understanding the influence of initial participation experience on residents' intentions to continue participation in neighborhood rehabilitation","Li, Y. (TU Delft Design & Construction Management); Zhuang, T. (Chongqing University); Qian, QK (TU Delft Design & Construction Management); Mlecnik, E. (TU Delft Real Estate Management); Visscher, H.J. (TU Delft Design & Construction Management)","","2024","In the context of increasing focus on social sustainability, neighborhood rehabilitation has emerged as a crucial component of global urban renewal initiatives. Distinct from most renewal paradigms that are usually one-offs, neighborhood rehabilitation is a long-term endeavor that requires ongoing resident participation to effectively address diverse needs, investment shortages, and governance challenges. Extant research predominantly focuses on residents' initial engagement, leaving the dynamics of continued participation and its influencing factors largely unexamined. Employing the Expectation-Confirmation Model (ECM), this study explores how residents' initial participation experiences influence their intentions to continue participation. Analyzing questionnaire responses from 367 experienced residents in Wuhan, China, the study finds that a mere 38.2 % of residents exhibit re-engage intention. Path analysis shows that initial participation experience influences residents' re-engage intention indirectly through participation satisfaction and perceived usefulness. Residents' re-engage intention is most influenced by level of influence residents hold in decision-making, followed by type of activities they engage in, and stage of their initial involvement. As an exploratory study into the realm of continued participation, this research uncovers several potential pathways and policy recommendations, aiming to ease residents' transition from initial acceptance to sustained engagement in future neighborhood development efforts.","neighborhood rehabilitation; urban renewal; resident participation; continued participation; expectation-confirmation model (ECM); China","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:a6281c78-24d9-414a-98fb-cae0feb03da2","http://resolver.tudelft.nl/uuid:a6281c78-24d9-414a-98fb-cae0feb03da2","Assessment of self-healing behavior of polypropylene fiber-reinforced cement mortar with crystalline admixture: the effects of crack widths, cracking ages, and external conditions","Liu, Baoju (Central South University China); Ye, Huiwen (Central South University China); Jiang, Junyi (Central South University China); Shi, Jinyan (Central South University China); Yalçınkaya, Çağlar (Dokuz Eylul University); Šavija, B. (TU Delft Materials and Environment)","","2024","Crystalline admixture (CA) is an effective self-healing agent for mortar. However, the effects of crack parameters (i.e. crack width and cracking age) and the service environment on the self-healing behavior of CA-containing mortar are not well understood. Herein, the self-healing behavior of mortar containing a self-developed CA was assessed by testing strength recovery, impermeability recovery, and crack closure in pre-cracked specimens. Three initial crack widths (0.2, 0.3, and 0.4 mm), five cracking ages (3, 7, 14, 28, and 56 days), and four external exposure conditions (humidity chamber, air exposure, water immersion, and wet-dry cycles) are investigated. Furthermore, the influence of different external conditions on the healing products at the region of crack and the pore structure of hardened paste containing CA are studied. The results show that adding 4.54% CA into mortar allows rapid healing of 300 μm-wide cracks. Although wider cracks (400 μm) are more difficult to heal, the sorptivity coefficients of the mortars with 400 μm-wide cracks after healing decrease. When the cracks are produced at an earlier age, the pre-cracked specimens have higher recovery ratios of strength and impermeability after healing, and the specimens pe-cracked at a later age still have acceptable compressive strengths after healing. The analysis shows that the strengths and impermeabilities of pre-cracked mortars containing CA exposed to the four external conditions are all recovered. The best self-healing performance is observed for the specimens exposed to water immersion and wet-dry cycles conditions. Somewhat less good self-healing was observed in the specimens exposed to humid chamber condition, while the worst self-healing performance was in the specimens exposed to air exposure condition. This study provides a theoretical basis for the application of novel CAs in cement-based materials.","crack; crystalline admixture; mortar; permeability; Self-healing behavior","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-16","","","Materials and Environment","","",""
"uuid:a2b98301-0ac0-470c-a1d7-23b0f07c5a97","http://resolver.tudelft.nl/uuid:a2b98301-0ac0-470c-a1d7-23b0f07c5a97","Developing a Pedagogical Framework for an Integrated and BIM-Based High-Performance Design Studio: Experimental Case Study","Shahverdi, Amir Farbod (Shahid Beheshti University); Mostafavi, F. (TU Delft Building Knowledge); Roodkoly, Sogand Haghighat (Shahid Beheshti University); Zomorodian, Zahra Sadat (Shahid Beheshti University); Homayouni, Hoda (Shahid Beheshti University)","","2024","As the current environmental crisis and depletion of our energy resources are pushing the Architecture, Engineering, and Construction (AEC) industry toward the design and construction of High-Performance (HP) buildings, new organizational and technological methods of practice, such as Integrated Design Process (IDP) and Building Information Modeling (BIM), have emerged to facilitate this transition. Consequently, Architecture schools are left with the duty of training practitioners with the required holistic vision and technical knowledge for designing HP buildings, technological abilities to work with new BIM tools, collaboration skills to work with cross-disciplinary team members, and theoretical knowledge to run the new processes. Scholars of architectural education are faced with a significant theoretical and practical knowledge gap on how to add all these new layers of knowledge and skills to what is an already saturated curriculum in architecture schools. To address this need, we developed a conceptual framework for teaching an integrated and BIM-based HP design studio for the MS program in Building Science. The experience was successful in creating an effective systematic method for integrating HP design elements in the students' projects, with all the teams achieving their project performance targets in six distinct HP categories of energy consumption, greenhouse gas emissions, health and wellbeing, water management, and resiliency, while meeting reasonable architectural qualities and economic criteria. The key elements of this pedagogical approach, including teamwork, a structured and iterative design process, decision-making mechanism with a high level of attention given to various performance metrics, the use of related BIM technologies, and the evaluation techniques, are introduced, discussed, and recommendations are proposed for future applications.","architectural design; construction; curricula; decision making; energy utilization; gas emissions; greenhouse gases; iterative methods; personnel training; studios; water management","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-11","","","Building Knowledge","","",""
"uuid:bfbac0fe-5094-4ec2-a9da-d80eb84d8dc7","http://resolver.tudelft.nl/uuid:bfbac0fe-5094-4ec2-a9da-d80eb84d8dc7","A comprehensive thermal analysis of icemaking process inside a domestic freezer: Theoretical, numerical and experimental analyses","Akbar Ahmadi, Ali (University of Nebraska Omaha); Hooman, K. (TU Delft Heat Transformation Technology); Rahbari, Alireza (Australian National University)","","2024","Automatic icemakers are integrated into refrigerators to ensure a consistent ice supply and improve energy efficiency. Despite these advantages, a thorough investigation of the automatic icemaking process in domestic refrigerator-freezers is lacking in the literature. This study aims at assessing the performance of automatic icemaking process in a domestic freezer through detailed theoretical, numerical and experimental analyses. A simplistic zero-dimensional transient energy balance model is developed to investigate the heat transfer during different stages of the water solidification process. The convective heat transfer coefficient calculated from the theoretical analysis is used to inform the numerical model. A three-dimensional transient model is proposed to predict the temperature and density variation inside the ice cube modelled as a pyramid. The free surface flow is modelled using volume of fluid method, while enthalpy-porosity method is employed for the water freezing process. The results show a non-uniform temperature distribution throughout the solidification process and that the temperature of the outer frozen layers keeps decreasing with the solidification time. Experiments are conducted to measure the temperature variation of the ice cube. It is shown that the icemaking process is accelerated by around 18 % when the ice-removal temperature is set at −8°C instead of −12 °C, which is a conventional set temperature for ice remover in current domestic freezers.","Domestic freezer; Enthalpy-porosity method; Icemaking; Solidification; Volume of fluid method","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-14","","","Heat Transformation Technology","","",""
"uuid:8e213072-5117-41f0-b9f0-2cc35a8ebc96","http://resolver.tudelft.nl/uuid:8e213072-5117-41f0-b9f0-2cc35a8ebc96","Exploring Multidimensional Modularity: Strategies to Reduce Complexity in Design Activities","Tan, T. (The University of Hong Kong); Mills, Grant (University College London (UCL)); Papadonikolaki, E. (TU Delft Integral Design & Management)","","2024","Modularity is an approach to simplify systems and reduce complexity. However, existing research suggests that a mono-dimensional modularity strategy, focusing solely on one dimension, such as product, process, or organization, might not fully achieve these goals in design activities. This research investigates how combining strategies from various dimensions of modularity can reduce the complexity of large-scale engineering design. The Huoshenshan Hospital, a 1,000-bed hospital designed and built in 10 days, provided an extreme case study of the first emergency hospital to address COVID-19. The research identified 10 different aspects, termed ‘proximities’, which relate to how people perceive the four dimensions of modularity, specifically across organization–process–product–supply-chain dimensions. Additionally, it identified three types of reinforcement relationships aimed at diminishing complexity in design activities: modular alignment (i.e., synchronized alignment and asynchronous alignment), modular complementarity (i.e., subtraction complement and addition complement), and modular incentive relationships. This research highlights that these three types of reinforcement relationships between different dimensions of modularity can reduce complexity, allowing subsystems to support the system in working as a whole.","modularity; engineering design; design activities; construction; case study","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-23","","","Integral Design & Management","","",""
"uuid:0c332370-5673-48e9-894a-66d70de5c225","http://resolver.tudelft.nl/uuid:0c332370-5673-48e9-894a-66d70de5c225","Bridging Field and Laboratory Permeabilities of Pervious Pavement Mixtures Using XRCT-Based Numerical Modeling","Jagadeesh, A. (TU Delft Pavement Engineering); Ong, G. P. (National University of Singapore); Su, Y. M. (National Kaohsiung University of Science and Technology)","","2024","Drainage capacity of pervious pavement mixtures is commonly measured using a falling head permeameter at hydraulic heads much higher than expected in the field. Recent advancements in computational fluid dynamics (CFD)- and X-ray computed tomography (XRCT)-based modeling eliminates the laboratory challenges of maintaining lower hydraulic heads. However, improper characterization in digital image processing (DIP) and finite-volume simulations resulted in significant errors in permeability measurements and fluid flow behavior. In addition, past studies have identified non-Darcy fluid flow characteristics in pervious pavement mixtures following the Izbash and Forchheimer laws. This paper attempts to bridge this research gap by comparing the Darcy and non-Darcy permeability parameters at different laboratory and field hydraulic heads using advanced XRCT-based modeling. It was found from the analyses that the use of laboratory hydraulic head could result in significant underestimation of permeability parameters compared with the field hydraulic heads for Darcy and Izbash equations (by up to 73%), and overestimation for Forchheimer equations (by up to 216%). Fluid flow behavior in pervious mixtures was found to be in transition flow regime (neither laminar nor turbulent) at both laboratory and field hydraulic gradients. Overall, this study can help in a better fundamental understanding of the current limitations of laboratory measurements and the need for XRCT-based numerical modeling to bridge field and laboratory permeabilities of pervious pavement mixtures.","Field hydraulic heads; Finite-volume simulations; Medical X-ray computed tomography (XRCT); Non-Darcy permeability; Pervious pavement","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-24","","","Pavement Engineering","","",""
"uuid:98dcc7af-1d83-417f-9909-7308bdad9b9e","http://resolver.tudelft.nl/uuid:98dcc7af-1d83-417f-9909-7308bdad9b9e","Receding Horizon Re-Ordering of Multi-Agent Execution Schedules","Berndt, Alexander (Overstory B.V.); Van Duijkeren, Niels (Robert Bosch GmbH); Palmieri, Luigi (Robert Bosch GmbH); Kleiner, Alexander (Robert Bosch GmbH); Keviczky, T. (TU Delft Team Tamas Keviczky)","","2024","The trajectory planning for a fleet of automated guided vehicles (AGVs) on a roadmap is commonly referred to as the multi-agent path finding (MAPF) problem, the solution to which dictates each AGV's spatial and temporal location until it reaches its goal without collision. When executing MAPF plans in dynamic workspaces, AGVs can be frequently delayed, e.g., due to encounters with humans or third-party vehicles. If the remainder of the AGVs keeps following their individual plans, synchrony of the fleet is lost and some AGVs may pass through roadmap intersections in a different order than originally planned. Although this could reduce the cumulative route completion time of the AGVs, generally, a change in the original ordering can cause conflicts, such as deadlocks. In practice, synchrony is therefore often enforced by using a MAPF execution policy employing, e.g., an action dependency graph (ADG) to maintain ordering. To safely re-order without introducing deadlocks, we present the concept of the switchable action dependency graph (SADG). Using the SADG, we formulate a comparatively low-dimensional mixed-integer linear program that repeatedly re-orders AGVs in a recursively feasible manner, thus maintaining deadlock-free guarantees, while dynamically minimizing the cumulative route completion time of all AGVs. Various simulations validate the efficiency of our approach when compared to the original ADG method as well as robust MAPF solution approaches.","Mixed integer programming; multi-agent path finding (MAPF); robust plan execution; scheduling and coordination","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-18","","","Team Tamas Keviczky","","",""
"uuid:53155951-f11c-4979-a442-812c0a76929e","http://resolver.tudelft.nl/uuid:53155951-f11c-4979-a442-812c0a76929e","The role of City Climate Networks in Promoting Citizen Participation in Municipalities: A Critical Multi-Case Analysis","Zapata Arango, Mateo (Student TU Delft); Hoppe, T. (TU Delft Organisation & Governance); Itten, A.V. (TU Delft Organisation & Governance); Blok, K. (TU Delft Energie and Industrie)","","2024","Background
At the COP21, cities were recognised as key actors in combatting climate change. In supporting cities, climate city networks such as transnational climate networks (TCNs) and national climate networks (NCNs) have emerged to enable cities in building capacities and formulating climate policy whilst also encouraging citizen engagement and participation in public decision-making. This paper addresses the question whether and how TCN or NCN membership enables municipalities to implement citizen participation in public decision-making. Six propositions are presented addressing: presumed influence of TCN membership on citizen participation, organization of citizen participation, initiator capacity, goal setting, involvement of stakeholders, participatory methods used, and planning processes. A multi-case study research design is used to verify these propositions, comprising of four medium-sized cities in the Netherlands and three in Belgium.
Results
Results of the analysis of four cities in The Netherlands show that municipalities having membership to climate city networks only to a low extent empower citizen participation via local climate agendas. Citizen participation emerges rather bottom-up via local initiatives or capacity building via EU framework programs—outside TCNs or NCNs—that better suit financial needs and provide more immediate benefits to municipalities. None of the six propositions were confirmed. A more positive image resulted from the Belgian cases that moderately confirmed four out of six propositions (i.e., organizing citizen participation, goal setting, selection of methods, and planning), and featured indirect empowerment via externally funded implementation projects following firm integration of participation in local climate policy through TCN influence.
Conclusions
In terms of citizen participation selected municipalities in the Netherlands having TCN and/or NCN membership only to a small extent differ from those not having membership. This is partly due to poor implementation of TCNs and NCNs—with Covenant of Mayors and ‘Klimaatverbond’ lacking support structure and capacity—having lost importance during the past years. However, there is reason to believe that context makes a difference as revealed by the cases from Belgium, which revealed more positive results.","Climate policy; Citizen participation; City climate network; Policy network; Implementation; Energy transition; OA-Fund TU Delft","en","journal article","","","","","","","","","","","Organisation & Governance","","",""
"uuid:935cc7ae-158a-4b98-a142-f2ec0240a768","http://resolver.tudelft.nl/uuid:935cc7ae-158a-4b98-a142-f2ec0240a768","Recycling of Aluminosilicate-Based Solid Wastes through Alkali-Activation: Preparation, Characterization, and Challenges","Feng, Lichao (Jiangsu Ocean University); Yi, Shengjie (Jiangsu Ocean University); Zhao, Shuyuan (Harbin Institute of Technology); Zhong, Qiucheng (Jiangsu Ocean University); Ren, Feirong (Jiangsu Ocean University); Liu, C. (TU Delft Materials and Environment); Zhang, Y. (TU Delft Materials and Environment); Wang, Wenshou (University of Jinan); Li, Zhenming (University of Sheffield)","","2024","Recycling aluminosilicate-based solid wastes is imperative to realize the sustainable development of constructions. By using alkali activation technology, aluminosilicate-based solid wastes, such as furnace slag, fly ash, red mud, and most of the bio-ashes, can be turned into alternative binder materials to Portland cement to reduce the carbon footprint of the construction and maintenance activities of concrete structures. In this paper, the chemistry involved in the formation of alkali-activated materials (AAMs) and the influential factors of their properties are briefly reviewed. The commonly used methods, including X-ray diffraction (XRD), scanning electron microscopy (SEM), thermogravimetric analysis (TG), nuclear magnetic resonance spectroscopy (NMR), and X-ray pair distribution function technology, to characterize the microstructure of AAMs are introduced. Typical characterization results of AAMs are shown and the limitations of each method are discussed. The main challenges, such as shrinkage, creep, efflorescence, carbonation, alkali–silica reaction, and chloride ingress, to conquer for a wider application of AAMs are reviewed. It is shown that several performances of AAMs under certain circumstances seem to be less satisfactory than traditional portland cement systems. Existing strategies to improve these performances are reviewed, and recommendations for future studies are given.","slag; fly ash; alkali-activation; microstructure; performance; challenges","en","review","","","","","","","","","","","Materials and Environment","","",""
"uuid:64164874-7b5c-40fd-82ac-721b0d10f19c","http://resolver.tudelft.nl/uuid:64164874-7b5c-40fd-82ac-721b0d10f19c","Discovering the Significance of Housing Neighbourhoods by Assessing Their Attributes With a Digital Tool","Spoormans, L.G.K. (TU Delft Heritage & Architecture); de Jonge, W. (TU Delft Heritage & Architecture); Czischke, D.K. (TU Delft Real Estate Management); Pereira Roders, A. (TU Delft Heritage & Architecture)","","2024","Much of the building stock subjected to the upcoming European Renovation Wave is neither listed as heritage nor considered valuable architecture. This also applies to Dutch housing built between 1965 and 1985, more than 30% of the Dutch housing stock, for which there is no consensus on their cultural significance. Their successful renovation process requires broad support. What attributes do citizens consider significant in their neighbourhood? How do we include a multitude of stakeholders? And can digital methods help collect and process responses? This article reveals significant attributes of residential neighbourhoods from 1965 to 1985, assessed by various stakeholders with a digital tool based on case studies in Amsterdam and Almere. A mobile application allowed individuals to identify significant attributes at various scales while visiting the neighbourhood. By qualitative data analysis of survey and interview results, groups of tangible and intangible attributes were deduced. Results show that identifying attributes by current stakeholders broadens existing expert-led assessments on 1965–1985 neighbourhoods by including, for example, generic attributes not originally intended by the designers. Asking open-ended questions is considered essential to identify undiscovered attributes by alternative stakeholders, although dealing with large numbers of responses is recognised as a challenge to cluster and classify. Lastly, the mobile application appears to be a useful digital tool, but integrating scientific consistency and usability is recommended for further development. Engaging multiple stakeholders with such mobile applications allows for collecting opinions, anticipating conflicts, or shared interests between stakeholders and integration into renovation designs. It can empower citizens to preserve the neighbourhood attributes that are most significant to them.","cultural significance; heritage attributes; housing neighbourhoods; post-Second World War architecture; participation","en","journal article","","","","","","","","","","","Heritage & Architecture","","",""
"uuid:0fde08de-f91c-4621-8391-8e9a0b44fb12","http://resolver.tudelft.nl/uuid:0fde08de-f91c-4621-8391-8e9a0b44fb12","Accuracy Assessment of Numerical Morphological Models Based on Reduced Saint-Venant Equations","Barneveld, H.J. (Wageningen University & Research; HKV); Mosselman, E. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Deltares); Chavarrías, V. (Deltares); Hoitink, A.J.F. (Wageningen University & Research)","","2024","Sustainable river management often requires long-term morphological simulations. As the future is unknown, uncertainty needs to be accounted for, which may require probabilistic simulations covering a large parameter domain. Even for one-dimensional models, simulation times can be long. One of the acceleration strategies is simplification of models by neglecting terms in the governing hydrodynamic equations. Examples are the quasi-steady model and the diffusive wave model, both widely used by scientists and practitioners. Here, we establish under which conditions these simplified models are accurate. Based on results of linear stability analyses of the St. Venant-Exner equations, we assess migration celerities and damping of infinitesimal, but long riverbed perturbations. We did this for the full dynamic model, that is, no terms neglected, as well as for the simplified models. The accuracy of the simplified models was obtained from comparison between the characteristics of the riverbed perturbations for simplified models and the full dynamic model. We executed a spatial-mode and a temporal-mode linear analysis and compared the results with numerical modeling results for the full dynamic and simplified models, for very small and large bed waves. The numerical results match best with the temporal-mode linear analysis. We show that the quasi-steady model is highly accurate for Froude numbers up to 0.7, probably even for long river reaches with large flood wave damping. Although the diffusive wave model accurately predicts flood wave migration and damping, key morphological metrics deviate more than 5% (10%) from the full dynamic model when Froude numbers exceed 0.2 (0.3).","linear analysis; modeling; morphology; quasi-steady","en","journal article","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:b144ad47-692b-41c8-a9c0-e04096080ff9","http://resolver.tudelft.nl/uuid:b144ad47-692b-41c8-a9c0-e04096080ff9","Effect of Long-Term Sodium Hypochlorite Cleaning on Silicon Carbide Ultrafiltration Membranes Prepared via Low-Pressure Chemical Vapor Deposition","Jan, A. (TU Delft Sanitary Engineering); Chen, M. (TU Delft ChemE/Product and Process Engineering; University of Twente); Nijboer, Michiel (University of Twente); Luiten-Olieman, Mieke W.J. (University of Twente); Rietveld, L.C. (TU Delft Sanitary Engineering); Heijman, Sebastiaan (TU Delft Sanitary Engineering)","","2024","Sodium hypochlorite (NaClO) is widely used for the chemical cleaning of fouled ultrafiltration (UF) membranes. Various studies performed on polymeric membranes demonstrate that long-term (>100 h) exposure to NaClO deteriorates the physicochemical properties of the membranes, leading to reduced performance and service life. However, the effect of NaClO cleaning on ceramic membranes, particularly the number of cleaning cycles they can undergo to alleviate irreversible fouling, remains poorly understood. Silicon carbide (SiC) membranes have garnered widespread attention for water and wastewater treatment, but their chemical stability in NaClO has not been studied. Low-pressure chemical vapor deposition (LP-CVD) provides a simple and economical route to prepare/modify ceramic membranes. As such, LP-CVD facilitates the preparation of SiC membranes: (a) in a single step; and (b) at much lower temperatures (700–900 °C) in comparison with sol-gel methods (ca. 2000 °C). In this work, SiC ultrafiltration (UF) membranes were prepared via LP-CVD at two different deposition temperatures and pressures. Subsequently, their chemical stability in NaClO was investigated over 200 h of aging. Afterward, the properties and performance of as-prepared SiC UF membranes were evaluated before and after aging to determine the optimal deposition conditions. Our results indicate that the SiC UF membrane prepared via LP-CVD at 860 °C and 100 mTorr exhibited excellent resistance to NaClO aging, while the membrane prepared at 750 °C and 600 mTorr significantly deteriorated. These findings not only highlight a novel preparation route for SiC membranes in a single step via LP-CVD, but also provide new insights about the careful selection of LP-CVD conditions for SiC membranes to ensure their long-term performance and robustness under harsh chemical cleaning conditions.","silicon carbide; ultrafiltration; low-pressure chemical vapor deposition; sodiumhypochlorite; chemical aging","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:0fddf122-d78d-4365-8e86-2cab32ade2f7","http://resolver.tudelft.nl/uuid:0fddf122-d78d-4365-8e86-2cab32ade2f7","Towards a pattern language for green space design in high density urban developments","Zhou, S. (TU Delft Urban Design); Nijhuis, S. (TU Delft Landscape Architecture); Dijkstra, R.J. (TU Delft Urban Design)","","2024","In the inevitable high-density urbanization process, existing urban green space (UGS) design approaches are ineffective in creating more green areas and combining multidisciplinary design principles to provide balanced sets of ecosystem services (ESs). This paper proposes a systematic framework for UGS design in the context of high-density urban development, results in spatial patterns, a pattern language, that combines specific design principles with a wide range of complementary ESs suitable for high-density environments. Such design approach can create more possibilities for UGS provisioning, deal with the complexity in high-density contexts, and provides consistency at different scale for UGS designs.","Green space design; high-density urban environment; ecosystem services; pattern language","en","journal article","","","","","","","","","","","Urban Design","","",""
"uuid:293607b7-85ff-45d0-a3e3-31fe04c2c5ed","http://resolver.tudelft.nl/uuid:293607b7-85ff-45d0-a3e3-31fe04c2c5ed","How Hard Is Weak-Memory Testing?","Chakraborty, S.S. (TU Delft Programming Languages); Krishna, Shankara Narayanan (Indian Institute of Technology Bombay); Mathur, Umang (National University of Singapore); Pavlogiannis, Andreas (Aarhus University)","","2024","Weak-memory models are standard formal specifications of concurrency across hardware, programming languages, and distributed systems. A fundamental computational problem is consistency testing: is the observed execution of a concurrent program in alignment with the specification of the underlying system? The problem has been studied extensively across Sequential Consistency (SC) and weak memory, and proven to be NP-complete when some aspect of the input (e.g., number of threads/memory locations) is unbounded. This unboundedness has left a natural question open: are there efficient parameterized algorithms for testing? The main contribution of this paper is a deep hardness result for consistency testing under many popular weak-memory models: the problem remains NP-complete even in its bounded setting, where candidate executions contain a bounded number of threads, memory locations, and values. This hardness spreads across several Release-Acquire variants of C11, a popular variant of its Relaxed fragment, popular Causal Consistency models, and the POWER architecture. To our knowledge, this is the first result that fully exposes the hardness of weak-memory testing and proves that the problem admits no parameterization under standard input parameters. It also yields a computational separation of these models from SC, x86-TSO, PSO, and Relaxed, for which bounded consistency testing is either known (for SC), or shown here (for the rest), to be in polynomial time.","complexity; concurrency; consistency checking; weak memory models","en","journal article","","","","","","","","","","","Programming Languages","","",""
"uuid:553f74d3-2e5a-4ba6-8687-5655473d0120","http://resolver.tudelft.nl/uuid:553f74d3-2e5a-4ba6-8687-5655473d0120","Surface mass balance and climate of the Last Glacial Maximum Northern Hemisphere ice sheets: simulations with CESM2.1","Bradley, Sarah L. (University of Sheffield); Sellevold, R. (TU Delft Physical and Space Geodesy); Petrini, M. (Bjerknes Centre for Climate Research); Vizcaino, M. (TU Delft Physical and Space Geodesy); Georgiou, S. (TU Delft Physical and Space Geodesy); Zhu, Jiang (National Center for Atmospheric Research); Otto-Bliesner, Bette L. (National Center for Atmospheric Research); Lofverstrom, Marcus (University of Arizona)","","2024","The Last Glacial Maximum (LGM, from ∼26 to 20 ka BP) was the most recent period with large ice sheets in Eurasia and North America. At that time, global temperatures were 5–7 ∘C lower than today, and sea level ∼125 m lower. LGM simulations are useful to understand earth system dynamics, including climate–ice sheet interactions, and to evaluate and improve the models representing those dynamics. Here, we present two simulations of the Northern Hemisphere ice sheet climate and surface mass balance (SMB) with the Community Earth System Model v2.1 (CESM2.1) using the Community Atmosphere Model v5 (CAM5) with prescribed ice sheets for two time periods that bracket the LGM period: 26 and 21 ka BP. CESM2.1 includes an explicit simulation of snow/firn compaction, albedo, refreezing, and direct coupling of the ice sheet surface energy fluxes with the atmosphere. The simulated mean snow accumulation is lowest for the Greenland and Barents–Kara Sea ice sheets (GrIS, BKIS) and highest for British and Irish (BIIS) and Icelandic (IcIS) ice sheets. Melt rates are negligible for the dry BKIS and GrIS, and relatively large for the BIIS, North American ice sheet complex (NAISC; i.e. Laurentide, Cordilleran, and Innuitian), Scandinavian ice sheet (SIS), and IcIS, and are reduced by almost a third in the colder (lower temperature) 26 ka BP climate compared with 21 ka BP. The SMB is positive for the GrIS, BKIS, SIS, and IcIS during the LGM (26 and 21 ka BP) and negative for the NAISC and BIIS. Relatively wide ablation areas are simulated along the southern (terrestrial), Pacific and Atlantic margins of the NAISC, across the majority of the BIIS, and along the terrestrial southern margin of the SIS. The integrated SMB substantially increases for the NAISC and BIIS in the 26 ka BP climate, but it does not reverse the negative sign. Summer incoming surface solar radiation is largest over the high interior of the NAISC and GrIS, and minimum over the BIIS and southern margin of NAISC. Summer net radiation is maximum over the ablation areas and minimum where the albedo is highest, namely in the interior of the GrIS, northern NAISC, and all of the BKIS. Summer sensible and latent heat fluxes are highest over the ablation areas, positively contributing to melt energy. Refreezing is largest along the equilibrium line altitude for all ice sheets and prevents 40 %–50 % of meltwater entering the ocean. The large simulated melt for the NAISC suggests potential biases in the climate simulation, ice sheet reconstruction, and/or highly non-equilibrated climate and ice sheet at the LGM time.","","en","journal article","","","","","","","","","","","Physical and Space Geodesy","","",""
"uuid:8d85050b-8534-49f2-9b43-c3176d55fb82","http://resolver.tudelft.nl/uuid:8d85050b-8534-49f2-9b43-c3176d55fb82","Bromate removal in an ozone - granular activated carbon filtration process for organic micropollutants removal from wastewater","van der Hoek, J.P. (TU Delft Sanitary Engineering; Waternet); Deng, Tianyi (Student TU Delft; Witteveen+Bos); Spit, Tiza (Witteveen+Bos); Luimstra, Veerle (Witteveen+Bos); de Kreuk, M.K. (TU Delft Water Management); van Halem, D. (TU Delft Sanitary Engineering)","","2024","Organic micropollutants (OMPs) enter the aquatic environment via municipal wastewater treatment plants (WWTPs). As conventional WWTPs have limited capacity for the removal of OMPs, additional processes are required, like ozone - granular activated carbon (GAC) filtration. A specific lay-out of this process is the O3-STEP® process, in which the removal of suspended solids, OMPs, phosphate and nitrate is combined. However, ozonation may result in formation of bromate, a compound with a strict water quality standard of 1 μg/L for surface waters in The Netherlands. This limits the applicability of ozonation in wastewater treatment. This study examined biological bromate removal associated with denitrification processes in the GAC filter of the O3-STEP® process. In this GAC filter methanol is dosed for nitrate removal by biological denitrification. In column experiments, bromate and nitrate were removed simultaneously under both anoxic and oxic conditions. Depletion of oxygen within the biofilm surrounding the GAC granules most probably is the reason for denitrification under oxic bulk conditions, although aerobic denitrification cannot be excluded. In batch experiments, the presence of nitrate did not affect bromate removal, whereas the presence of dissolved oxygen had a slight inhibitory effect on bromate removal and nitrate removal. Addition of methanol increased both nitrate and bromate removal, which is hypothesized to occur through an increased availability of electron donors in the water. The results show that a denitrifying GAC filter in the ozone - GAC filtration process mitigates the bromate formation, which broadens the applicability of this process for OMP removal from wastewater.","Bromate; Denitrification; Nitrate; Organic micropollutants; Ozone – granular activated carbon filtration","en","journal article","","","","","","","","","","Water Management","Sanitary Engineering","","",""
"uuid:fba66db0-cb97-4465-98b5-41b24d149ed5","http://resolver.tudelft.nl/uuid:fba66db0-cb97-4465-98b5-41b24d149ed5","Surface modification of multilayer graphene electrodes by local printing of platinum nanoparticles using spark ablation for neural interfacing","Bakhshaee Babaroud, N. (TU Delft Bio-Electronics); Rice, S.J. (TU Delft EKL Processing); Camarena, M. (Student TU Delft); Serdijn, W.A. (TU Delft Bio-Electronics; Erasmus MC); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); Giagka, Vasiliki (TU Delft Bio-Electronics; Fraunhofer Institute for Reliability and Microintegration IZM)","","2024","In this paper, we present the surface modification of multilayer graphene electrodes with platinum (Pt) nanoparticles (NPs) using spark ablation. This method yields an individually selective local printing of NPs on an electrode surface at room temperature in a dry process. NP printing is performed as a post-process step to enhance the electrochemical characteristics of graphene electrodes. The NP-printed electrode shows significant improvements in impedance, charge storage capacity (CSC), and charge injection capacity (CIC), versus the equivalent electrodes without NPs. Specifically, electrodes with 40% NP surface density demonstrate 4.5 times lower impedance, 15 times higher CSC, and 4 times better CIC. Electrochemical stability, assessed via continuous cyclic voltammetry (CV) and voltage transient (VT) tests, indicated minimal deviations from the initial performance, while mechanical stability, assessed via ultrasonic vibration, is also improved after the NP printing. Importantly, NP surface densities up to 40% maintain the electrode optical transparency required for compatibility with optical imaging and optogenetics. These results demonstrate selective NP deposition and local modification of electrochemical properties in graphene electrodes for the first time, enabling the cohabitation of graphene electrodes with different electrochemical and optical characteristics on the same substrate for neural interfacing.","","en","journal article","","","","","","","","","","","Bio-Electronics","","",""
"uuid:2dacdbff-780a-4add-8b4a-972e21418ce3","http://resolver.tudelft.nl/uuid:2dacdbff-780a-4add-8b4a-972e21418ce3","Fear of Missing Out: Constrained Trial of Blockchain in Supply Chain","Kromes, R.G. (TU Delft Web Information Systems); Li, T. (TU Delft Cyber Security); Bouillion, Maxime (Windesheim University of Applied Sciences); Güler, Talha Enes (Koç University); van der Hulst, Victor (Windesheim University of Applied Sciences); Erkin, Z. (TU Delft Cyber Security)","","2024","Blockchain’s potential to revolutionize supply chain and logistics with transparency and equitable stakeholder engagement is significant. However, challenges like scalability, privacy, and interoperability persist. This study explores the scarcity of real-world blockchain implementations in supply chain and logistics since we have not witnessed many real-world deployments of blockchain-based solutions in the field. Puzzled by this, we integrate technology, user experience, and operational efficiency to illuminate the complex landscape of blockchain integration. We present blockchain-based solutions in three use cases, comparing them with alternative designs and analyzing them in terms of technical, economic, and operational aspects. Insights from a tailored questionnaire of 50 questions addressed to practitioners and experts offer crucial perspectives on blockchain adoption. One of the key findings from our work shows that half of the companies interviewed agree that they will miss the potential for competitive advantage if they do not invest in blockchain technology, and 61% of the companies surveyed claimed that their customers ask for more transparency in supply chain-related transactions. However, only one-third of the companies were aware of the main features of blockchain technology, which shows a lack of knowledge among the companies that may lead to a weaker blockchain adaption in supply chain use cases. Our readers should note that our study is specifically contextualized in a Netherlands-funded national project. We hope that researchers as well as stakeholders in supply chain and logistics can benefit from the insights of our work.","blockchain; DLT; supply chain; transparency; digital transformation; traceability","en","journal article","","","","","","","","","","","Web Information Systems","","",""
"uuid:3d772f70-28a8-4eba-834b-db2ecaa7a366","http://resolver.tudelft.nl/uuid:3d772f70-28a8-4eba-834b-db2ecaa7a366","The role of drag and gravity on dust concentration in a gravitationally unstable disc","Rowther, Sahl (University of Warwick; University of Leicester); Nealon, Rebecca (University of Warwick); Meru, Farzana (University of Warwick); Wurster, James (University of St Andrews); Aly, H.A. (TU Delft Planetary Exploration; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Alexander, Richard (University of Leicester); Rice, Ken (University of Edinburgh); Booth, Richard A (University of Leeds)","","2024","We carry out three-dimensional smoothed particle hydrodynamics simulations to study the role of gravitational and drag forces on the concentration of large dust grains (St > 1) in the spiral arms of gravitationally unstable protoplanetary discs, and the resulting implications for planet formation. We find that both drag and gravity play an important role in the evolution of large dust grains. If we include both, grains that would otherwise be partially decoupled will become well coupled and trace the spirals. For the dust grains most influenced by drag (with Stokes numbers near unity), the dust disc quickly becomes gravitationally unstable and rapidly forms clumps with masses between 0.15–6M⨁. A large fraction of clumps are below the threshold where runaway gas accretion can occur. However, if dust self-gravity is neglected, the dust is unable to form clumps, despite still becoming trapped in the gas spirals. When large dust grains are unable to feel either gas gravity or drag, the dust is unable to trace the gas spirals. Hence, full physics is needed to properly simulate dust in gravitationally unstable discs. Dust trapping of large grains in spiral arms of discs stable to gas fragmentation could explain planet formation in very young discs by a population of planetesimals formed due to the combined roles of drag and gravity in the earliest stages of a disc’s evolution. Furthermore, it highlights that gravitationally unstable discs are not just important for forming gas giants quickly, it can also rapidly form Earth mass bodies.","Hydrodynamics; protoplanetary disks; planets and satellites: formation","en","journal article","","","","","","","","","","","Planetary Exploration","","",""
"uuid:8ded61c8-bd00-49c9-ab6c-4dcb04206ae6","http://resolver.tudelft.nl/uuid:8ded61c8-bd00-49c9-ab6c-4dcb04206ae6","EV Smart Charging in Distribution Grids - Experimental evaluation using Hardware in the Loop Setup","Yu, Y. (TU Delft DC systems, Energy conversion & Storage); De Herdt, Lode; Shekhar, A. (TU Delft DC systems, Energy conversion & Storage); Chandra Mouli, G.R. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2024","The rising demand for electric vehicles (EVs) in the face of limited grid capacity encourages the development and implementation of smart charging (SC) algorithms. Experimental validation plays a pivotal role in advancing this field. This article formulates a hierarchical mixed integer programming EV SC algorithm designed for low voltage (LV) distribution grid applications. A flexible receding horizon scheme is introduced in response to system uncertainties. It also considers the practical constraints in protocols, such as IEC/ISO 15118 and IEC 61851-1. The proposed algorithm is verified and assessed in a power hardware-in-the-loop testbed that incorporates models of real LV distribution grids. Furthermore, the algorithm's capabilities are examined through eight scenarios, out of which four focus on the uncertainties of the input data and two address the engagement of extra grid capacity restrictions. The results demonstrate that the SC algorithm adequately lowers the EV charging cost while fulfilling the charging demand, and substantially reduces the peak power as well as the overloading duration, even when faced with input data uncertainty. The additional grid restrictions in place are proven to improve peak demand reduction and overloading mitigation further. Finally, the limitations and potentials of the developed algorithm are scrutinized.","Costs; Distribution Grid; Electric Vehicle; Hardware-In-the-Loop; Hardware-in-the-loop simulation; Optimization; Protocols; Real-time systems; Smart charging; Software algorithms","en","journal article","","","","","","","","","","","DC systems, Energy conversion & Storage","","",""
"uuid:c392018e-03aa-4706-bc85-3eaefd1f10e7","http://resolver.tudelft.nl/uuid:c392018e-03aa-4706-bc85-3eaefd1f10e7","Human Merging Behavior in a Coupled Driving Simulator: How Do We Resolve Conflicts?","Siebinga, O. (TU Delft Human-Robot Interaction); Zgonnikov, A. (TU Delft Human-Robot Interaction); Abbink, D.A. (TU Delft Human-Robot Interaction; TU Delft Human-Centred Artificial Intelligence)","","2024","Traffic interactions between merging and highway vehicles are a major topic of research, yielding many empirical studies and models of driver behaviour. Most of these studies on merging use naturalistic data. Although this provides insight into human gap acceptance and traffic flow effects, it obscures the operational inputs of interacting drivers. Besides that, researchers have no control over the vehicle kinematics (i.e., positions and velocities) at the start of the interactions. Therefore the relationship between initial kinematics and the outcome of the interaction is difficult to investigate. To address these gaps, we conducted an experiment in a coupled driving simulator with a simplified, top-down view, merging scenario with two vehicles. We found that kinematics can explain the outcome (i.e., which driver merges first) and the duration of the merging conflict. Furthermore, our results show that drivers use key decision moments combined with constant acceleration inputs (intermittent piecewise-constant control) during merging. This indicates that they do not continuously optimise their expected utility. Therefore, these results advocate the development of interaction models based on intermittent piecewise-constant control. We hope our work can contribute to this development and to the fundamental knowledge of interactive driver behaviour.","Data models; Games; Kinematics; Measurement; Merging; Vehicles; Visualization","en","journal article","","","","","","","","","","","Human-Robot Interaction","","",""
"uuid:537e7f7c-4208-41bd-8cd8-01174a7798ab","http://resolver.tudelft.nl/uuid:537e7f7c-4208-41bd-8cd8-01174a7798ab","Statistical Modeling of Polarimetric RCS of Road Surfaces for Scattering Simulation and Optimal Antenna Polarization Determination","Bouwmeester, W. (TU Delft Microwave Sensing, Signals & Systems); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2024","Incoherent backscattering of mm-waves from natural rough surfaces is considered. A novel method is proposed to determine the statistical properties of surface scattering from range profile measurements. The method is based on modeling the road surface as a grid of uncorrelated scattering elements, described by normalized scattering matrices. Using this model, expressions are derived to estimate the mean value and covariance matrix of surface scattering from measurement data. This procedure is then applied to measurement data of four road surface types, namely: 1) dry asphalt, 2) wet asphalt, 3) asphalt covered by basalt gravel, and 4) old asphalt. Using the derived statistical normalized radar cross-section models, two novel applications are proposed. First, a procedure for synthesizing/simulating surface clutter is proposed. This procedure is subsequently used to simulate received power from surfaces comprising patches of one or multiple road surface conditions. Excellent agreement between simulation and measurement results is demonstrated. Second, a method for determining the optimal polarization of the electromagnetic sensing waves used in a single-polarized radar system is proposed. This method is based on factorizing the antenna polarization vector into two bounded parameters, allowing for numerical evaluation of the minima and maxima for targets with a specified scattering matrix. This method is further extended to work with statistical descriptions of scattering matrices by means of Monte Carlo simulations.","automotive; polarimetry; radar; radar cross section (RSC); simulation; surface clutter","en","journal article","","","","","","","","","","","Microwave Sensing, Signals & Systems","","",""
"uuid:c7142c8f-f8ac-421e-a6f5-cbcc6abc72cf","http://resolver.tudelft.nl/uuid:c7142c8f-f8ac-421e-a6f5-cbcc6abc72cf","Haptic Shared Control for Dissipating Phantom Traffic Jams","Koerten, K.O. (TU Delft Human-Robot Interaction); Abbink, D.A. (TU Delft Human-Robot Interaction; TU Delft Human-Centred Artificial Intelligence); Zgonnikov, A. (TU Delft Human-Robot Interaction)","","2024","Traffic jams occurring on highways cause increased travel time as well as increased fuel consumption and collisions. So-called phantom traffic jams are traffic jams that do not have a clear cause, such as a merging on-ramp or an accident. Phantom traffic jams make up 50% of all traffic jams and result from instabilities in the traffic flow that are caused by human driving behavior. Automating the longitudinal vehicle motion of only 5% of all cars in the flow can dissipate phantom traffic jams. However, driving automation introduces safety issues when human drivers need to take over the control from the automation. We investigated whether phantom traffic jams can be dissolved using haptic shared control. This keeps humans in the loop and thus bypasses the problem of humans’ limited capacity to take over control, while benefiting from most advantages of automation. In an experiment with 24 participants in a driving simulator, we tested the effect of haptic shared control on the dynamics of traffic flow and compared it with manual control and full automation. We also investigated the effect of two control types on participants’ behavior during simulated silent automation failures. Results show that haptic shared control can help dissipating phantom traffic jams better than fully manual control but worse than full automation. We also found that haptic shared control reduces the occurrence of unsafe situations caused by silent automation failures compared to full automation. Our results suggest that haptic shared control can dissipate phantom traffic jams while preventing safety risks associated with full automation.","Active pedals; Automation; Automobiles; Behavioral sciences; driving simulator; Haptic interfaces; haptic shared control; longitudinal vehicle motion; phantom traffic jams; Phantoms; Roads; silent automation failure; Vehicles","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-10","","","Human-Robot Interaction","","",""
"uuid:fe8625a2-3fe6-4dd8-8b94-cc74b18aca59","http://resolver.tudelft.nl/uuid:fe8625a2-3fe6-4dd8-8b94-cc74b18aca59","Ambient air pollution and consumer spending: Evidence from Spain","Brandt, John (World Resources Institute); Goyal, N. (TU Delft Organisation & Governance); Moroney, Matthew (Raise Green, Inc.); Janaskie, Sophie (Stanford University); Hsu, Angel (University of North Carolina at Chapel Hill)","","2024","Research on the economic burden of air pollution has focused primarily on its macroeconomic impact. However, as some studies have found that air pollution can lead to avoidance behavior–for example, reducing the time spent outdoors–we hypothesize that it can also influence consumer spending activity. We combine high frequency data on ozone and fine particulate pollution with daily consumer spending in brick-and-mortar retail in 129 postal codes in Spain during 2014 to estimate the association between the two. Using a linear fixed effects model, we find that a 1-standard deviation increase in ozone concentration (20.97 μg/m3) is associated with 3.9 percent decrease in consumer spending (95% CI: -0.066, -0.012; p<0.01). The association of fine particulate matter with consumer spending is, however, not statistically significant (β: 0.005; 95% CI: -0.009, 0.018; p>0.10). Further, we do not observe a sufficiently strong bounce-back in consumer spending in the day–or even the week–following higher ozone concentration. Also, we find that the relationship between ozone concentration and consumer spending is heterogeneous, with those aged below 25 and those aged 45 or above exhibiting stronger negative association. This research informs policymakers about a plausibly unaccounted cost of ambient air pollution, even at concentrations lower than the WHO air quality guideline for short-term exposure.","","en","journal article","","","","","","","","","","","Organisation & Governance","","",""
"uuid:00c1faa5-790b-4540-b7e3-ea2eb456faf7","http://resolver.tudelft.nl/uuid:00c1faa5-790b-4540-b7e3-ea2eb456faf7","On the importance of data encoding in quantum Boltzmann methods","Schalkers, M.A. (TU Delft Numerical Analysis); Möller, M. (TU Delft Numerical Analysis)","","2024","In recent years, quantum Boltzmann methods have gained more and more interest as they might provide a viable path toward solving fluid dynamics problems on quantum computers once this emerging compute technology has matured and fault-tolerant many-qubit systems become available. The major challenge in developing a start-to-end quantum algorithm for the Boltzmann equation consists in encoding relevant data efficiently in quantum bits (qubits) and formulating the streaming, collision and reflection steps as one comprehensive unitary operation. The current literature on quantum Boltzmann methods mostly proposes data encodings and quantum primitives for individual phases of the pipeline, assuming that they can be combined to a full algorithm. In this paper, we disprove this assumption by showing that for encodings commonly discussed in the literature, either the collision or the streaming step cannot be unitary. Building on this landmark result, we propose a novel encoding in which the number of qubits used to encode the velocity depends on the number of time steps one wishes to simulate, with the upper bound depending on the total number of grid points. In light of the non-unitarity result established for existing encodings, our encoding method is to the best of our knowledge the only one currently known that can be used for a start-to-end quantum Boltzmann solver where both the collision and the streaming step are implemented as a unitary operation.","Computational fluid dynamics; Lattice Boltzmann; Quantum data encoding; Quantum fluid dynamics; Quantum lattice Boltzmann","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-05","","","Numerical Analysis","","",""
"uuid:b41ebaa1-d116-4b56-91e3-0feba728ec51","http://resolver.tudelft.nl/uuid:b41ebaa1-d116-4b56-91e3-0feba728ec51","Four-dimensional computational ultrasound imaging of brain hemodynamics","Brown, Michael D. (Erasmus MC; University College London (UCL)); Generowicz, Bastian S. (Erasmus MC); Dijkhuizen, Stephanie (Erasmus MC); Koekkoek, Sebastiaan K.E. (Erasmus MC); Strydis, C. (TU Delft Computer Engineering; Erasmus MC); Bosch, Johannes G. (Erasmus MC); Arvanitis, Petros (Erasmus MC); Springeling, Geert (Erasmus MC); Leus, G.J.T. (TU Delft Signal Processing Systems); De Zeeuw, Chris I. (Erasmus MC; Royal Dutch Academy for Arts and Sciences, Amsterdam); Kruizinga, P. (TU Delft Signal Processing Systems; Erasmus MC)","","2024","Four-dimensional ultrasound imaging of complex biological systems such as the brain is technically challenging because of the spatiotemporal sampling requirements. We present computational ultrasound imaging (cUSi), an imaging method that uses complex ultrasound fields that can be generated with simple hardware and a physical wave prediction model to alleviate the sampling constraints. cUSi allows for high-resolution four-dimensional imaging of brain hemodynamics in awake and anesthetized mice.","","en","journal article","","","","","","","","","","","Computer Engineering","","",""
"uuid:af987156-1e5c-46ac-a9f0-6f26d284c570","http://resolver.tudelft.nl/uuid:af987156-1e5c-46ac-a9f0-6f26d284c570","Comprehensive validation of three-dimensional finite element modelling of wheel-rail high-frequency interaction via the V-Track test rig","Zhang, P. (TU Delft Railway Engineering); He, C. (TU Delft Railway Engineering); Shen, C. (TU Delft Railway Engineering); Dollevoet, R.P.B.J. (TU Delft Railway Engineering); Li, Z. (TU Delft Railway Engineering)","","2024","Wheel-rail high-frequency interaction is closely related to the formation of railway short-wave defects. Finite element (FE) method has been widely used to simulate wheel-rail dynamic systems, but its validity in modelling high-frequency interaction has not been fully demonstrated in three dimensions (3D). This work aims at comprehensively validating the 3D FE modelling of wheel-rail high-frequency interaction using a downscale V-Track test rig. First, the FE model of the V-Track is developed that comprehensively includes the 3D track elasticity. The simulated track dynamic behaviours are validated against hammer tests, and the major vibration modes are analyzed employing modal analysis. Afterwards, the simulate wheel-rail dynamic responses are comprehensively compared with measurement results up to 10 kHz. Their characteristic frequencies are identified and correlated to the eigenmodes of the vehicle-track system. The results indicate that the proposed 3D FE model is capable of comprehensively and accurately simulating the 3D track dynamics and wheel-rail dynamic interaction of the V-Track up to 10 kHz. Rail vibrations dominate the wheel-rail dynamic contact within 10 kHz, while the wheel vibrations play an increasingly important role at higher frequencies and become decisive near the wheel eigenmode frequencies. The V-Track overall achieves dynamic similarity to the real vehicle-track system.","3D finite element modelling; comprehensive validation; high-frequency interaction; V-Track test rig; vibration modes; Wheel-rail dynamic system","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:f9fa681b-aabc-46d2-9e6e-3a8ef4e2e932","http://resolver.tudelft.nl/uuid:f9fa681b-aabc-46d2-9e6e-3a8ef4e2e932","Service Design as Formgiving: Breaking Free from the Marketing-Dominant Logic","Secomandi, Fernando (TU Delft Creative Processes)","","2024","","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-01","","","Creative Processes","","",""
"uuid:cb912bca-7368-44cd-ae61-a8784be11712","http://resolver.tudelft.nl/uuid:cb912bca-7368-44cd-ae61-a8784be11712","Research Avenues Supporting Embodied Cognition in Learning and Instruction","Castro-Alonso, Juan C. (University of Birmingham); Ayres, Paul (University of New South Wales); Zhang, S. (TU Delft Statistics; Erasmus Universiteit Rotterdam); de Koning, Björn B. (Erasmus Universiteit Rotterdam); Paas, Fred (Erasmus Universiteit Rotterdam; University of Wollongong)","","2024","Research on embodied cognition acknowledges that cognitive processing is tightly coupled with bodily activities and the environment. An important implication for education is that learning can be enhanced when the brain, body, and environment mutually influence each other, such as when making or observing human actions, especially those involving hand gestures and manipulation of objects. In this narrative review article, we describe the evidence from six research avenues that can help explain why embodied cognition can enhance learning and instruction. Through the exploration of these six interconnected research pathways, we aim to make a significant contribution by proposing innovative directions for learning and instruction research, all rooted in the principles of embodied cognition. We establish a direct link between the six research pathways and embodied phenomena, both in the contexts of making and observing human movements. When making human movements, the research avenues explaining the learning benefits due to these movements are physical activity, generative learning, and offloaded cognition. When observing human movements, the avenues researching these phenomena are specialized processor and signaling. Lastly, the research avenue social cognition is integral to both making and observing human movements. With originality in focus, we also include research that has not been traditionally associated with embodied cognition or embodiment. This article offers comprehensive discussions, substantiated with evidence and influencing features, for each of these research avenues. We conclude by outlining the implications of these findings for instruction and charting potential directions for future investigation.","Embodied cognition; Embodiment and education; Gesture and gesturing; Human body action and hand movement; Object manipulation and model","en","review","","","","","","","","","","","Statistics","","",""
"uuid:41c390b0-ee80-44b5-ba22-e3eb4e20ebb5","http://resolver.tudelft.nl/uuid:41c390b0-ee80-44b5-ba22-e3eb4e20ebb5","MoO3 nanowire growth on VO2/WO3 for thermochromic applications","Houimi, Amina (Necmettin Erbakan University; Bilkent University); Basyooni, Mohamed A. (TU Delft Dynamics of Micro and Nano Systems; Necmettin Erbakan University; National Research Institute of Astronomy and Geophysics); Yılmaz, Mücahit (Necmettin Erbakan University); Eker, Yasin Ramazan (Necmettin Erbakan University)","","2024","This study explores the structural, electronic, and optical properties of sandwich-structured thin films composed of WO3, MoWO3, and MoO3 as window layers on VO2/WO3 via a physical vapor deposition method. Morphological analysis demonstrates the evolution of distinct nanowires, offering insights into the lattice strain of the VO2 layer toward high-performance thermochromatic devices. Temperature-dependent sheet resistivity is investigated, showcasing significant improvements in conductivity for samples with MoO3 as a window layer. The electrical and optical properties of the MoO3/VO2/WO3 device showed a phase transition temperature (Tc) of 36.8 °C, a transmittance luminous (Tlum) of 54.57%, and a solar modulation ability (ΔTsol) of 12.43. This comprehensive analysis contributes to understanding the growth of nanowires on multi-layered thin films, offering valuable insights into potential applications in bright windows.","","en","journal article","","","","","","","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:0465a210-8c78-487d-8964-26ac84781ec9","http://resolver.tudelft.nl/uuid:0465a210-8c78-487d-8964-26ac84781ec9","Experimental comparative study on thermal performance of latent heat storage tanks with pin, perforated, and rectangular fins at different orientations","Safari, Vahid (Carlos III University of Madrid); Kamkari, Babak (Ulster University); Hewitt, Neil (Ulster University); Hooman, K. (TU Delft Heat Transformation Technology)","","2024","The low thermal conductivity of phase change materials (PCMs) has limited their widespread use in practical applications. In the present study, different fin structures, namely, rectangular, perforated, and pin were examined to analyze the thermal performance of the melting process in rectangular latent heat storage tanks. Experiments were performed at both horizontal and vertical orientations to evaluate the effectiveness of different fin configurations. Visual observation of the phase change evolution at different time intervals was enabled through a transparent plexiglass shell. Instantaneous heat transfer rate and energy storage were measured using thermocouple readings and melting photographs. The results show that the maximum heat transfer coefficient between the heated wall and PCM is obtained by the pin-finned tank followed by perforated and rectangular-finned tanks. This thermal behavior is justified by the intensification of the upward convection flows through the voids provided by pin fins or perforated fins. Although the rectangular fin structure has the lowest convective heat transfer coefficient, its heat transfer rate is slightly higher than the other structures due to its larger heat transfer area. At a wall temperature of 70℃, the convective heat transfer coefficient and heat transfer rate obtained by the pin fin configuration are respectively 25% higher and 4% less than those of the rectangular fin. It reveals that the pin fin structure provides the most effective heat transfer area compared to its counterparts which have a significantly larger fin volume. In addition, it was found that regardless of the fin configuration, the melting rate in the horizontal tank was significantly higher than in the vertical tank due to the formation of more vortical flow structures within the molten PCM. The melting time in the unfinned horizontal tank was less than those of the vertical finned tanks implying that the tank orientation should be well-chosen to minimize the melting time along with adding fins of various configurations.","Heat transfer; Latent heat storage (LHS); Melting; Perforated fin; Phase change materials (PCM); Pin fin; Rectangular fin","en","journal article","","","","","","","","","","","Heat Transformation Technology","","",""
"uuid:d2e4a0d0-55d6-4d3a-a907-86aea7b9bf70","http://resolver.tudelft.nl/uuid:d2e4a0d0-55d6-4d3a-a907-86aea7b9bf70","Using System Dynamics to Support Strategic Digitalization Decisions","Kaya, H.D. (TU Delft Organisation & Governance; Middle East Technical University); Dikmen, Irem (University of Reading)","","2024","Although digitalization has become a prospect that is counted on for many problems in the construction industry, there have been limited attempts at exploring decision-making processes in construction firms concerning the integration of digital technologies and impacts beyond the projects. In this research, the system dynamics (SD) approach was proposed to investigate digitalization as a strategic decision considering the inherent relationships between project company and business levels. The SD model was conceptualized, formulated, and tested by conducting a demonstrative case study within a modular construction company. Conforming to the strategic priorities of the case company, business process engineering principles were adopted to model the existing practices and assess the impacts of implementing digital technologies such as building information modeling (BIM), enterprise resource planning (ERP), and radio frequency identification (RFID) at different maturity levels. The simulation tests revealed that the impacts of technologies are influenced by the internal dynamics of projects and company competencies as well as external uncertainties. The SD model has the potential to improve strategic decision-making by anticipating the causalities and feedback between the decisions and consequences of technology integration. The findings and model development steps proposed in this paper can be used by other companies that aim to make process improvements with digital technologies as well as researchers exploring the implications of digitalization in construction considering competencies and uncertainties.","Digitalization; Strategic decision-making; System dynamics modeling","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-18","","","Organisation & Governance","","",""
"uuid:0e95f2d6-14eb-425c-8826-a1665c214531","http://resolver.tudelft.nl/uuid:0e95f2d6-14eb-425c-8826-a1665c214531","Living labs for user empowerment and value delivery in social housing upgrading processes","Kowaltowski, D.C.C.K. (University of Campinas); Gomes da Silva, V. (University of Campinas); van Oel, C.J. (TU Delft Design & Construction Management); Granja, A.D. (University of Campinas); Muianga, E.A.D. (University of Campinas); Kabisch, S. (Helmholtz Centre for Environmental Research - UFZ); De Carvalho Moreira, D. (University of Campinas); Koolwijk, J.S.J. (TU Delft Design & Construction Management); Pößneck, J. (Helmholtz Centre for Environmental Research - UFZ)","","2024","Upgrading existing social housing (SH) requires user-centred participatory processes to promote values. Comparative case studies in Brazil, Germany, the Netherlands, and the UK are presented. Living Labs (LLs) were conducted for the delivery of user values and to promote an informed decision-making process. Tools and LL activities were tested to engage stakeholders in the upgrading process, support the co-creation of solutions and address social and societal challenges. The main research aims were to facilitate SH upgrading processes focusing on the delivery of value for users, achieving end-user empowerment, as well as assessing participatory decision-making through LLs. Research goals were achieved in each case study setting. The evaluation of specific cases informed a conceptual framework and guidelines to facilitate upgrading through LLs in varied SH landscapes.","user-centred design; participatory design; case studies; living labs; social housing upgrading","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:b917963e-f07c-420a-b163-47c4703d73ea","http://resolver.tudelft.nl/uuid:b917963e-f07c-420a-b163-47c4703d73ea","Changes in Health-Related Quality of Life following Surgery in Patients with High-Grade Extremity Soft-Tissue Sarcoma: A Prospective Longitudinal Study","Kruiswijk, Anouk (Leiden University Medical Center); Sande, MAJ van de (Leiden University Medical Center); Verhoef, Cornelis (Erasmus MC); Schrage, Yvonne M. (Netherlands Cancer Institute); Haas, Rick L (Netherlands Cancer Institute; Leiden University Medical Center); Bemelmans, Marc H. A. (Maastricht University Medical Center); van Ginkel, Robert J. (University Medical Center Groningen); Bonenkamp, Johannes J. (Radboud University Medical Center); Witkamp, Arjen J. (University Medical Center Utrecht); van den Akker-van Marle, M. Elske (Leiden University Medical Center); Marang-van de Mheen, P.J. (TU Delft Safety and Security Science); van Bodegom-Vos, Leti (Leiden University Medical Center)","","2024","Introduction: Changes in health-related quality of life (HRQoL) during the diagnostic and treatment trajectory of high-grade extremity soft-tissue sarcoma (eSTS) has rarely been investigated for adults (18–65 y) and the elderly (aged ≥65 y), despite a potential variation in challenges from diverse levels of physical, social, or work-related activities. This study assesses HRQoL from time of diagnosis to one year thereafter among adults and the elderly with eSTS. Methods: HRQoL of participants from the VALUE-PERSARC trial (n = 97) was assessed at diagnosis and 3, 6 and 12 months thereafter, utilizing the PROMIS Global Health (GH), PROMIS Physical Function (PF) and EQ-5D-5L. Results: Over time, similar patterns were observed in all HRQoL measures, i.e., lower HRQoL scores than the Dutch population at baseline (PROMIS-PF:46.8, PROMIS GH-Mental:47.3, GH-Physical:46.2, EQ-5D-5L:0.76, EQ-VAS:72.6), a decrease at 3 months, followed by an upward trend to reach similar scores as the general population at 12 months (PROMIS-PF:49.9, PROMIS GH-Physical:50.1, EQ-5D-5L:0.84, EQ-VAS:81.5), except for the PROMIS GH-Mental (47.5), where scores remained lower than the general population mean (T = 50). Except for the PROMIS-PF, no age-related differences were observed. Conclusions: On average, eSTS patients recover well physically from surgery, yet the mental component demonstrates no progression, irrespective of age. These results underscore the importance of comprehensive care addressing both physical and mental health.","soft-tissue sarcoma; extremity; Health-related quality of life; physical functioning; mental health","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:46a223bf-9b97-420b-86f3-bb96d2add9fd","http://resolver.tudelft.nl/uuid:46a223bf-9b97-420b-86f3-bb96d2add9fd","An interface-enriched generalized finite element method for the analysis and topology optimization of 2-D electromagnetic problems","van Bergen, Steven (Student TU Delft); Norte, R.A. (TU Delft QN/Groeblacher Lab; TU Delft Dynamics of Micro and Nano Systems; Kavli institute of nanoscience Delft); Aragon, A.M. (TU Delft Computational Design and Mechanics)","","2024","The computational analysis of nanophotonic devices is usually carried out via the standard finite element method (FEM). However, FEM requires meshes that are fitted to the devices’ boundaries, so making changes to the geometry (and thus the mesh) results in an inefficient process at best. Such an approach is therefore at odds when conducting design, which requires the analysis of multiple device geometries until reaching a satisfactory solution. Computational design tools such as topology optimization are often used, but the use of density-based representations of geometry inevitably leads to other issues—e.g., pixelized fuzzy boundaries with “gray material” (that does not correspond to dielectric nor vacuum) have an adverse effect on the devices’ interaction with electromagnetic waves. In this paper we propose an interface-enriched generalized finite element method (IGFEM) for the analysis of two-dimensional electromagnetic scattering and eigenvalue problems. IGFEM enables the use of finite element meshes that are completely decoupled from the problem's geometry. The analysis procedure is further coupled to a level set description of topology, resulting in a versatile enriched approach to topology optimization; this level set-based interface-enriched topology optimization procedure is devoid of the issues mentioned above regarding density-based methods, and yields crisp “black-and-white” designs that are devoid of jagged fuzzy edges. We first demonstrate that the analysis procedure achieves the same convergence rate as that of standard FEM using geometry-fitted meshes. We then compare the convergence properties of IGFEM with Nitsche's method on a problem containing an embedded straight interface. Finally, we conduct topology optimization for designing both a 2-D metalens and a 2-D reflector, maximizing their ability to focus light onto a target point.","Electromagnetics; Enriched finite element analysis; Interface-enriched generalized finite element method (IGFEM); Level set method; Topology optimization","en","journal article","","","","","","","","","","","QN/Groeblacher Lab","","",""
"uuid:3d0c1cf8-8e92-459a-8f6c-01f204cb1cdf","http://resolver.tudelft.nl/uuid:3d0c1cf8-8e92-459a-8f6c-01f204cb1cdf","Enzyme engineering for biocatalysis","Paul, C.E. (TU Delft BT/Biocatalysis); Hanefeld, U. (TU Delft BT/Biocatalysis); Hollmann, F. (TU Delft BT/Biocatalysis); Qu, Ge (Chinese Academy of Sciences); Yuan, Bo (Chinese Academy of Sciences); Sun, Zhoutong (Chinese Academy of Sciences)","","2024","Contemporary Biocatalysis heavily relies on enzyme engineering as natural enzymes frequently lack the requisite attributes for effective organic synthesis. The inherent limitations in stability, catalytic activity, and selectivity of wild-type enzymes often hinder their suitability for chemical synthesis. Over the past 25 years, there has been an unprecedented advancement in protein engineering tools, empowering enzymologists to customise enzymes to precisely meet the demands of organic synthesis. In this discussion, we delineate some of the most crucial techniques in enzyme engineering and their significance in facilitating chemical synthesis.","Biocatalysis; Directed evolution; Iterative saturation mutagenesis; Semi-rational design","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:be47f23e-7857-425b-bc1f-a93f0e1e0c75","http://resolver.tudelft.nl/uuid:be47f23e-7857-425b-bc1f-a93f0e1e0c75","Integrating geospatial, remote sensing, and machine learning for climate-induced forest fire susceptibility mapping in Similipal Tiger Reserve, India","Singha, Chiranjit (University of Visva-Bharati); Swain, Kishore Chandra (University of Visva-Bharati); Moghimi, Armin (Leibniz Universität); Foroughnia, Fatemeh (TU Delft Geo-engineering); Swain, Sanjay Kumar (University of Visva-Bharati)","","2024","Accurately assessing forest fire susceptibility (FFS) in the Similipal Tiger Reserve (STR) is essential for biodiversity conservation, climate change mitigation, and community safety. Most existing studies have primarily focused on climatic and topographical factors, while this research expands the scope by employing a synergistic approach that integrates geographical information systems (GIS), remote sensing (RS), and machine learning (ML) methodologies for identifying and assessing forest fire-prone areas in the STR and their vulnerability to climate change. To achieve this, the study employed a comprehensive dataset of forty-four influencing factors, including topographic, climate-hydrologic, forest health, vegetation indices, radar features, and anthropogenic interference, into ten ML models: neural net (nnet), AdaBag, Extreme Gradient Boosting (XGBTree), Gradient Boosting Machine (GBM), Random Forest (RF), and its hybrid variants with differential evolution algorithm (RF-DEA), Gravitational Based Search (RF-GBS), Grey Wolf Optimization (RF-GWO), Particle Swarm Optimization (RF-PSO), and genetic algorithm (RF-GA). The study revealed high FFS in both the northern and southern portions of the study area, with the nnet and RF-PSO models demonstrating susceptibility percentages of 12.44% and 12.89%, respectively. Conversely, very low FFS zones consistently displayed susceptibility scores of approximately 23.41% and 18.57% for the nnet and RF-PSO models. The robust mapping methodology was validated by impressive AUROC (>0.88) and kappa coefficient (>0.62) scores across all ML validation metrics. Future climate models (ssp245 and ssp585, 2022–2100) indicated high FFS zones along the northern and southern edges of the STR, with the central zone categorized from low to very low susceptibility. Boruta analysis identified actual evapotranspiration (AET) and relative humidity as key factors influencing forest fire ignition. SHAP evaluation reinforced the influence of these factors on FFS, while also highlighting the significant role of distance to road, distance to settlement, dNBR, slope, and humidity in prediction accuracy. These results emphasize the critical importance of the proposed approach for forest fire mapping and provide invaluable insights for firefighting teams, forest management, planning, and qualification strategies to address future fire sustainability.","Boruta-SHAP; Forest fire; Machine learning; Risk map; Susceptibility map","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:6621e1be-439d-45dd-84bb-89aac5fb5775","http://resolver.tudelft.nl/uuid:6621e1be-439d-45dd-84bb-89aac5fb5775","Noninvasive Advanced Cardiovascular Magnetic Resonance-Derived Fontan Hemodynamics Are Associated With Reduced Kidney Function But Not Albuminuria","Van den Eynde, Jef (Leiden University Medical Center); Westenberg, Jos J.M. (Leiden University Medical Center); Hazekamp, Mark G. (Leiden University Medical Center); Lamb, Hildo J. (Leiden University Medical Center); Jongbloed, Monique R.M. (Leiden University Medical Center); Wentzel, Jolanda J. (Erasmus MC); Kenjeres, S. (TU Delft ChemE/Transport Phenomena); Dekkers, Ilona A. (Leiden University Medical Center); Rijnberg, F.M. (TU Delft ChemE/Transport Phenomena; Leiden University Medical Center)","","2024","BACKGROUND: Kidney disease is the most important predictor of death in patients with a Fontan circulation, yet its clinical and hemodynamic correlates have not been well established. METHODS AND RESULTS: A total of 53 ambulatory patients with a Fontan circulation (median age, 16.2 years, 52.8% male patients) underwent advanced cardiovascular magnetic resonance assessment, including 4-dimensional flow imaging and computational fluid dynamics. Estimated glomerular filtration rate (eGFR) <90 mL/min per 1.73 m2 was observed in 20.8% and albumin-to-creatinine ratio >3 mg/mmol in 39.6%. The average eGFR decline rate was -1.83 mL/min per 1.73 m2 per year (95% CI, -2.67 to -0.99; P<0.001). Lower eGFR was associated with older age, larger body surface area at examination, longer time since Fontan procedure, and lower systemic ventricular ejection fraction. Higher albumin-to-creatinine ratio was associated with absence of fenestration at the Fontan operation, and older age and lower systemic ventricular ejection fraction at the assessment. Lower cross-sectional area of the Fontan conduit indexed to flow (r=0.32, P=0.038), higher inferior vena cava-conduit velocity mismatch factor (r=-0.35, P=0.022), higher kinetic energy indexed to flow in the total cavopulmonary connection (r=-0.59, P=0.005), and higher total cavopulmonary connection resistance (r=-0.42, P=0.005 at rest; r=-0.43, P=0.004 during exercise) were all associated with lower eGFR but not with albuminuria. CONCLUSIONS: Kidney dysfunction and albuminuria are common among clinically well adolescents and young adults with a Fontan circulation. Advanced cardiovascular magnetic resonance-derived metrics indicative of declining Fontan hemodynamics are associated with eGFR and might serve as targets to improve kidney health. Albuminuria might be driven by other factors that need further investigation.","albuminuria; chronic kidney disease; computational fluid dynamics; Fontan; hemodynamics; kidney function; magnetic resonance imaging","en","journal article","","","","","","","","","","","ChemE/Transport Phenomena","","",""
"uuid:827b99c0-a7cf-4280-ba3b-0a1f6a7dc1ff","http://resolver.tudelft.nl/uuid:827b99c0-a7cf-4280-ba3b-0a1f6a7dc1ff","Improving Traffic Efficiency With Lane Guidance Based on Desired Speeds","Mahajan, N. (TU Delft Transport and Planning); Hegyi, A. (TU Delft Transport and Planning); Hoogendoorn, S.P. (TU Delft Transport and Planning); van Arem, B. (TU Delft Transport and Planning)","","2024","Drivers initiate a discretionary lane change when they perceive an anticipated improvement in their own driving condition from moving to another lane. However, such a lane change can slow down other vehicles on the target lane, and even worse initiate a disturbance. In this work, we argue that the blocking effect triggered by individual lane changes results from the heterogeneity in the desired speeds of vehicles, and thus using desired speed information of vehicles when regulating lane-changing decisions can improve traffic efficiency. In doing so, our work also exemplifies the usefulness of incorporating user preferences into control decisions. The proposed lane guidance system uses an optimization-based approach to update the target range of desired speeds on each lane in real time, and accordingly recommends individual lane changes. The control system coordinates the lane-changing decisions at the link level, for which the road stretch is subdivided into multiple sections that are controlled independently. We evaluate the performance of the lane guidance system in micro-simulation, for different network demands and desired speed distributions. The results highlight that the proposed approach utilizing the desired speed preferences of drivers results in positive efficiency gains for most traffic compositions in free flow. Moreover, the highest gains are expected in medium to high demand, and when the traffic composition includes a higher proportion of vehicles desiring higher speeds. The gains also increase when the desired speeds of vehicles that want to drive fast and those that want to drive slower are more separated.","cooperative lane-changing; desired speeds; Lane guidance; Merging; Optimization; Real-time systems; Roads; Traffic control; traffic efficiency; user preferences in traffic control; Vehicle dynamics; Vehicles","en","journal article","","","","","","","","","","Transport and Planning","Transport and Planning","","",""
"uuid:77feeed6-bb9a-4a2f-be1a-8b6846b0dd20","http://resolver.tudelft.nl/uuid:77feeed6-bb9a-4a2f-be1a-8b6846b0dd20","Functional analysis of web-based GIS tools for environmental justice assessment of transportation projects","Spriggs, A.M.S. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; University of Missouri); Rotman, Robin (University of Missouri); Trauth, Kathleen (University of Missouri)","","2024","Environmental justice – the concept that environmental amenities and burdens should be shared equitably among all races and socioeconomic classes – has gained diplomatic traction internationally, and political traction domestically in the United States, in recent years. In this paper, we focus on developing federal policy relevant to transportation project planning and operation in the U.S.; however, these developments reflect international issues of inequity associated with climate change and environmental burdens. First, we provide an overview of federal policy initiatives related to environmental justice, including President Biden's Justice40 initiative. Then, we examine the U.S. National Environmental Policy Act (NEPA) as a potential avenue for mandating environmental justice assessment in the transportation industry, through the lenses of both procedural and distributive justice. Finally, we review several publicly available online Geographical Information System (GIS) tools that were recently developed for purposes of expanding public and governmental understanding of environmental justice challenges, and provide decision support for users to incorporate these tools into the environmental impact assessment process for transportation projects.","Environmental justice; Geographic information systems; Global Environmental Governance; Infrastructure; National Environmental Policy Act (NEPA); Transportation","en","journal article","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:45d8e231-e86e-4e4d-acb3-dc2eec212241","http://resolver.tudelft.nl/uuid:45d8e231-e86e-4e4d-acb3-dc2eec212241","Monitoring the impact of COVID-19 on the travel behavior of train travelers in the Netherlands","Ton, D. (TU Delft Transport and Planning; NS Stations); De Bruyn, Menno (N.V. Nederlandse Spoorwegen); Van Hagen, Mark (N.V. Nederlandse Spoorwegen); Duives, D.C. (TU Delft Transport and Planning); van Oort, N. (TU Delft Transport and Planning)","","2024","Mobility patterns and transport systems have been heavily impacted due to the COVID-19 pandemic. Public transport is impacted heavily, as governments worldwide advised against using it. This paper presents the data collection effort initiated by NS (Dutch Railways) and Delft University of Technology to capture changes in travel behavior, attitudes and intentions related to the COVID-19 pandemic among Dutch train travelers. The survey set-up, data collection process, data validation and potential of the dataset are discussed. The data collection effort proves to be a valuable longitudinal data set that is ground for many research opportunities and policy insights.","COVID-19; longitudinal data collection; survey design; train travelers; travel behavior","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:f7174a5b-00c4-4479-9620-6dd838d62490","http://resolver.tudelft.nl/uuid:f7174a5b-00c4-4479-9620-6dd838d62490","Neural Autoencoder-Based Structure-Preserving Model Order Reduction and Control Design for High-Dimensional Physical Systems","Lepri, M. (University of Pisa; NEC Laboratories Europe); Bacciu, Davide (University of Pisa); Della Santina, C. (TU Delft Learning & Autonomous Control; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR))","","2024","This letter concerns control-oriented and structure-preserving learning of low-dimensional approximations of high-dimensional physical systems, with a focus on mechanical systems. We investigate the integration of neural autoencoders in model order reduction, while at the same time preserving Hamiltonian or Lagrangian structures. We focus on extensively evaluating the considered methodology by performing simulation and control experiments on large mass-spring-damper networks, with hundreds of states. The empirical findings reveal that compressed latent dynamics with less than 5 degrees of freedom can accurately reconstruct the original systems' transient and steady-state behavior with a relative total error of around 4%, while simultaneously accurately reconstructing the total energy. Leveraging this system compression technique, we introduce a model-based controller that exploits the mathematical structure of the compressed model to regulate the configuration of heavily underactuated mechanical systems.","autoencoders; Hamiltonian dynamics; model order reduction","en","journal article","","","","","","","","","","","Learning & Autonomous Control","","",""
"uuid:62205fc4-ab38-49b8-ae91-047740e60497","http://resolver.tudelft.nl/uuid:62205fc4-ab38-49b8-ae91-047740e60497","Scalarizing Multi-Objective Robot Planning Problems Using Weighted Maximization","Wilde, N. (TU Delft Learning & Autonomous Control); Smith, Stephen L. (University of Waterloo); Alonso-Mora, J. (TU Delft Learning & Autonomous Control)","","2024","When designing a motion planner for autonomous robots there are usually multiple objectives to be considered. However, a cost function that yields the desired trade-off between objectives is not easily obtainable. A common technique across many applications is to use a weighted sum of relevant objective functions and then carefully adapt the weights. However, this approach may not find all relevant trade-offs even in simple planning problems. Thus, we study an alternative method based on a weighted maximum of objectives. Such a cost function is more expressive than the weighted sum, and we show how it can be deployed in both continuous-and discrete-space motion planning problems. We propose a novel path planning algorithm for the proposed cost function and establish its correctness, and present heuristic adaptations that yield a practical runtime. In extensive simulation experiments, we demonstrate that the proposed cost function and algorithm are able to find a wider range of trade-offs between objectives (i.e., Pareto-optimal solutions) for various planning problems, showcasing its advantages in practice.","motion and path planning; multi-objective optimization; Optimization and optimal control; task and motion planning","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-23","","","Learning & Autonomous Control","","",""
"uuid:25da3d79-5699-4535-a88c-a9270c8903d1","http://resolver.tudelft.nl/uuid:25da3d79-5699-4535-a88c-a9270c8903d1","Understanding physical distancing compliance behaviour using proximity and survey data: A case study in the Netherlands during the COVID-19 pandemic","van Schaik, L. (TU Delft Transport and Planning); Duives, D.C. (TU Delft Transport and Planning); Hoogendoorn-Lanser, S. (TU Delft Corporate Innovations); Hoekstra, Jan Willem (NME Foundation; ROC Mondriaan); Daamen, W. (TU Delft Transport and Planning); Gavriilidou, A. (TU Delft Transport and Planning); Krishnakumari, P.K. (TU Delft Transport and Planning); Rinaldi, M. (TU Delft Transport and Planning); Hoogendoorn, S.P. (TU Delft Transport and Planning)","","2024","Physical distancing has been an important asset in limiting the SARS-CoV-2 virus spread during the COVID-19 pandemic. This study aims to assess compliance with physical distancing and to evaluate the combination of observed and self-reported data used. This research shows that it is difficult to operationalize new rules, that context affects compliance, that there needs to be a need for compliance, and that rules require upkeep. From a methodological point of view, this study found that the combined methods provide a comprehensive picture of compliance behaviour, that it is challenging but essential to mitigate response fatigue in long-term monitoring studies, and that it would be interesting in future research to learn how actual behaviour is influenced by personal narratives.","compliance behaviour; COVID-19 pandemic; longitudinal survey; physical distancing; proximity sensing; SARS-CoV-2","en","journal article","","","","","","","","","","Transport and Planning","Transport and Planning","","",""
"uuid:2cfba3cc-e348-4539-a99b-e691c9a3cf4b","http://resolver.tudelft.nl/uuid:2cfba3cc-e348-4539-a99b-e691c9a3cf4b","Data-driven identification of the spectral operator in AKNS Lax pairs using conserved quantities","de Koster, P.B.J. (TU Delft Team Sander Wahls); Wahls, S. (Karlsruhe Institut für Technologie)","","2024","Lax-integrable partial differential equations (PDEs) can by definition be described through a compatibility condition between two linear operators. These operators are said to form a Lax pair for the PDE, which itself is usually nonlinear. Lax pairs are a very useful tool, but unfortunately finding them is a difficult problem in practice. In this paper, we propose a method that determines the spectral operator of an AKNS-type Lax pair such that the corresponding PDE fits given measurement data as well as possible. The spectral operator then enables practitioners to solve or analyze the underlying PDE using the induced nonlinear Fourier transform. The underlying PDE only has to be approximately Lax-integrable; the method will find the spectral operator that explains the data best. Together with the dispersion relation, the spectral operator of AKNS type completely determines an integrable PDE that approximates the true underlying PDE. We identify the most suitable spectral operator by matching PDE-dependent quantities that should be conserved during evolution. The method is automatic and only requires recordings of solutions at two different values of the evolution variable, which do not have to be close.","AKNS; Forward scattering transform; Identification; Nonlinear Fourier transform","en","journal article","","","","","","","","","","","Team Sander Wahls","","",""
"uuid:421e00ec-e147-41b0-9c3b-2a14cf41c4d6","http://resolver.tudelft.nl/uuid:421e00ec-e147-41b0-9c3b-2a14cf41c4d6","Linear Time-Varying Parameter Estimation: Maximum A Posteriori Approach via Semidefinite Programming","Vakili, S. (TU Delft Team Manuel Mazo Jr); Khosravi, M. (TU Delft Team Khosravi); Mohajerin Esfahani, P. (TU Delft Team Peyman Mohajerin Esfahani); Mazo, M. (TU Delft Team Manuel Mazo Jr)","","2024","We study the problem of identifying a linear time-varying output map from measurements and linear time-varying system states, which are perturbed with Gaussian observation noise and process uncertainty, respectively. Employing a stochastic model as prior knowledge for the parameters of the unknown output map, we reconstruct their estimates from input/output pairs via a Bayesian approach to optimize the posterior probability density of the output map parameters. The resulting problem is a non-convex optimization, for which we propose a tractable linear matrix inequalities approximation to warm-start a first-order subsequent method. The efficacy of our algorithm is shown experimentally against classical Expectation Maximization and Dual Kalman Smoother approaches.","Estimation; identification; linear matrix inequalities; optimization; semidefinite programming","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-25","","","Team Manuel Mazo Jr","","",""
"uuid:b9eaaf41-2b8c-4a68-b8a6-ce7bcc9976d9","http://resolver.tudelft.nl/uuid:b9eaaf41-2b8c-4a68-b8a6-ce7bcc9976d9","Tracking submarine cables in the wild","Livadariu, Ioana (Simula Metropolitan Center for Digital Engineering); Elmokashfi, Ahmed (Simula Metropolitan Center for Digital Engineering); Smaragdakis, G. (TU Delft Cyber Security)","","2024","During the last ten years, thousands of kilometers of submarine cables have been rolled out to connect regions around the globe and improve intercontinental connectivity. However, while it is relatively easy to get information about the frequent roll-outs of these cables, it is challenging to translate these developments into network information to facilitate networking research. For example, announcements for new submarine cables typically mention landing points and not router IP addresses. With this network information, it is easier to assess the impact of a new submarine cable on end-to-end delays in the connecting regions. In this paper, we investigate the necessary and sufficient conditions to translate public announcements for submarine cables to network information that enables networking research on this topic. We also develop and evaluate a methodology to automatically extract IP-level information for deployed submarine cables and assess their impact on end-to-end performance.","","en","journal article","","","","","","","","","","","Cyber Security","","",""
"uuid:bc26ff25-57d4-409f-b6d3-660b1712043d","http://resolver.tudelft.nl/uuid:bc26ff25-57d4-409f-b6d3-660b1712043d","Crocheting Bour’s Minimal Surfaces","Kekkonen, H.N. (TU Delft Delft Institute of Applied Mathematics; TU Delft Statistics)","","2024","Mathematics and crochet might not appear the most likely pairing for most people. However, crocheting is an inherently mathematical process. You can create various shapes using stitches with different heights and increasing or decreasing the number of stitches in certain places. Crochet also makes it possible to create many shapes that are very difficult to make with any other technique. One can crochet shapes such as the Klein bottle and Seifert surfaces of knots in freestyle, without following exact instructions, since these surfaces do not have a strict shape. A Klein bottle can be short and wide or tall and narrow. But there are many surfaces, for example spheres and disks, that have a specific shape. To crochet such models, you need crochet instructions, and to create such instructions, you need a good understanding of the underlying mathematical model.
The idea of knitting or crocheting mathematical or scientific models is not new, though it has not been used very widely. The Scottish chemist Alexander Crum Brown knitted several interlinked surfaces to visualize the ideas presented in the late nineteenth century in his paper “On a Case of Interlacing Surfaces” [2]. Miles Reid wrote a paper on knitting mathematical surfaces in the 1970s [13] that inspired several new patterns, including a Möbius scarf and a Klein bottle. The crocheted hyperbolic surfaces were introduced by Daina Taimina in 1997 [5], and her idea led to a bloom of so-called hyperbolic crochet. A few years after the paper on hyperbolic crochet appeared, Hinke Osinga and Bernd Krauskopf described how to crochet an approximation of the Lorenz manifold [10]. See also [14] for further examples of mathematical crochet.
Both the hyperbolic plane and the Lorenz manifold require precise crochet instructions. The hyperbolic plane has constant negative Gaussian curvature, and so it looks the same at every point. This allows for a rather simple pattern that can be worked in rounds in which after a few setup rounds, every nth stitch is doubled. The Lorenz manifold is a less-regular surface, and it requires a much more complex pattern of stitches. The model is also worked in rounds, but unlike the hyperbolic surface, it requires detailed instructions on when to add or remove stitches. It takes full advantage of the versatility of crocheting, requiring three different types of stitches, which allows different parts of a round to have different heights. In this paper we consider Bour’s minimal surfaces
, which are “crochet symmetric,” allowing for simple crochet instructions (excluding possible intersections) and requiring only one type of stitch, with the added or removed stitches evenly spaced across a round.","","en","journal article","","","","","","","","","","","Statistics","","",""
"uuid:5426d88b-bc77-4e31-9d63-69871c66e506","http://resolver.tudelft.nl/uuid:5426d88b-bc77-4e31-9d63-69871c66e506","Design thinking in medical education to tackle real world healthcare problems: The MasterMinds Challenge","van Velzen, Monique (Leiden University Medical Center); Boru, A. (TU Delft Delft Centre for Entrepreneurship); Sarton, Elise (Leiden University Medical Center); de Beaufort, Arnout Jan (Leiden University Medical Center)","","2024","Educational challenge: Medical education must equip future professionals with the necessary skills to navigate the complex healthcare landscape. Clinical knowledge is essential, and critical and creative thinking skills are vital to meet the challenges of the system. Design thinking offers a structured approach that integrates creativity and innovation, yet its application in medical education is absent. Solution and implementation: The compulsory MasterMinds Challenge course at Leiden University Medical Center utilizes design thinking principles to address real world healthcare challenges. Final-year medical students participated in a two-day program. The course encompassed empathizing with stakeholders, problem definition, ideation, prototyping, and refining solutions. Presentation skills were emphasized, culminating in a symposium where teams showcase their outcomes. Implementation of the MasterMinds Challenge course was successful with 33 sessions delivered to 1217 medical students. Challenges covered various healthcare topics, yielding creative yet practical outcomes. Students appreciate the real world healthcare challenge, team-based approach, and the applicability of design thinking principles. Challenge owners expressed satisfaction with students’ commitment, creativity, and empathizing abilities. Lessons learned and next steps: To further enhance the MasterMinds Challenge course, a more longitudinal format is being designed, enabling greater autonomy and emphasizing the refining and implementation phases. The course can be extended to medical postgraduate professionals and interdisciplinary collaborations, fostering innovative ideas beyond current practices. By developing problem-solving skills, the MasterMinds Challenge course contributes to a future-proof medical education program and prepares students to meet the evolving needs of healthcare.","collaboration; Design thinking; healthcare challenges; medical education","en","journal article","","","","","","","","","","Delft Centre for Entrepreneurship","","","",""
"uuid:e5d2ac53-770d-4186-89f6-f051c03e513d","http://resolver.tudelft.nl/uuid:e5d2ac53-770d-4186-89f6-f051c03e513d","Method for bandgap interpolation of perovskite's spectral complex refractive index","Blom, Y. (TU Delft Photovoltaic Materials and Devices); Vogt, M.R. (TU Delft Photovoltaic Materials and Devices); Isabella, O. (TU Delft Photovoltaic Materials and Devices)","","2024","Lead halide perovskites are a promising class of materials for solar cell applications. The perovskite bandgap depends on the material composition and is highly tunable. Opto-electrical device modelling is commonly used to find the optimum perovskite bandgap that maximizes device efficiency or energy yield, either in single junction or multi-junction configuration. The first step in this calculation is the optical modelling of the spectral absorptance. This requires as input the perovskite's complex refractive index N as a function of wavelength λ. The complex refractive index consists of real part n(λ) and imaginary part k(λ). For the most commonly used perovskites, n and k curves are available from spectroscopic ellipsometry measurements, but usually only for a few discrete bandgap energies. For solar cell optimization, these curves are required for a continuous range of bandgap energies. We introduce new methods for generating the n and k curves for an arbitrary bandgap, based on interpolating measured complex refractive index data. First, different dispersion models (Cody-Lorentz, Ullrich-Lorentz and Forouhi-Bloomer) are used to fit the measured data. Then, a linear regression is applied to the fit parameters with respect to the bandgap energy. From the interpolated parameters, the refractive index curve of perovskite with any desired bandgap energy is finally reconstructed. To validate our method, we compare our results with methods from literature and then use it to simulate the absorptance of a single junction perovskite and a perovskite/silicon tandem cell. This shows that our method based on the Forouhi-Bloomer model is more accurate than existing methods in predicting the complex refractive index of perovskite for arbitrary bandgaps.","","en","journal article","","","","","","","","","","","Photovoltaic Materials and Devices","","",""
"uuid:db28aa9c-6a1b-4b1b-94e9-9e4257febb86","http://resolver.tudelft.nl/uuid:db28aa9c-6a1b-4b1b-94e9-9e4257febb86","Are men from Mars, women from Venus? Investigating the determinants behind the intention to use fully automated taxis","Zhu, Yonghan (Southwest University of Political Science and Law); Janssen, M.F.W.H.A. (TU Delft Engineering, Systems and Services); Pu, Chengyan (Zhejiang University)","","2024","Acceptance by customers is key to the success of shared autonomous vehicles (SAVs). However, only a small group of early technology-savvy customers currently use such vehicles, while the general population does not. Based on the Unified Theory of Acceptance and Use of Technology, Theory of Perceived Risk, and perceived threat of unemployment combined with knowledge of automated vehicles, this research develops an integrated model to investigate the determinants behind the intention to use fully automated taxis. Furthermore, it tested the differences between gender. Through the analysis of 539 samples, the findings showed that performance expectancy, effort expectancy, social influence, and knowledge of automated vehicles positively influence acceptance intention, while perceived safety risk and the perceived threat of unemployment were negatively related to behavioral intention. Moreover, effort expectancy, social influence, and perceived safety risk showed greater influence on females, while knowledge of automated vehicles exerted stronger effects on males.","Fully automated taxi; Gender differences; SAV acceptance; TPR; UTAUT","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-30","","Engineering, Systems and Services","","","",""
"uuid:de34d9db-a0ee-465d-b942-df631a37838c","http://resolver.tudelft.nl/uuid:de34d9db-a0ee-465d-b942-df631a37838c","How design professionals learn within collaborative research projects","Zielhuis, M.R.P. (TU Delft Design Conceptualization and Communication; Hogeschool Utrecht); Sleeswijk Visser, F. (TU Delft Design Conceptualization and Communication); Andriessen, Daan (Hogeschool Utrecht); Stappers, P.J. (TU Delft Design Conceptualization and Communication)","","2024","Collaborative research projects are great opportunities for the involved design professionals to learn. Many design professionals join in such collaborations to contribute with their existing professional expertise, but also to further develop and extend that expertise. However, learning by individuals is usually not the main aim, and we lack insight in the learning opportunities through collaboration in such projects. We propose that we need to understand this learning process better in order to make this happen more often and more effectively. This paper presents how four design professionals who each participated in different collaborative research projects look back on their learning processes. We interviewed each participant and drew a process map with them. The results show that these projects offer multifaceted learning opportunities and outcomes of important value for the professionals.The paper shows that their learning a) is not always easily recognised by the professionals themselves, b) benefits from their active engagement as learners, and c) requires supportive conditions in project arrangements. The paper provides guidelines for lead researchers, design professionals, as well as funding agencies to recognise and value this learning, to support explicit reflection and articulation, and to facilitate supportive learning conditions.","actionable knowledge; collaboration; Design practice; design research; roles","en","journal article","","","","","","","","","","","Design Conceptualization and Communication","","",""
"uuid:e6ecad30-4638-4430-a669-bfad8a13ae6b","http://resolver.tudelft.nl/uuid:e6ecad30-4638-4430-a669-bfad8a13ae6b","Integrated phononic waveguides in diamond","Ding, Sophie Weiyi (Harvard University); Pingault, B.J. (TU Delft QID/Taminiau Lab; TU Delft Communication QuTech; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft; Harvard University); Shao, Linbo (Harvard University; Virginia Tech); Sinclair, Neil (Harvard University); Machielse, Bartholomeus (Harvard University; AWS Center for Quantum Computing); Chia, Cleaven (Harvard University); Maity, Smarak (Harvard University); Lončar, Marko (Harvard University)","","2024","Efficient generation, guiding, and detection of phonons, or mechanical vibrations, are of interest in various fields, including radio-frequency communication, sensing, and quantum information. Diamond is a useful platform for phononics because of the presence of strain-sensitive spin qubits, and its high Young's modulus, which allows for low-loss gigahertz devices. We demonstrate a diamond phononic waveguide platform for generating, guiding, and detecting gigahertz-frequency surface acoustic wave (SAW) phonons. We generate SAWs using interdigital transducers integrated on AlN/diamond and observe SAW transmission at 4-5 GHz through both ridge and suspended waveguides, with wavelength-scale cross sections (approximately 1 m2) to maximize spin-phonon interaction. This work is a crucial step for developing acoustic components for quantum phononic circuits with strain-sensitive color centers in diamond.","","en","journal article","","","","","","","","","","","QID/Taminiau Lab","","",""
"uuid:58f5f372-b9f6-4c80-8bdc-1188c05a9894","http://resolver.tudelft.nl/uuid:58f5f372-b9f6-4c80-8bdc-1188c05a9894","TAIS: Transparent Amplifying Intelligent Surface for Indoor-To-Outdoor mmWave Communications","Liu, Bin (Katholieke Universiteit Leuven); Wang, Q. (TU Delft Embedded Systems); Pollin, Sofie (Katholieke Universiteit Leuven)","","2024","This paper presents a novel transparent amplifying intelligent surface (TAIS) architecture for uplink enhancement in indoor-To-outdoor mmWave communications. The TAIS is an amplifier-based transmissive intelligent surface that can refract and amplify the incident signal, instead of only refracting it with adjustable phase shift by most passive reconfigurable intelligent surfaces (RIS). With advanced indium tin oxide film and printing technology, TAIS can be fabricated on the windows without any visual effects. This paper primarily focuses on exploiting the TAIS-based architecture to boost the uplink spectral efficiency (SE) in indoor-To-outdoor mmWave communications. By jointly optimizing the TAIS's phase shift matrix and transmit power of the user equipment, the uplink SE can be maximized by exploiting the nonlinearity in the TAIS's amplification process. The key enabler is that we drive the optimal phase shift matrix that maximizes the SE and deduces its closed-form representation. The SE maximization is then proved to be transferred to the transmit power optimization problem. Another important enabler is that we design a low-complexity algorithm to solve the optimization problem using the difference of convex programming. Moreover, the asymptotic spectral efficiency under nonlinear amplification and power scaling law with infinitely large elements under both the sparse and rich scattering channel models are analyzed. Simulation results show that our proposed TAIS can increase the SE by up to 24.7% as compared to its alternative methods.","indoor-To-outdoor communication; mmWave MIMO; nonlinear amplification; Reconfigurable intelligent surface (RIS); spectral efficiency; transparent amplifying intelligent surface (TAIS)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-03","","","Embedded Systems","","",""
"uuid:3ed81b29-9a60-460b-b1a4-3560b46958cd","http://resolver.tudelft.nl/uuid:3ed81b29-9a60-460b-b1a4-3560b46958cd","Caracas, ciudad de secuelas: Prácticas de cuidado después de la emigración y el colapso","Gzyl, Stefan (TU Delft Theory, Territories & Transitions)","","2024","This article examines the caretaking practices of vacant domestic spaces amid a migratory crisis and generalized collapse. Caracas is conceptualized as a ‘city of aftermath’, where the material residues of modernity are reconfigured in response to the logic of crisis and the needs of migrants, re-signifying spaces and extending their life beyond the conditions of their production. The text is centered on the figure of the caretaker. Based on interviews, site visits, and photography, the article examines the daily routines of Carlos, who looks after more than twenty apartments in Caracas. His work is entwined with migrants’ trajectories and local needs, generating new economies and support networks around the maintenance and adaptation of vacant spaces. In this way, caretaking practices offer clues for a reading of the city that transcends progress/decline oppositions and their respective imaginaries: the new and the ruin.","crisis; maintenance; mantenimiento; middle class; repair clase media; reparación; ruin; ruina","es","journal article","","","","","","","","","","","Theory, Territories & Transitions","","",""
"uuid:93730881-1066-46c3-95a7-afed7b0c27cd","http://resolver.tudelft.nl/uuid:93730881-1066-46c3-95a7-afed7b0c27cd","Quantifying the impact of stiffness distributions on the dynamic behaviour of railway transition zones","Jain, A. (TU Delft Dynamics of Structures); Marykovskiy, Yuriy (ETH Zürich); Metrikine, A. (TU Delft Engineering Structures); van Dalen, K.N. (TU Delft Dynamics of Structures)","","2024","Railway transition zones (RTZs) are regions where abrupt track stiffness changes occur that may lead to dynamic amplifications and subsequent track deterioration. The design challenges for these zones arise due to variations in material properties in both the depth (trackbed layers composed of different materials) and longitudinal directions of the track, as well as temporal variations in mechanical properties of materials due to several external factors over the operational period. This research aims to investigate the effects of these variations in material properties (i.e., of the resulting stiffness distributions in vertical and longitudinal directions) on the behaviour of RTZs, assess from this perspective the performance of a novel transition structure called the SHIELD, and establish a methodology for designing a robust solution to mitigate the dynamic amplifications in these zones. Results indicate that stiffness variations in both vertical and longitudinal directions significantly influence the dynamic behaviour of the RTZs. The study also suggests a permissible range of stiffness ratios to control the amplification of strain energy in the most critical components of RTZs, both in the initial state as well as during the operational phase (where material properties may vary over time). Moreover, the proposed methodology offers a valuable tool for the design and evaluation of RTZs and is applicable to various transition types and a broad spectrum of material properties.","Railway transition zones; Dynamic amplifications; Material properties; Design limits; Stiffness ratios; Polynomial chaos expansion","en","journal article","","","","","","","","","","Engineering Structures","Dynamics of Structures","","",""
"uuid:bde43024-d07e-46cc-843e-1feeea0744d6","http://resolver.tudelft.nl/uuid:bde43024-d07e-46cc-843e-1feeea0744d6","TIMEWISE: Temporal Dynamics for Urban Resilience: Theoretical insights and empirical reflections from Amsterdam and Mumbai","Krishnan, S. (TU Delft System Engineering); Aydin, N.Y. (TU Delft System Engineering); Comes, M. (TU Delft Transport and Logistics)","","2024","Increasing frequency of climate-related disruptions requires transformational responses over the lifecycles of interconnected urban systems with short- and long-term change dynamics. However, the aftermath of disruptions is often characterised by short-sighted decision-making, neglecting long-term urban shifts. In this study, we present a first attempt to develop the theoretical foundation for temporal dynamics for increasingly disrupted yet ”connecting and moving” cities that can be used in planning for urban resilience. Using the lens of climate urbanism, we conceptualise the interplay of temporal dynamics to empirically examine how planning practice perceives and addresses temporality in two regions - Amsterdam, the Netherlands, and Mumbai, India. Our findings reinforce that disruptions do not inform long-term planning. Endogenous and exogenous dynamics of change are not viewed together nor used to embed short-term planning goals within long-term resilience visions. To address the lack of systematic planning approaches that can leverage temporal dynamics, we propose two options for temporally flexible urban planning processes.","OA-Fund TU Delft","en","journal article","","","","","","","","","","","System Engineering","","",""
"uuid:9661b26e-8f7d-414f-a743-9b46e0718d6b","http://resolver.tudelft.nl/uuid:9661b26e-8f7d-414f-a743-9b46e0718d6b","Cosimulating Integrated Energy Systems with Heterogeneous Digital Twins: Matching a Connected World","Palensky, P. (TU Delft Electrical Sustainable Energy); Mancarella, Pierluigi (University of Melbourne; The University of Manchester); Hardy, Trevor (Pacific Northwest National Laboratory); Cvetkovic, M. (TU Delft Intelligent Electrical Power Grids)","","2024","Energy system integration promises in-creased resiliency and the unlocking of synergies, while also contributing to our goal of decarbonization. It is enabled by both old and new technologies, glued together with data and digital services. Hydrolyzers, heat pumps, distributed renewable generation, smart buildings, and the digital grid edge are all currently the subject of integration with the power system and the energy sector at large. To plan and operate such a multidisciplinary and multisectoral system properly, insight, tools, and expertise are all needed. This is exactly where the state of the art fails to deliver: tools for integrated energy systems (IESs) are still in their infancy, and many times, even academia treats these sectors separately, producing experts in each of them but not across.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-12","","Electrical Sustainable Energy","Intelligent Electrical Power Grids","","",""
"uuid:31e0d818-5f84-4b98-a9dd-21a72162fc62","http://resolver.tudelft.nl/uuid:31e0d818-5f84-4b98-a9dd-21a72162fc62","Discussion of “Coastal Defense Megaprojects in an Era of Sea-Level Rise: Politically Feasible Strategies or Army Corps Fantasies?”","Jonkman, Sebastiaan N. (TU Delft Hydraulic Structures and Flood Risk; Texas A&M University at Galveston); Merrell, William J. (Texas A&M University at Galveston)","","2024","The authors provide a valuable review of coastal defense megaprojects with storm surge barriers in the United States over the past decades. This review comes at an important moment: an increasing number of coastal regions are considering such solutions. Examples from the original paper are Houston, Miami, New York, Boston, and Norfolk in the US, Götenborg (Sweden), Shanghai, and Singapore (Tan 2022). A new barrier is under construction in Nieuwpoort (Belgium) (AMDK 2023). In addition, countries with existing barriers (the Netherlands, UK) are making plans to adapt, upgrade or replace surge barriers.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-09","","","Hydraulic Structures and Flood Risk","","",""
"uuid:e731427f-24d4-4e2d-a24a-4dc46ebf7972","http://resolver.tudelft.nl/uuid:e731427f-24d4-4e2d-a24a-4dc46ebf7972","Construction and application of an algebraic dual basis and the Fine-Scale Greens’ Function for computing projections and reconstructing unresolved scales","Shrestha, S. (TU Delft Aerodynamics; Universidad Politécnica de Madrid); Dekker, J. (TU Delft Numerical Analysis); Gerritsma, M.I. (TU Delft Aerodynamics); Hulshoff, S.J. (TU Delft Aerodynamics); Akkerman, I. (TU Delft Ship Hydromechanics and Structures)","","2024","In this paper, we build on the work of Hughes and Sangalli (2007) dealing with the explicit computation of the Fine-Scale Greens’ function. The original approach chooses a set of functionals associated with a projector to compute the Fine-Scale Greens’ function. The construction of these functionals, however, does not generalise to arbitrary projections, higher dimensions, or Spectral Element methods. We propose to generalise the construction of the required functionals by using dual functions. These dual functions can be directly derived from the chosen projector and are explicitly computable. We show how to find the dual functions for both the L2 and the H01 projections. We then go on to demonstrate that the Fine-Scale Greens’ functions constructed with the dual basis functions consistently reproduce the unresolved scales removed by the projector. The methodology is tested using one-dimensional Poisson and advection–diffusion problems, as well as a two-dimensional Poisson problem. We present the computed components of the Fine-Scale Greens’ function, and the Fine-Scale Greens’ function itself. These results show that the method works for arbitrary projections, in arbitrary dimensions. Moreover, the methodology can be applied to any Finite/Spectral Element or Isogeometric framework.","(Fine-Scale) Greens’ function; Advection–diffusion equation; Duality; Poisson equation; Projection; Variational multiscale","en","journal article","","","","","","","","","","","Aerodynamics","","",""
"uuid:c9882917-ff45-49a4-a020-d6bb963b6f99","http://resolver.tudelft.nl/uuid:c9882917-ff45-49a4-a020-d6bb963b6f99","Advancing Shallow Tunnel Construction in Soft Ground: The Pipe-Umbrella Box Jacking Method","Jiang, Xi (The Hong Kong Polytechnic University); Zhang, X. (TU Delft Geo-engineering); Zhang, Xiao (Shanghai Urban Construction Municipal Engineering (Group) Co. Ltd); Long, Luyuan (Student TU Delft); Bai, Yun (Tongji University); Huang, Baoshan (University of Tennessee)","","2024","The development of modern cities has often led to increased traffic congestion and limited usable space. One effective solution to these problems is to construct roadway tunnels, which can expand urban space and alleviate traffic congestion. However, building large underpass tunnels in urban areas, especially in soft ground, presents technical challenges owing to its extensive environmental disturbance. The pipe-umbrella box jacking method offers a promising solution to these challenges as this method does not require breaking the ground from the surface or disrupting surface traffic. This study reviews the key techniques of the pipe-umbrella box jacking method for constructing large rectangular tunnels with small burial depths in soft ground. The study focuses on three main technical issues: pipe umbrella installation, soil face excavation, and box jacking control. Furthermore, two tunnel projects in Shanghai, China, are presented as case studies to showcase the construction challenges and countermeasures during box jacking tunneling with pipe-umbrella. Practical construction experience has revealed that the installation of the pipe umbrella before box jacking serves as an effective method of ground pre-support. However, its performance can be influenced by factors such as pipe installation deviation and inter-pipe connection design. Both open-face steel grid extrusion (SGE) shields and closed-face earth pressure balanced (EPB) shields can be used for soil excavation during box jacking, with different work modes resulting in distinct ground deformation patterns. Additionally, lubrication grouting has been found to effectively reduce friction resistance and affect vertical ground deformation. The study also examines the lessons learned from case studies and proposes optimization measures. This study can serve as a valuable reference for the tunneling industry, providing insights into the pipe-umbrella box jacking method and its application in constructing large rectangular tunnels in soft ground.","Box Jacking Tunnel; EPB Shield; Pipe Umbrella; SGE Shield; Soft Ground","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:7df8183d-13a9-43e0-8a5b-5d467e33fd8a","http://resolver.tudelft.nl/uuid:7df8183d-13a9-43e0-8a5b-5d467e33fd8a","Identifying the Design Feature That Causes Project Delay in DfMA: A Dominant Element Analysis Method for Project Scheduling","Cao, Jianpeng (ETH Zürich); Zhang, Hang (ETH Zürich); Pan, Bo (ETH Zürich); Soman, R. K. (ETH Zürich); Savov, Anton (ETH Zürich); Hall, Daniel M. (TU Delft Design & Construction Management)","Turkan, Yelda (editor); Louis, Joseph (editor); Leite, Fernanda (editor); Ergan, Semiha (editor)","2024","Design for manufacturing and assembly (DfMA) is an engineering methodology which aims to increase ease of manufacture and efficiency of assembly by considering manufacturing and assembly constraints in the design process. However, current DfMA approaches in the construction sector are not automated enough to identify the design features that may cause project delay in real time. This leads to longer design cycle. Also, current scheduling algorithms rely on human intervention to generate activity network from a design output. Addressing these inefficiencies, we propose an interpretative machining learning model to predict the construction duration given a design output. More importantly, the same model identifies the design features that may cause the most delay in the project. The model is trained on a residential design dataset with various features, such as layout, geometry, and element typology. The output of the model is the project duration and an importance map, indicating the influence each feature of the given design has on the total project duration. The results from this model can considerably reduce the design cycle by supporting architects to create fabrication and assembly aware design even when they have little knowledge of production and assembly processes. This model will contribute to a novel computational approach for DfMA.","","en","conference paper","American Society of Civil Engineers (ASCE)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-25","","","Design & Construction Management","","",""
"uuid:f53afdd2-98e1-42ab-8308-82dbd64d076b","http://resolver.tudelft.nl/uuid:f53afdd2-98e1-42ab-8308-82dbd64d076b","A Systematic Umbrella Review on Computational Thinking Assessment in Higher Education","Zhang, X. (TU Delft Web Information Systems); Aivaloglou, E.A. (TU Delft Web Information Systems); Specht, M.M. (TU Delft Web Information Systems)","","2024","Computational Thinking (CT) is considered a core 21st century digital skill. The aspect of assessment is crucial and knowing what, who, when, how, and where to assess is important for assessment design. In this study, we conducted an umbrella review to gain insights regarding CT assessment in higher education. In total, we analyzed 11 reviews, focusing on: (1) bibliographical and methodological characteristics of the reviews; (2) aspects relevant of assessment design, including a) assessed constructs, b) applied assessment methodologies, and c) assessment contexts. Our findings suggest an increased attention on this topic. However, hardly any reviews reasoned the selection of their review methodology, and most of the reviews did not thoroughly examine existing reviews. Regarding assessment design aspects, most reviews did not confine their scope to higher education; however, findings on interventions and educational settings show commonalities. We identified 120 unique assessed constructs and around 10 types of assessment methods. Though a combined use of distinct assessment methods is suggested in reviews, guidelines for appropriate assessment design are yet to be constructed. Based on the findings, we argue that it is necessary to explore different combinations of assessment design in various contexts to construct assessment guidelines.","assessment; computational thinking; higher education; umbrella review","en","review","","","","","","","","","","","Web Information Systems","","",""
"uuid:e7fa2cc8-37e6-4750-8782-f7a068e40837","http://resolver.tudelft.nl/uuid:e7fa2cc8-37e6-4750-8782-f7a068e40837","Learning-by-exporting in South Africa: The influence of global value chain (GVC) participation and technological capability*","Mazzi, Caio Torres (Universiteit Maastricht); Ndubuisi, G.O. (TU Delft Economics of Technology and Innovation); Avenyo, Elvis (University of Johannesburg)","","2024","Using the South African Revenue Service and National Treasury firm-level panel data for 2009–2017, this paper investigates how trade related to the global value chain (GVC) affects the performance of manufacturing firms in South Africa. The paper uses extant classifications of internationally traded products to identify different categories of GVC-related products and compares the productivity premium of international traders for these different categories. Also, the paper investigates possible differences in learning-by-exporting effects across the identified categories of GVC-related products by estimating the effect of exporting before and after entry into foreign markets. The results confirm that GVC-related trade is associated with a higher productivity premium compared with traditional trade. However, within the categories of exporters, only the firms that trade in GVC-related products and simultaneously engage in research and development in the post-entry periods appear to learn from exporting. Our results underscore the gains of GVC integration in terms of the associated productivity premia and highlight the need for GVC-integrated firms to invest in building technological capacity.","exporter premia; GVCs; parts and components; South Africa","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-29","","","Economics of Technology and Innovation","","",""
"uuid:47a8c298-b76f-4db8-8d1c-030afe91cf1c","http://resolver.tudelft.nl/uuid:47a8c298-b76f-4db8-8d1c-030afe91cf1c","Electrochemical arsenite oxidation for drinking water treatment: Mechanisms, by-product formation and energy consumption","Kraaijeveld, E. (TU Delft Sanitary Engineering); Rijsdijk, S. (TU Delft Sanitary Engineering); van der Poel, S. (TU Delft Sanitary Engineering; Dunea); van der Hoek, J.P. (TU Delft Sanitary Engineering); Rabaey, K. (Universiteit Gent); van Halem, D. (TU Delft Sanitary Engineering)","","2024","The mechanisms and by-product formation of electrochemical oxidation (EO) for As(III) oxidation in drinking water treatment using groundwater was investigated. Experiments were carried out using a flowthrough system, with an RuO 2/IrO 2 MMO Ti anode electrode, fed with synthetic and natural groundwater containing As(III) concentrations in a range of around 75 and 2 µg/L, respectively. Oxidation was dependent on charge dosage (CD) [C/L] and current density [A/m 2], with the latter showing plateau behaviour for increasing intensity. As(III) concentrations of <0.3 µg/L were obtained, indicating oxidation of 99.9 % of influent As(III). Achieving this required a higher charge dosage for the natural groundwater (>40 C/L) compared to the oxidation in the synthetic water matrix (20 C/L), indicating reaction with natural organic matter or other compounds. As(III) oxidation in groundwater required an energy consumption of 0.09 and 0.21 kWh/m 3, for current densities of 20 and 60 A/m 2, respectively. At EO settings relevant for As(III) oxidation, in the 30–100 C/L CD range, the formation of anodic by-products, as trihalomethanes (THMs) (0.11–0.75 µg/L) and bromate (<0.2 µg/L) was investigated. Interestingly, concentrations of the formed by-products did not exceed strictest regulatory standards of 1 µg/L, applicable to Dutch tap water. This study showed the promising perspective of EO as electrochemical advanced oxidation process (eAOP) in drinking water treatment as alternative for the conventional use of strong oxidizing chemicals.","Arsenic; Groundwater; THMs; Bromate","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-19","","","Sanitary Engineering","","",""
"uuid:0dadd35f-2705-4fa8-a00e-837cc47d18e3","http://resolver.tudelft.nl/uuid:0dadd35f-2705-4fa8-a00e-837cc47d18e3","An airbrush 3D printer: Additive manufacturing of relaxor ferroelectric actuators","IJssel de Schepper, S.R. (TU Delft Micro and Nano Engineering); Hunt, A. (TU Delft Micro and Nano Engineering)","","2024","The additive manufacturing of electroactive polymer (EAP) devices poses significant challenges due to their distinct structure and dissimilar properties of their constituent materials. It requires deposition of multiple functional materials with different properties, achieving μm-scale resolution in layer thickness, and executing incremental deposition and curing steps while preserving the previously deposited functional material layers. This study introduces an airbrush 3D printer concept and employs it for fabricating EAP transducers. An airbrush 3D printer was constructed by adapting a standard extrusion printer platform and integrating it with a two fluid atomizer (i.e. an airbrush) as the deposition tool. A process was developed for printing of the bending P(VDF-TrFE-CTFE) actuators with carbon black electrodes, and actuators with a single and dual EAP layers were fabricated. The airbrush printer attained in-plane resolution of 0.5mm, thickness resolutions of 0.63 μm and allowed atomizing up to 7% P(VDF-TrFE-CTFE) solutions. The 18 mm × 4 mm EAP actuators achieved 340μm (440 Vpp) and 3.7 mm (400 Vpp, 104 Hz) tip deflections respectively in quasi-static and resonant operation. Airbrush printing therefore proved to be a robust method for printing precursor materials with a wide range of properties, and is anticipated to be a versatile approach for printing other passive and stimuli-responsive materials and devices.","Additive manufacturing; Airbrush 3D printer; Electroactive polymer; Smart material actuator; Spray printing","en","journal article","","","","","","","","","","","Micro and Nano Engineering","","",""
"uuid:fdd2f04f-7f85-47f5-aa41-33890001c155","http://resolver.tudelft.nl/uuid:fdd2f04f-7f85-47f5-aa41-33890001c155","Homological Quantum Rotor Codes: Logical Qubits from Torsion","Vuillot, C. (Lorraine University); Ciani, A. (Forschungszentrum Jülich GmbH); Terhal, B.M. (TU Delft Discrete Mathematics and Optimization; QuTech)","","2024","We formally define homological quantum rotor codes which use multiple quantum rotors to encode logical information. These codes generalize homological or CSS quantum codes for qubits or qudits, as well as linear oscillator codes which encode logical oscillators. Unlike for qubits or oscillators, homological quantum rotor codes allow one to encode both logical rotors and logical qudits in the same block of code, depending on the homology of the underlying chain complex. In particular, a code based on the chain complex obtained from tessellating the real projective plane or a Möbius strip encodes a qubit. We discuss the distance scaling for such codes which can be more subtle than in the qubit case due to the concept of logical operator spreading by continuous stabilizer phase-shifts. We give constructions of homological quantum rotor codes based on 2D and 3D manifolds as well as products of chain complexes. Superconducting devices being composed of islands with integer Cooper pair charges could form a natural hardware platform for realizing these codes: we show that the 0-π qubit as well as Kitaev’s current-mirror qubit—also known as the Möbius strip qubit—are indeed small examples of such codes and discuss possible extensions.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-20","","","Discrete Mathematics and Optimization","","",""
"uuid:8ee85a7c-552a-481d-b217-84760274b072","http://resolver.tudelft.nl/uuid:8ee85a7c-552a-481d-b217-84760274b072","Mitigating suspended-sediment environmental pressure in subsea engineering through colliding turbidity currents","Alhaddad, S.M.S. (TU Delft Offshore and Dredging Engineering); Elerian, M.F.A.I. (TU Delft Offshore and Dredging Engineering)","","2024","Turbidity currents have extensively been explored in quiescent environments. However, during several underwater activities (e.g., dredging and deep sea mining), generated turbidity currents could travel in opposite directions and interact with each other, which could largely influence their hydrodynamics and sediment transport capacity. Therefore, we carried out a set of dual-lock-exchange experiments to study the interaction of colliding turbidity currents. Our experimental results show that the interaction of identical currents results in the reflection of both currents with almost no mixing, forcing them to travel in the opposite direction of the pre-collision one. In contrast, when a turbidity current interacts with a lighter, less-energetic current, clear mixing is observed. Furthermore, it is revealed that the collision of turbidity currents reduces the suspended sediment transported by them, which is favorable from an environmental point of view, and slightly increases the vertical dispersion of particles. In the case of two identical counterflowing currents, a 35% reduction in mass flux, accompanied by a 6% increase in turbidity current thickness, was observed in our experiments.","Turbidity currents; Dual-lock-exchange experiments; Dredging; Deep Sea Mining; Polymetallic Nodules; Environmental Impact; Breaching","en","journal article","","","","","","","","","","","Offshore and Dredging Engineering","","",""
"uuid:59ceaebc-28f7-4eb4-84c0-09e2b67ac277","http://resolver.tudelft.nl/uuid:59ceaebc-28f7-4eb4-84c0-09e2b67ac277","Buffer scheduling for improving on-time performance and connectivity with a multi-objective simulation–optimization model: A proof of concept for the airline industry","van Schilt, Isabelle M. (TU Delft Policy Analysis); van Kalker, Jonna (KLM Royal Dutch Airlines); Lefter, I. (TU Delft System Engineering); Kwakkel, J.H. (TU Delft Policy Analysis); Verbraeck, A. (TU Delft Policy Analysis)","","2024","Schedule design in the transportation and logistics sector is a widely studied problem. Transport service providers, such as the train industry and aviation, aim for schedules to be on-time according to the planning (i.e., on-time performance or OTP) in order to increase the service level by ensuring that passengers actually make their connections and to reduce costs. Transportation services also aim for schedules that serve a high variety of destinations and frequency of connections (i.e., connectivity). OTP and connectivity are both highly dependent on buffer time: more lucrative connections can often be offered by reducing the buffer time in the schedule, while more delay can be absorbed by more buffer time. Given strict constraints on the minimum turnaround time of aircraft and minimum (and maximum acceptable) transfer times of passengers, assigning buffer time in an already tightly planned schedule to optimize OTP and connectivity simultaneously is a big challenge. This research presents a novel multi-objective formulation of a daily flight schedule where buffer scheduling is used to ensure the optimal balance between OTP of the schedule and the passenger connections as connectivity, given the tight restrictions. This problem formulation is solved using a simulation–optimization framework. Specifically, we use the Multi-Objective Evolutionary Algorithm (MOEA) BORG. As a proof of concept, a daily European flight schedule of a large international airline is optimized on both OTP and connectivity. The results demonstrate that the presented multi-objective formulation and associated solving through simulation–optimization can result in candidate schedules with both better on-time performance and a higher connectivity.","Airline scheduling; Buffer allocation; Connectivity; Multi-objective optimization; On-time performance","en","journal article","","","","","","","","","","","Policy Analysis","","",""
"uuid:40d7eeec-5eeb-4413-b9b5-85e58ef96512","http://resolver.tudelft.nl/uuid:40d7eeec-5eeb-4413-b9b5-85e58ef96512","Process design and downstream optimization of the direct synthesis route for cleaner production of dimethyl ether from biogas","Fedeli, M. (Politecnico di Milano; Université de Toulouse); Negri, F. (Politecnico di Milano; Itelyum Regeneration Spa, Lodi); Bornazzini, A. (TU Delft ChemE/Product and Process Engineering; Politecnico di Milano); Montastruc, L. (Université de Toulouse); Manenti, F. (Politecnico di Milano); Kiss, A.A. (TU Delft ChemE/Product and Process Engineering)","","2024","This study investigates an innovative method to produce dimethyl ether (DME) by direct synthesis from syngas derived from biogas. The proposed process was rigorously simulated in Aspen Plus, highlighting the main sections: (i) biogas tri-reforming, (ii) dimethyl-ether synthesis, and (iii) DME purification. The tri-reforming section has a CO2 and CH4 conversion of 27.3% and 96.2%, respectively A novel catalyst suitable for CO2-rich feed was chosen for the DME production to allow 60% conversion of CO2. Product separation is achieved via several absorption and distillation columns, ensuring that the operating conditions are kept mild to avoid expensive refrigeration. An optimization analysis was performed to identify the most suitable layout of the downstream process. This was identified through the evaluation of performance indicators such as utility usage and operating expenses. A wide range of purification strategies have been evaluated, and two scenarios are proposed based on the results. Configuration A produces 5.34 ktpy DME and 1.26 ktpy methanol, while Configuration B produces exclusively 6.21 ktpy DME. The process configurations were analysed by means of key techno-economic indicators and sustainability metrics. Both processes have an energy intensity of 14.5 kWh/kg. The reforming unit has a negligible footprint as it is thermally sustained from biogas combustion, but the reboilers are the main contributors for plant CO2 emissions. Configuration B has the best economic value with 11,634 k€ of NPV after 25 years and a payback time of 4 years.","DME direct synthesis; Green processing; Process optimization; Process simulation; Waste-to-Fuel","en","journal article","","","","","","","","","","","ChemE/Product and Process Engineering","","",""
"uuid:32be3762-b749-4fc6-ab3b-6fb5700ef8b9","http://resolver.tudelft.nl/uuid:32be3762-b749-4fc6-ab3b-6fb5700ef8b9","Predicting elbow load based on individual pelvis and trunk (inter)segmental rotations in fastball pitching","Gomaz, L. (TU Delft Statistics); van Trigt, B. (TU Delft Biomechatronics & Human-Machine Control); van der Meulen, F.H. (Vrije Universiteit Amsterdam); Veeger, H.E.J. (TU Delft Biomechatronics & Human-Machine Control)","","2024","The baseball pitch is a repetitive, full-body throwing motion that exposes the elbow to significant loads, leading to a high incidence of elbow injuries. Elbow injuries in pitching are often attributed to high external valgus torques as these are generally considered to be a good proxy for the load on the Ulnar Collateral Ligament. The aim of the study is to contribute to elbow load monitoring by developing a prediction model based on the pelvis and trunk peak angular velocities and their separation time. Eleven male youth elite baseball pitchers (age 17 ± 2.2 years) threw 25 fastballs at full effort off a mound. Two-level varying-intercept, varying-slope Bayesian models were used to predict external valgus torque based on (inter)segmental rotation in fastball pitching with pitcher’s weight and height added to strengthen the individualisation of the prediction. The results revealed the high predictive performance of the models including a set of kinematic parameters trunk peak angular velocity and the separation time between the pelvis and trunk peak angular velocities. Such an approach allows individualised prediction of the external valgus torque for each pitcher, which has a great practical advantage compared to group-based predictions in terms of injury assessment and injury prevention.","baseball; External valgus torque; injury prevention; kinetic chain; UCL injuries","en","journal article","","","","","","","","","","","Statistics","","",""
"uuid:9ff83a17-2900-4d39-a538-6675e1fa8bfa","http://resolver.tudelft.nl/uuid:9ff83a17-2900-4d39-a538-6675e1fa8bfa","Analyzing coastal erosion and sedimentation using Sentinel-1 SAR change detection: An application on the Volta Delta, Ghana","di Biase, V. (Universiteit Utrecht); Hanssen, R.F. (TU Delft Mathematical Geodesy and Positioning)","","2024","Ghana's coastline has been facing erosion and sedimentation phenomena for several decades, resulting in a serious threat to life and property considering that major urban settlements are located on the coast. In this region, there has been a lack of emphasis on comprehensive, large-scale investigations into coastal changes: prior research has predominantly centered on site-specific assessments. These studies have revealed alarming erosion rates, with reports indicating that nearly ten meters are lost annually. The use of high-resolution remotely sensed data can be a consistent support in regions where physical or economic obstacles interfere with collecting in situ information. In particular, the use of continuous all-weather SAR data may facilitate the evaluation of erosion and sedimentation phenomena in coastal areas. In this paper, we apply SAR data over a time period between 2017 and 2021. Sentinel-1 data are pre-processed using the Google Earth Engine platform, and a dedicated algorithm is then applied to identify and quantify erosion and sedimentation processes. Optical images are used as a reference for detecting the location of two areas where consistent sedimentation and erosion phenomena occurred in the considered four years. The results demonstrate that SAR backscattering variations over time offer a reliable method for monitoring coastal changes. This approach enables the identification of the type of phenomena occurring - sedimentation or erosion -, and allows for the quantification of their intensity and dimensions over time. The method can be worldwide applied once the appropriate thresholds are evaluated and help in predictive studies and environmental planning.","Change detection; Coastal environment; Coastal erosion; SAR; Sentinel-1","en","journal article","","","","","","","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:587d267d-7d7c-4121-937e-d1e43acb49dc","http://resolver.tudelft.nl/uuid:587d267d-7d7c-4121-937e-d1e43acb49dc","Centrifuge fractionation during purification of cellulose nanocrystals after acid hydrolysis and consequences on their chiral self-assembly","Svagan, Anna J. (KTH Royal Institute of Technology); Vilaplana, Francisco (KTH Royal Institute of Technology); Pettersson, Torbjörn (KTH Royal Institute of Technology); Ravi Anusuyadevi, P. (TU Delft Team Arjan Mol; KTH Royal Institute of Technology); Henriksson, Gunnar (KTH Royal Institute of Technology); Hedenqvist, Mikael (KTH Royal Institute of Technology)","","2024","The inherent colloidal dispersity (due to length, aspect ratio, surface charge heterogeneity) of CNCs, when produced using the typical traditional sulfuric acid hydrolysis route, presents a great challenge when interpreting colloidal properties and linking the CNC film nanostructure to the helicoidal self-assembly mechanism during drying. Indeed, further improvement of this CNC preparation route is required to yield films with better control over the CNC pitch and optical properties. Here we present a modified CNC-preparation protocol, by fractionating and harvesting CNCs with different average surface charges, rod lengths, aspect ratios, already during the centrifugation steps after hydrolysis. This enables faster CNC fractionation, because it is performed in a high ionic strength aqueous medium. By comparing dry films from the three CNC fractions, discrepancies in the CNC self-assembly and structural colors were clearly observed. Conclusively, we demonstrate a fast protocol to harvest different populations of CNCs, that enable tailored refinement of structural colors in CNC films.","Cellulose nanocrystals; Fractionation; Structural colors; Sulfuric acid hydrolysis","en","journal article","","","","","","","","","","","Team Arjan Mol","","",""
"uuid:576b129f-b770-42b4-81d1-860928d411c2","http://resolver.tudelft.nl/uuid:576b129f-b770-42b4-81d1-860928d411c2","Albumin Protein Impact on Early-Stage In Vitro Biodegradation of Magnesium Alloy (WE43)","Imani, Amin (University of British Columbia; Monash University); Rahimi, E. (TU Delft Materials Science and Engineering); Lekka, Maria (CIDETEC); Andreatta, Francesco (University of Udine); Magnan, Michele (University of Udine); Gonzalez Garcia, Y. (TU Delft Team Yaiza Gonzalez Garcia); Mol, J.M.C. (TU Delft Team Arjan Mol); Raman, R. K. Singh (Monash University); Fedrizzi, Lorenzo (University of Udine); Asselin, Edouard (University of British Columbia)","","2024","Mg and its alloys are promising biodegradable materials for orthopedic implants and cardiovascular stents. The first interactions of protein molecules with Mg alloy surfaces have a substantial impact on their biocompatibility and biodegradation. We investigate the early-stage electrochemical, chemical, morphological, and electrical surface potential changes of alloy WE43 in either 154 mM NaCl or Hanks’ simulated physiological solutions in the absence or presence of bovine serum albumin (BSA) protein. WE43 had the lowest electrochemical current noise (ECN) fluctuations, the highest noise resistance (Zn = 1774 Ω·cm2), and the highest total impedance (|Z| = 332 Ω·cm2) when immersed for 30 min in Hanks’ solution. The highest ECN, lowest Zn (1430 Ω·cm2), and |Z| (49 Ω·cm2) were observed in the NaCl solution. In the solutions containing BSA, a unique dual-mode biodegradation was observed. Adding BSA to a NaCl solution increased |Z| from 49 to 97 Ω·cm2 and decreased the ECN signal of the alloy, i.e., the BSA inhibited corrosion. On the other hand, the presence of BSA in Hanks’ solution increased the rate of biodegradation by decreasing both Zn and |Z| while increasing ECN. Finally, using scanning Kelvin probe force microscopy (SKPFM), we observed an adsorbed nanolayer of BSA with aggregated and fibrillar morphology only in Hanks’ solution, where the electrical surface potential was 52 mV lower than that of the Mg oxide layer.","magnesium alloy; protein adsorption; corrosion; biodegradation; surface potential","en","journal article","","","","","","","","","","Materials Science and Engineering","Team Yaiza Gonzalez Garcia","","",""
"uuid:6ea5060c-1f83-471c-9473-68a32fcf26ee","http://resolver.tudelft.nl/uuid:6ea5060c-1f83-471c-9473-68a32fcf26ee","Fiber-Optic Pedicle Probes to Advance Spine Surgery through Diffuse Reflectance Spectroscopy","Losch, M.S. (TU Delft Medical Instruments & Bio-Inspired Technology); Heintz, J.D. (Student TU Delft); Edström, Erik (Karolinska Institutet; Capio Spine Center); Terander, Adrian Elmi (Karolinska Institutet; Capio Spine Center); Dankelman, J. (TU Delft Medical Instruments & Bio-Inspired Technology); Hendriks, B.H.W. (TU Delft Medical Instruments & Bio-Inspired Technology)","","2024","Diffuse Reflectance Spectroscopy (DRS) can provide tissue feedback for pedicle screw placement in spine surgery, yet the integration of fiber optics into the tip of the pedicle probe, a device used to pierce through bone, is challenging, since the optical probing depth and signal-to-noise ratio (SNR) are affected negatively compared to those of a blunt DRS probe. Through Monte Carlo simulations and optical phantom experiments, we show how differences in the shape of the instrument tip influence the acquired spectrum. Our findings demonstrate that a single bevel with an angle of 30∘ offers a solution to anticipate cortical breaches during pedicle screw placement. Compared to a blunt probe, the optical probing depth and SNR of a cone tip are reduced by 50%. The single bevel tip excels with 75% of the optical probing depth and a SNR remaining at approximately ⅔, facilitating the construction of a surgical instrument with integrated DRS.","Diffuse Reflectance Spectroscopy; spine surgery; breach detection; fiber optics; probe design","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:ebd6177f-bfff-4b3e-b34b-d494e5586a5b","http://resolver.tudelft.nl/uuid:ebd6177f-bfff-4b3e-b34b-d494e5586a5b","Critical factors for effective resident participation in neighborhood rehabilitation in Wuhan, China: From the perspectives of diverse stakeholders","Li, Y. (TU Delft Design & Construction Management); Tao, Y. (University of Cambridge); Qian, QK (TU Delft Design & Construction Management); Mlecnik, E. (TU Delft Real Estate Management); Visscher, H.J. (TU Delft Design & Construction Management)","","2024","Resident participation is essential for neighborhood rehabilitation. It requires the active involvement of residents and efficient management by organizers. To improve the effectiveness of resident participation, it is necessary to understand the critical success factors (CSFs) underlying it. However, previous research has examined the critical factors from a single-stakeholder perspective, overlooking potential differences in perceptions among stakeholders with diverse roles and rehabilitation experiences. Based on 30 interviews and 255 questionnaires from six stakeholder groups in Wuhan, China, this study explores how the perception of critical factors for effective resident participation varies among local government, community-based organization, designer, contractor, consulting party, and resident. Thirty-seven factors were identified and compared among the stakeholders. Financial Incentive (for participation organizers) was identified as the most critical factor for effective resident participation, followed by Information Disclosure and Transparency, and Trust. Results from the analysis of variance (ANOVA) show that the six groups differed significantly in the importance of most factors (25/37), especially in Participant Education and Prejudice against the Working Group. Interview results indicate that the COVID-19 pandemic and rehabilitation experience changed stakeholders’ perceived importance of some factors. Specifically, in future RP initiatives, extra emphasis could be placed on Trait and Capacity (of the working group) and Participation-assistance Technologies. Stakeholders regarded these two factors as more critical as their rehabilitation experience accumulated. By understanding stakeholders' conflicting and changing perceptions of effective resident participation, suggestions were proposed to each stakeholder group to fulfill their distinct participation objectives and improve the overall effectiveness of participation practices.","resident participation; neighborhood rehabilitation; Critical success factor (CSF); stakeholder perception; COVID-19 pandemic; China","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:c38086ce-2eac-4f0e-8668-f41c6b1c8920","http://resolver.tudelft.nl/uuid:c38086ce-2eac-4f0e-8668-f41c6b1c8920","How can airports prepare for future public health disruptions? Experiences and lessons learned during the COVID-19 pandemic from a systemic perspective based on expert interviews","Nieuwborg, A.B.D. (TU Delft Responsible Marketing and Consumer Behavior); Melles, M. (TU Delft Applied Ergonomics and Design); Hiemstra-van Mastrigt, S. (TU Delft Responsible Marketing and Consumer Behavior); Santema, S.C. (TU Delft Responsible Marketing and Consumer Behavior)","","2024","The COVID-19 pandemic proved to be an existential public health and economic crisis for the airport system. An interview study was conducted using Amsterdam Airport Schiphol as a use case to prepare for future public health disruptions. The study aimed to uncover key experiences and lessons learned by an airport system during the COVID-19 pandemic by interviewing 16 experts from airport operators, airlines, public health agencies, security services, and the government. After thematic analysis, four themes emerged. The first theme addressed the limited readiness of the airport system; the COVID-19 pandemic seemed unimaginable regardless of prior experiences with infectious diseases or weak signals. The second theme depicts an airport system running behind the facts, one that had difficulties implementing operational interventions and had to deal with extensive reorganisations. The third theme illustrated the complex relational dynamics within the airport system, such as the hesitancy of public health stakeholders towards aviation stakeholders and the government utilising a top-down approach. Finally, theme four provides lessons learned for the future whereby actively fostering a systemic approach, sensemaking capabilities, and informal relations are recommended. Current constructions like Crisis Management Teams and the Airport Operations Centre support these learnings. Further reflection and operationalisation of the study's findings are critical to proactively supporting the airport system's transition from a potential pandemic liability to a strategic asset in mitigating public health disruptions.","Air transportation; Airport strategy; Crisis management; Uncertainty","en","journal article","","","","","","","","","","","Responsible Marketing and Consumer Behavior","","",""
"uuid:dbb987f9-4b41-487a-b7e3-1286db7d2d4e","http://resolver.tudelft.nl/uuid:dbb987f9-4b41-487a-b7e3-1286db7d2d4e","Spatial Design Thinking in Coastal Defence Systems: Overtopping Dikes in Southend-On-Sea","Iuorio, Luca (TU Delft Environmental Technology and Design); Wüthrich, D. (TU Delft Hydraulic Structures and Flood Risk); Teng, Djimin (Student TU Delft); Hooimeijer, F.L. (TU Delft Environmental Technology and Design)","","2024","Coastal dikes have been built for millennia to protect inhabited lands from exceptional high tides and storm events. Currently, many European countries are developing specific programs to integrate the construction of new dikes (or the raising of existing ones) into the built environment to face sea level rising. Technical difficulties in succeeding in this operation are questioning the paradigm of protection for the long term, pointing out the need for alternative strategies of adaptation that are not yet fully explored. This paper elaborates on innovative models to deal with coastal flooding, presenting the results of an interdisciplinary research and design process for the case-study of Southend-on-Sea (UK). Detailed numerical simulations are used to develop a spatial strategy to accommodate water during extreme events, introducing different prototypes of dike designs that include seawalls, enhanced roughness through rock and stepped revetments, as well as vegetation. The overall goal is to push forward the traditional approach of planning water protection infrastructure within the solely field of civil engineering. It elaborates on the integration of the disciplines of spatial design and engineering and presents novel advances in terms of spatial design for the revetment of overtopping dikes.","engineering; spatial design; interdisciplinary; coastal dikes; roughness","en","journal article","","","","","","","","","","","Environmental Technology and Design","","",""
"uuid:0691fd48-7699-4bdc-8819-1c803486bc28","http://resolver.tudelft.nl/uuid:0691fd48-7699-4bdc-8819-1c803486bc28","A model analysis of centimeter-long electron transport in cable bacteria","van der Veen, J.R. (TU Delft BT/Environmental Biotechnology; Kavli institute of nanoscience Delft); Valianti, S. (TU Delft QN/Blanter Group; Kavli institute of nanoscience Delft); van der Zant, H.S.J. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Blanter, Y.M. (TU Delft QN/Blanter Group; Kavli institute of nanoscience Delft); Meysman, F.J.R. (TU Delft BT/Environmental Biotechnology; Universiteit Antwerpen)","","2024","The recent discovery of cable bacteria has greatly expanded the known length scale of biological electron transport, as these multi-cellular bacteria are capable of mediating electrical currents across centimeter-scale distances. To enable such long-range conduction, cable bacteria embed a network of regularly spaced, parallel protein fibers in their cell envelope. These fibers exhibit extraordinary electrical properties for a biological material, including an electrical conductivity that can exceed 100 S cm −1. Traditionally, long-range electron transport through proteins is described as a multi-step hopping process, in which the individual hopping steps are described by Marcus electron transport theory. Here, we investigate to what extent such a classical hopping model can explain the conductance data recorded for individual cable bacterium filaments. To this end, the conductive fiber network in cable bacteria is modelled as a set of parallel one-dimensional hopping chains. Comparison of model simulated and experimental current(I)/voltage(V) curves, reveals that the charge transport is field-driven rather than concentration-driven, and there is no significant injection barrier between electrodes and filaments. However, the observed high conductivity levels (>100 S cm −1) can only be reproduced, if we include much longer hopping distances (a > 10 nm) and lower reorganisation energies (λ < 0.2 eV) than conventionally used in electron relay models of protein structures. Overall, our model analysis suggests that the conduction mechanism in cable bacteria is markedly distinct from other known forms of long-range biological electron transport, such as in multi-heme cytochromes.","","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:563ecf9f-4ef5-435f-9ca1-d211e8871b51","http://resolver.tudelft.nl/uuid:563ecf9f-4ef5-435f-9ca1-d211e8871b51","Mode-{I, III} multiaxial fatigue of welded joints in steel maritime structures: Effective notch stress based resistance incorporating strength and mechanism contributions","Bufalari, G. (TU Delft Ship Hydromechanics and Structures); den Besten, J.H. (TU Delft Ship and Offshore Structures); Kaminski, M.L. (TU Delft Ship and Offshore Structures)","","2024","The response of maritime structures can be multiaxial, involving predominant mode-I and non-negligible mode-III components. Adopting a stress distribution formulation based effective notch stress as fatigue strength parameter for mixed mode-{I, III} multiaxial fatigue assessment purposes, a mode-I equivalent von Mises type of failure criterion has been established at the critical fracture plane. Counting includes a cycle-by-cycle non-proportionality measure and damage accumulation is based on a linear model. Distinguished mode specific and material characteristic strength and mechanism contributions in terms of respectively the resistance curve intercept and mean stress induced response ratio coefficient, resistance curve slope and material characteristic length, have been incorporated. Evaluating the mid-cycle fatigue resistance, the outperformance is impressive. The analysed multiaxial mode-{I, III} data fits the uniaxial mode-I reference data scatter band and a single resistance curve can be used for fatigue assessment.","Effective notch stress; Fatigue; Multiaxiality; Strength and mechanism; Welded joints","en","journal article","","","","","","","","","","","Ship Hydromechanics and Structures","","",""
"uuid:41f4007f-e008-41f4-b6ab-7feeb7e63482","http://resolver.tudelft.nl/uuid:41f4007f-e008-41f4-b6ab-7feeb7e63482","Storage Standards and Solutions, Data Storage, Sharing, and Structuring in Digital Health: A Brazilian Case Study","Rodrigues de Oliveira, Nicollas (Universidade Federal Fluminense); de Rezende dos Santos, Yago (Universidade Federal Fluminense); Rocha Mendes, Ana Carolina (Universidade Federal Fluminense); Nunes Nasseh Barbosa, Guilherme (Universidade Federal Fluminense); Tuler de Oliveira, M. (TU Delft Information and Communication Technology); Valle, Rafael (Rede Nacional de Ensino e Pesquisa); Scherly Varela Medeiros, Dianne (Universidade Federal Fluminense); Mattos, Diogo Menezes Ferrazani (Universidade Federal Fluminense)","","2024","The COVID-19 pandemic has highlighted the necessity for agile health services that enable reliable and secure information exchange, but achieving proper, private, and secure sharing of EMRs remains a challenge due to diverse data formats and fragmented records across multiple data silos, resulting in hindered coordination between healthcare teams, potential medical errors, and delays in patient care. While centralized EMR systems pose privacy risks and data format diversity complicates interoperability, blockchain technology offers a promising solution by providing decentralized storage, ensuring data integrity, enhancing access control, eliminating intermediaries, and increasing efficiency in healthcare. By focusing on a Brazilian case study, this paper explores the significance of EMR standards, security challenges, and blockchain-based approaches to promote interoperability and secure data sharing in the healthcare industry.","healthcare standards; blockchain","en","review","","","","","","","","","","","Information and Communication Technology","","",""
"uuid:2c309d76-800f-47c3-a702-3aca6f99284c","http://resolver.tudelft.nl/uuid:2c309d76-800f-47c3-a702-3aca6f99284c","Textile Membrane for Façade Retrofitting: Exploring Fabric Potentialities for the Development of Innovative Strategies","Procaccini, Giulia (Polytechnic of Milan); Prieto, Alejandro (Diego Portales University); Knaack, U. (TU Delft Architectural Technology); Monticelli, Carol (Polytechnic of Milan); Konstantinou, T. (TU Delft Architectural Technology)","","2024","The European building stock demands urgent renovation due to the age of the buildings, their expected lifetime, and their excessive energy consumption, which accounts for more than a third of the EU’s total emissions. However, the complexities involved, such as time, costs, and structural modifications, often discourage clients, tenants, and occupants from undergoing a building renovation process. Textile membranes, despite their long history in various architectural applications, have only been employed in façades in the last decades. Their intrinsic properties, such as lightness and flexibility, together with rapid assembly and low maintenance make these materials particularly suitable for façade retrofitting. Therefore, they are worth exploring as a way to promote the development of lightweight and easy-to-assemble façade products that could help overcome the current limitations of building retrofitting efforts. This paper aims to establish relationships between textile membranes and potential building retrofit applications. To this end, this study builds on the categorization of traditional façade retrofit strategies and proposes a new classification for textile façade retrofit products. The methodology includes a comprehensive literature review of textile properties and characteristics, along with a thorough assessment through case studies, of membrane use in façade applications. A sequential investigation leads to the main outcome of identifying three clear pathways for the development of new textile-based façade products for building retrofit.","Façade retrofit; textile façade; membrane; innovative strategies; resilient constructions; Sustainability; lightweight structures","en","journal article","","","","","","","","","","","Architectural Technology","","",""
"uuid:29fd8dd3-454d-4e65-af70-5fa2b8dec048","http://resolver.tudelft.nl/uuid:29fd8dd3-454d-4e65-af70-5fa2b8dec048","Polarimetric Calibration of an FMCW Doppler Radar with Dual-Orthogonal Signals","Krasnov, O.A. (TU Delft Microwave Sensing, Signals & Systems); Zhang, Q. (Student TU Delft); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2024","In this paper, the full calibration chain of FMCW radar with simultaneous transmission of two orthogonally polarized orthogonal waveforms is considered. Specifically for this type of polarimetric radar, compensation of signals’ biases and equalization of the amplification gains of the parallel polarimetric channels in the receiver are jointly performed using the noise measurements. The calibrations of the absolute complex gains of the transmitter’s polarimetric channels together with complex antenna gains are done using the model-based fit of the measurements of the rotating dihedral reflector. Phase relations between polarimetric channels are treated in the Doppler domain using the unfolded velocity of the target. The performed calibration results in high-accurate measurements of the radar targets’ polarimetric scattering matrix (PSM) in the Doppler domain. All the proposed calibration steps are illustrated using real radar data.","radar polarimetry; polarimetric calibration; polarization scattering matrix measurements","en","conference paper","","","","","","","","","","","Microwave Sensing, Signals & Systems","","",""
"uuid:0012651c-dd2d-4d09-b692-9ee41cf078e3","http://resolver.tudelft.nl/uuid:0012651c-dd2d-4d09-b692-9ee41cf078e3","Nonideal compressible fluid dynamics of dense vapors and supercritical fluids.","Guardone, Alberto (Politecnico di Milano); Colonna, Piero (TU Delft Flight Performance and Propulsion); Pini, M. (TU Delft Flight Performance and Propulsion); Spinelli, Andrea (Politecnico di Milano)","","2024","The gas dynamics of single-phase nonreacting fluids whose thermodynamic states are close to vapor-liquid saturation, close to the vapor-liquid critical point, or in supercritical conditions differs quantitatively and qualitatively from the textbook gas dynamics of dilute, ideal gases. Due to nonideal fluid thermodynamic properties, unconventional gas dynamic effects are possible, including nonclassical rarefaction shock waves and the nonmonotonic variation of the Mach number along steady isentropic expansions. This review provides a comprehensive theoretical framework of the fundamentals of nonideal compressible fluid dynamics (NICFD). The relation between nonideal gas dynamics and the complexity of the fluid molecules is clarified. The theoretical, numerical, and experimental tools currently employed to investigate NICFD flows and related applications are reviewed, followed by an overview of industrial processes involving NICFD, ranging from organic Rankine and supercritical CO2 cycle power systems to supercritical processes. The future challenges facing researchers in the field are briefly outlined.","nonideal compressible fluid dynamics; nonideal thermodynamics; fundamental derivative of gas dynamics; supercritical carbon dioxide flows and power systems; organic Rankine cycle power systems; supercritical injection","en","journal article","","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:a08a993e-a57c-4bc0-8702-2148a6f1b70e","http://resolver.tudelft.nl/uuid:a08a993e-a57c-4bc0-8702-2148a6f1b70e","Fast particle-mesh code for Milgromian dynamics","Visser, P.M. (TU Delft Mathematical Physics); Eijt, S.W.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); de Nijs, J.V. (Student TU Delft)","","2024","Context. Modified Newtonian dynamics (MOND) is a promising alternative to dark matter. To further test the theory, there is a need for fluid- and particle-dynamics simulations. The force in MOND is not a direct particle-particle interaction, but derives from a potential for which a nonlinear partial differential equation (PDE) needs to be solved. Normally, this makes the problem of simulating dynamical evolution computationally expensive. Aims. We intend to develop a fast particle-mesh (PM) code for MOND (the AQUAL formalism). Methods. We transformed the nonlinear equation for MOND into a system of linear PDEs plus one algebraic equation. An iterative scheme with the fast Fourier transform (FFT) produces successively better numerical approximations. Results. The algorithm was tested for dynamical systems in MOND where analytical solutions are known: the two-body problem, a body with a circular ring, and a spherical distribution of particles in thermal equilibrium in the self-consistent potential. Conclusions. The PM code can accurately calculate the forces at subpixel scale and reproduces the analytical solutions. Four iterations are required for the potential, but when the spatial steps are small compared to the kernel width, one iteration is suffices. The use of a smoothing kernel for the accelerations is inevitable in order to eliminate the self-gravity of the point particles. Our PDE solver is 15 to 42 times as slow as a standard Poisson solver. However, the smoothing and particle propagation takes up most of the time above one particle per 10 3 pixels. The FFTs, the smoothing, and the propagation part in the code can all be parallelized.","gravitation; numerical; planets and satellites: general – planets and satellites: formation; Galaxies: kinematics and dynamics; Galaxies: formation; Cosmology; dark matter theory","en","journal article","","","","","","","","","","","Mathematical Physics","","",""
"uuid:3880f334-a1a3-43df-a825-7eaa141d2548","http://resolver.tudelft.nl/uuid:3880f334-a1a3-43df-a825-7eaa141d2548","Precipitation Doppler Spectrum Reconstruction With Gaussian Process Prior","Dash, T.K. (TU Delft Microwave Sensing, Signals & Systems); Driessen, J.N. (TU Delft Microwave Sensing, Signals & Systems); Krasnov, O.A. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2024","The challenge of reconstructing the Doppler spectrum of a precipitation-like event observed by a fast-scanning weather radar is addressed. A novel method is proposed where the echo sequence in time is assumed to be a complex Gaussian process with a known covariance structure. It is a two-step approach where the first step is the estimation of the hyperparameters of the covariance function with a maximum likelihood approach, and the second step is the reconstruction of the spectrum directly in the time or spectral domain. The proposed approach is applied to simulated data for hyper-parameter estimation performance analysis and real radar data for the complete Doppler spectrum reconstruction.","Bayesian Inference; Weather Doppler Radar","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-24","","","Microwave Sensing, Signals & Systems","","",""
"uuid:512ca0f2-7b8a-45fa-9b8b-266508e77126","http://resolver.tudelft.nl/uuid:512ca0f2-7b8a-45fa-9b8b-266508e77126","How to conduct more systematic reviews of agent-based models and foster theory development: Taking stock and looking ahead","Achter, Sebastian (Hamburg University of Technology); Borit, Melania (UiT the Arctic University of Norway); Cottineau, C. (TU Delft Urban Studies); Meyer, Matthias (Hamburg University of Technology); Polhill, J. Gareth (The James Hutton Institute); Radchuk, Viktoriia (Leibniz Institute for Zoo and Wildlife Research)","","2024","Agent-based models (ABMs) are increasingly utilized in ecology and related fields, yet concerns persist regarding the lack of consideration for lessons learned from previous models. This study explores the potential of systematically conducted ABM reviews to contribute to cumulative science and theory development by synthesizing individual ABM findings more effectively. We are conducting a meta-review of ABM reviews to assess current practices, compare them to systematic literature review (SLR) literature recommendations, and evaluate their engagement with theory and theory development. Our analysis of the ecology and social science sample reveals that many reviews are not conducted systematically and lack transparency. The analysis step of SLRs holds significant potential to advance theory development. Reviews primarily focus on model design, while other avenues of theory development receive less attention. Our findings suggest ways to improve current practices and may guide future ABM reviews via benchmarks for methodological decisions and dimensions for advancing theory development.","Systematic literature review; Literature review; Agent-based modelling; Model design; Theory development; Cumulative science","en","journal article","","","","","","","","","","","Urban Studies","","",""
"uuid:02eaf4bb-db40-473a-91f7-02fe3dd24a08","http://resolver.tudelft.nl/uuid:02eaf4bb-db40-473a-91f7-02fe3dd24a08","Stability Analysis for Incremental Adaptive Dynamic Programming with Approximation Errors","Li, Y. (TU Delft Control & Simulation); van Kampen, E. (TU Delft Control & Simulation)","","2024","This paper provides a convergence and stability analysis of the incremental value iteration algorithm under the influence of various errors. Incremental control is firstly used to linearize the continuous-time nonlinear system, recursive least squares (RLS) identification is then introduced to identify the incremental model online. Based on the incremental model, the value iteration algorithm is used to design an optimal adaptive controller, with an analytical optimal control law. Moreover, the convergence of the developed incremental value iteration algorithm is proved. The stability of the controller is analyzed using Lyapunov stability theory. Finally, a flight control simulation verifies the robustness of the controller to various initial conditions, as well as adaptation to actuator faults.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-06","","","Control & Simulation","","",""
"uuid:89ffbd88-1fd7-4c23-b3c3-25febdd69702","http://resolver.tudelft.nl/uuid:89ffbd88-1fd7-4c23-b3c3-25febdd69702","In-Situ early anomaly detection and remaining useful lifetime prediction for high-power white LEDs with distance and entropy-based long short-term memory recurrent neural networks","Wen, Minzhen (Fudan University); Ibrahim, Mesfin Seid (Wollo University); Meda, Abdulmelik Husen (The Hong Kong Polytechnic University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan Zhangjiang Institute)","","2024","High-power white light-emitting diodes (LEDs) have demonstrated superior efficiency and reliability compared to traditional white light sources. However, ensuring maximum performance for a prolonged lifetime use presents a significant challenge for manufacturers and end users, especially in safety–critical applications. Thus, identifying functional anomalies and predicting the remaining useful lifetime (RUL) is of enormous importance in the operational longevity of the device. To address such challenges, this study proposes a combination of distance-based Mahalanobis distance (MD), entropy generation rate (EGR), and deep learning models for improved anomaly detection and RUL prediction accuracy. Unlike conventional health indicators based on luminous flux data that are challenging to monitor relevant optical performance, the MD and EGR methods are employed to extract in-situ monitored thermal and electrical data as new health indicators. Long short-term memory recurrent neural networks (LSTM-RNN) and convolutional neural networks (CNN) are established to detect anomalies and predict the RUL. The accelerated degradation tests of 3 W high-power white LED have been conducted, and the online and offline collected experimental data are deployed for model development and performance evaluation. The performance of the proposed methods is compared against the Illuminating Engineering Society of North America (IESNA) TM-21 method. The results indicate that LSTM-RNN, when combined with either MD or EGR, can detect anomalies with significantly fewer data (70 %) than is typically required. Furthermore, a significant improvement in prediction accuracy in RUL prediction based on MD and EGR-constructed time series health indicators and employed with the LSTM-RNN model demonstrates the effectiveness of the proposed methods.","Anomaly detection; Deep Learning Algorithms; Entropy generation rate (EGR); Light-emitting diodes (LEDs); Mahalanobis distance (MD); Remaining Useful Lifetime (RUL) Prediction","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-06","","","Electronic Components, Technology and Materials","","",""
"uuid:d0202570-790a-4a6b-99df-e73bb0edcebc","http://resolver.tudelft.nl/uuid:d0202570-790a-4a6b-99df-e73bb0edcebc","Effect of stacking fault energy on the thickness and density of annealing twins in recrystallized FCC medium and high-entropy alloys","Schneider, Mike (Ruhr-Universität Bochum; PSL Research University); Couzinié, Jean Philippe (Université Paris-Est Créteil); Shalabi, Amin (Ruhr-Universität Bochum); Ibrahimkhel, Farhad (Ruhr-Universität Bochum); Ferrari, A. (TU Delft Team Marcel Sluiter); Körmann, F.H.W. (TU Delft Team Marcel Sluiter; Max-Planck-Institut für Eisenforschung; Bundesanstalt für Materialforschung und -prüfung (BAM)); Laplanche, Guillaume (Ruhr-Universität Bochum)","","2024","This work aims to predict the microstructure of recrystallized medium and high-entropy alloys (MEAs and HEAs) with a face-centered cubic structure, in particular the density of annealing twins and their thickness. Eight MEAs and five HEAs from the Cr-Mn-Fe-Co-Ni system are considered, which have been cast, homogenized, cold-worked and recrystallized to obtain different grain sizes. This work thus provides a database that could be used for data mining to take twin boundary engineering for alloy development to the next level. Since the stacking fault energy is known to strongly affect recrystallized microstructures, the latter was determined at 293 K using the weak beam dark-field technique and compared with ab initio simulations, which additionally allowed to calculate its temperature dependence. Finally, we show that all these data can be rationalized based on theories and empirical relationships that were proposed for pure metals and binary Cu-based alloys.","CoCrFeMnNi HEAs and MEAs; Electron backscatter diffraction; Grain boundary engineering; Recrystallization; Transmission electron microscopy (TEM)","en","journal article","","","","","","","","","","","Team Marcel Sluiter","","",""
"uuid:0e02777d-c09c-43ed-b634-6f8d02f8b9b5","http://resolver.tudelft.nl/uuid:0e02777d-c09c-43ed-b634-6f8d02f8b9b5","Optical STEM detection for scanning electron microscopy","Kievits, A.J. (TU Delft ImPhys/Hoogenboom group); Duinkerken, B. H.Peter (University Medical Center Groningen); Fermie, Job (Delmic BV); Lane, R. (TU Delft ImPhys/Hoogenboom group); Giepmans, Ben N.G. (University Medical Center Groningen); Hoogenboom, J.P. (TU Delft ImPhys/Hoogenboom group)","","2024","Recent advances in electron microscopy techniques have led to a significant scale up in volumetric imaging of biological tissue. The throughput of electron microscopes, however, remains a limiting factor for the volume that can be imaged in high resolution within reasonable time. Faster detection methods will improve throughput. Here, we have characterized and benchmarked a novel detection technique for scanning electron microscopy: optical scanning transmission electron microscopy (OSTEM). A qualitative and quantitative comparison was performed between OSTEM, secondary and backscattered electron detection and annular dark field detection in scanning transmission electron microscopy. Our analysis shows that OSTEM produces images similar to backscattered electron detection in terms of contrast, resolution and signal-to-noise ratio. OSTEM can complement large scale imaging with (scanning) transmission electron microscopy and has the potential to speed up imaging in single-beam scanning electron microscope.","Electron detection; Instrumentation development; Scanning electron microscopy; Scanning transmission electron microscopy; Volume electron microscopy","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-30","","","ImPhys/Hoogenboom group","","",""
"uuid:226bbaa0-e785-42ce-8e44-501c0d7b1330","http://resolver.tudelft.nl/uuid:226bbaa0-e785-42ce-8e44-501c0d7b1330","Hot air recirculation enlarges efficient operating window of reversible solid oxide cell systems: A thermodynamic study of energy storage using ammonia","Amladi, Amogh (Rijksuniversiteit Groningen; Student TU Delft); Venkataraman, V. (TU Delft Energy Technology; AVL List GmbH); Woudstra, T. (TU Delft Process and Energy; Rijksuniversiteit Groningen); Aravind, P.V. (TU Delft Energy Technology; Rijksuniversiteit Groningen)","","2024","Energy storage is vital for the energy transition, enabling reliable power grids based on intermittent renewables. Reversible solid oxide cell (rSOC) technology is promising for seasonal energy storage. The novel finding from this work is that optimised air recirculation for rSOC in endothermic electrolyser mode leads to efficiency being nearly independent of current density. Thereby the operating region of highest efficiency is expanded from the thermoneutral point to the entire endothermic region, leading to highly efficient part-load operation. Air recirculation increases fuel cell mode efficiency too, particularly at higher loads. This widens the efficient operating window in both modes. These findings emerge from a thermodynamic study of an rSOC-based energy storage system with ammonia as fuel. A process design is developed and optimised for efficiency, supported with detailed exergy analysis. First, ammonia synthesis subsystem integrated with the rSOC system in electrolyser mode is optimised. Second, rSOC outlet air recirculation is optimised for high system efficiency. Finally, rSOC operating points are optimised for highest round-trip efficiency. We find the least exergy destruction for the ammonia synthesis subsystem at 170 bar synthesis pressure and 30 °C condensation temperature (without needing refrigeration). The overall system achieves round-trip efficiencies up to 60.3%.","Air recirculation; Ammonia; Energy storage; Exergy; Fuel cell; Reversible solid oxide cell","en","journal article","","","","","","","","","","Process and Energy","Energy Technology","","",""
"uuid:b4236ba2-0fea-4213-be35-0545f219fbaa","http://resolver.tudelft.nl/uuid:b4236ba2-0fea-4213-be35-0545f219fbaa","Damping of 3D-printed polymer microbeam resonators","de Winter, Jikke (Student TU Delft); Manzaneque Garcia, T. (TU Delft Electronic Instrumentation); Ghatkesar, M.K. (TU Delft Micro and Nano Engineering)","","2024","The emerging high-resolution 3D printing technique called two-photon polymerization (2PP) enables to print devices bottom-up rapidly, contrary to the top-down lithography-based fabrication methods. In this work, various polymer microbeams are 3D printed and their resonant characteristics are analyzed to understand the origin of damping. The 2PP printed polymer resonators have shown less damping than other polymer devices reported earlier, with tensile-stressed clamped-clamped beams reaching a record quality factor of 1819. The resonant energy loss was dominant by bulk friction damping. These results pave the path towards using 3D printed microresonators as mass sensors with improved design and fabrication flexibility.","2PP printing; 3D printing; additive manufacturing; damping; microresonator; polymer microbeam; quality factor","en","journal article","","","","","","","","","","","Electronic Instrumentation","","",""
"uuid:15f8e22d-492b-4922-96fb-6cb7f9dac406","http://resolver.tudelft.nl/uuid:15f8e22d-492b-4922-96fb-6cb7f9dac406","An in-depth comparison of linear and non-linear joint embedding methods for bulk and single-cell multi-omics","Makrodimitris, S. (TU Delft Pattern Recognition and Bioinformatics; Erasmus MC); Pronk, I.B. (TU Delft Pattern Recognition and Bioinformatics); Abdelaal, T.R.M. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center); Reinders, M.J.T. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center)","","2024","Multi-omic analyses are necessary to understand the complex biological processes taking place at the tissue and cell level, but also to make reliable predictions about, for example, disease outcome. Several linear methods exist that create a joint embedding using paired information per sample, but recently there has been a rise in the popularity of neural architectures that embed paired -omics into the same non-linear manifold. This work describes a head-to-head comparison of linear and non-linear joint embedding methods using both bulk and single-cell multi-modal datasets. We found that non-linear methods have a clear advantage with respect to linear ones for missing modality imputation. Performance comparisons in the downstream tasks of survival analysis for bulk tumor data and cell type classification for single-cell data lead to the following insights: First, concatenating the principal components of each modality is a competitive baseline and hard to beat if all modalities are available at test time. However, if we only have one modality available at test time, training a predictive model on the joint space of that modality can lead to performance improvements with respect to just using the unimodal principal components. Second, -omic profiles imputed by neural joint embedding methods are realistic enough to be used by a classifier trained on real data with limited performance drops. Taken together, our comparisons give hints to which joint embedding to use for which downstream task. Overall, product-of-experts performed well in most tasks and was reasonably fast, while early integration (concatenation) of modalities did quite poorly.","dimensionality reduction; joint embedding; multi-omics; neural networks","en","review","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:2c9e2c71-b497-461c-9df6-5375c158983e","http://resolver.tudelft.nl/uuid:2c9e2c71-b497-461c-9df6-5375c158983e","Semi-empirical calibration of remote microphone probes using Bayesian inference","Moriaux, Olivier (von Karman Institute for Fluid Dynamics); Zamponi, R. (TU Delft Wind Energy; von Karman Institute for Fluid Dynamics); Schram, Christophe (von Karman Institute for Fluid Dynamics)","","2024","The empirical calibration of remote microphone probes (RMP), used to acquire wall-pressure fluctuations, can introduce spurious resonance into the sensor transfer function due to the difference in the pressure field inside the calibrator geometry over multiple calibration steps. Such spurious resonance subsequently propagates into the unsteady-pressure data at which the calibration is applied, hindering the accuracy of the measurements. Current post-processing methods for tackling these issues are often manual and strongly dependent on the operator's expertise. In this study, we propose an original semi-empirical calibration method to remove spurious resonance in a less operator-reliant manner. The approach is based on fitting an existing analytical fluid-dynamical model for the propagation of pressure waves in the probe to the empirical calibration data using Bayesian inference. The proposed method is successfully applied to three datasets, from a simple probe recessed behind a pinhole to a more complex branching RMP. For all the configurations, spurious resonance is eliminated from the transfer function with a strongly reduced impact of the operator intervention while retaining the resonant features that are characteristic of the RMP. The affected frequency bands are then replaced using the underlying physical model. In this way, the detrimental impact of spurious resonance is removed from the measured wall-pressure spectra. Furthermore, the RMP parameters retrieved by the fit can also be used as inputs to corrective models, specifically to account for averaging effects due to the probe sensing area or for the impact of grazing flow or temperature variations on the transfer function.","Bayesian inference; Microphone calibration; Remote microphone probe; Wall-pressure fluctuations","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:fb2f1a1a-7550-4d59-ba3b-6ef61ccab032","http://resolver.tudelft.nl/uuid:fb2f1a1a-7550-4d59-ba3b-6ef61ccab032","Identifying patterns and recommendations of and for sustainable open data initiatives: A benchmarking-driven analysis of open government data initiatives among European countries","Lnenicka, Martin (University of Pardubice); Nikiforova, Anastasija (University of Tartu); Luterek, Mariusz (University of Warsaw); Milic, Petar (University of Pristina); Rudmark, Daniel (University of Gothenburg; Swedish National Road and Transport Research Institute); Neumaier, Sebastian (St. Pölten University of Applied Sciences); Santoro, C. (TU Delft Information and Communication Technology; Katholieke Universiteit Leuven); Casiano Flores, Cesar (University of Twente); Janssen, M.F.W.H.A. (TU Delft Engineering, Systems and Services); Rodríguez Bolívar, Manuel Pedro (Universidad de Granada)","","2024","Open government and open (government) data are seen as tools to create new opportunities, eliminate or at least reduce information inequalities and improve public services. More than a decade of these efforts has provided much experience, practices, and perspectives to learn how to better deal with them. This paper focuses on benchmarking of open data initiatives over the years and attempts to identify patterns observed among European countries that could lead to disparities in the development, growth, and sustainability of open data ecosystems. To do this, we studied benchmarks and indices published over the last years (57 editions of 8 artifacts) and conducted a comparative case study of eight European countries, identifying patterns among them considering different potentially relevant contexts such as e-government, open government data, open data indices and rankings, and others relevant for the country under consideration. Using a Delphi method, we reached a consensus within a panel of experts and validated a final list of 94 patterns, including their frequency of occurrence among studied countries and their effects on the respective countries. Finally, we took a closer look at the developments in identified contexts over the years and defined 21 recommendations for more resilient and sustainable open government data initiatives and ecosystems and future steps in this area.","Benchmarking; Cluster analysis; Delphi method; E-government; Open data; Open data initiative; Pattern","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-08","","Engineering, Systems and Services","Information and Communication Technology","","",""
"uuid:2a3ae1b0-2d1e-4e8b-bb6d-a019edf14e23","http://resolver.tudelft.nl/uuid:2a3ae1b0-2d1e-4e8b-bb6d-a019edf14e23","Prioritize carbon pricing over fossil-fuel subsidy reform","van den Bergh, Jeroen (Universitat Autònoma de Barcelona; Catalan Institution for Research and Advanced Studies (ICREA); Vrije Universiteit Amsterdam); van Beers, Cees (TU Delft Economics of Technology and Innovation); King, Lewis C. (Universitat Autònoma de Barcelona)","","2024","While many climate activist groups enthusiastically advocate for the removal of fossil-fuel subsidies, we argue that this overstates both the climate effectiveness and political feasibility of such a strategy. Through synthesizing information from various global studies, we show that subsidies contribute to a relatively small portion of climate change and local externality problems, likely accounting for around 1%. We further argue that reform of fossil-fuel subsidies is hampered by various political and social factors, more so than the diffusion of carbon pricing. Based on these results, we argue that the far greater problem of unpriced externalities warrants a redirection or expansion of the enthusiasm for subsidy reform toward carbon pricing. This makes sense also as subsidy reform and carbon pricing essentially represent two sides of the same coin since both contribute to climate mitigation by raising fossil-fuel prices.","Environmental policy; Global change","en","review","","","","","","","","","","","Economics of Technology and Innovation","","",""
"uuid:caf992b4-8518-42af-bfae-9d3e59158698","http://resolver.tudelft.nl/uuid:caf992b4-8518-42af-bfae-9d3e59158698","On mixtures as working fluids of air-cooled ORC bottoming power plants of gas turbines","Krempus, D. (TU Delft Flight Performance and Propulsion); Bahamonde, Sebastian; van der Stelt, T.P. (TU Delft Flight Performance and Propulsion; Asimptote bv); Klink, Wolfgang (Corporate Technology); Colonna, Piero (TU Delft Flight Performance and Propulsion); de Servi, C.M. (TU Delft Flight Performance and Propulsion; Flemish Institute for Technological Research)","","2024","The use of mixtures as working fluids of organic Rankine cycle (ORC) waste heat recovery (WHR) power plants has been proposed in the past to improve the matching between the temperature profile of the hot and the cold streams of condensers and evaporators, thus to possibly increase the energy conversion efficiency of the system. The goal of this study is to assess the benefits in terms of efficiency, environmental (GWP) and operational safety (flammability) that can be obtained by selecting optimal binary mixtures as working fluids of air-cooled ORC bottoming power plants of medium-capacity industrial gas turbines. Furthermore, two thermodynamic cycle configurations are analyzed, namely the simple recuperated cycle and the so-called split-cycle configurations. The benchmark case is a combined cycle power plant formed by an industrial gas turbine and an air-cooled recuperated ORC power unit with cyclopentane as the working fluid. The results of this study indicate that binary mixtures provide the designer with a wider choice of optimal working fluids, however, in the case of the recuperated-cycle configuration, no improvement in terms of combined cycle efficiency over the benchmark case can be achieved. The split-cycle configuration leads to an increase of combined cycle efficiency of the order of 1.5%, both in case of pure and blended working fluids. Furthermore, for this cycle configuration the use of Novec 649 as working fluid is advantageous because it is environmentally and operationally safe, and it does not involve any penalty in terms of combined cycle efficiency if compared to the benchmark case. Additionally, the use of this fluid would lead to a more compact turbine, as the corresponding thermodynamic cycle would determine a turbine volume flow ratio that is half of the value of the benchmark case and a specific enthalpy difference over the expansion that is one fifth.","Binary mixtures; Organic Rankine cycle; Waste heat recovery","en","journal article","","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:c75c9694-0dcf-4dc3-becb-f20e00e4d248","http://resolver.tudelft.nl/uuid:c75c9694-0dcf-4dc3-becb-f20e00e4d248","A shared PV system for transportation and residential loads to reduce curtailment and the need for storage systems","Diab, I. (TU Delft DC systems, Energy conversion & Storage); Damianakis, Nikolaos (TU Delft DC systems, Energy conversion & Storage); Chandra Mouli, G.R. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2024","This paper proposes a shared multi-stakeholder PV system for traction substations and nearby residential loads to reduce the need for storage, AC grid exchange, and curtailment. The residential stakeholders offer both the base electrical load and the solar panels installation space needed by the traction stakeholder, who brings the peak load and investments to the former. Two case studies were conducted for one year in the city of Arnhem, The cy=Netherlands, using comprehensive and verified simulation models: A high-traffic and a low-traffic substation. The results showed a positive, synergetic benefit in reducing the PV system's excess energy and size requirement for any type of traction substations connected to any number of households. In one detailed example, the multi-stakeholder system suggested in this paper is shown to reduce curtailment by up to 80% in moments of zero-traction load. Generally, the direct load coverage of a PV system is increased by as much as 7 absolute percentage points to the single-stakeholder system when looking at energy-neutral system sizes. This multi-stakeholders system offers then an increase in the techno-economic feasibility of PV system integration in urban loads.","DC systems; Electric mobility; Public transport; Smart grids; Solar PV; Trolleybus","en","journal article","","","","","","","","","","","DC systems, Energy conversion & Storage","","",""
"uuid:08b57932-53a6-4565-a2aa-bbb6df0d615f","http://resolver.tudelft.nl/uuid:08b57932-53a6-4565-a2aa-bbb6df0d615f","Uncertainty analysis and interval prediction of LEDs lifetimes","Rocchetta, Roberto (Eindhoven University of Technology); Zhan, Zhouzhao (Eindhoven University of Technology); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials; Signify); Di Bucchianico, Alessandro (Eindhoven University of Technology)","","2024","Lifetime analyses are crucial for ensuring the durability of new Light-emitting Diodes (LEDs) and uncertainty quantification (UQ) is necessary to quantify a lack of usable failure and degradation data. This work presents a new framework for predicting the lifetime of LEDs in terms of lumen maintenance, effectively quantifying the natural variability of lifetimes (aleatory) as well as the reducible uncertainty resulting from data scarcity (epistemic). Non-parametric survival models are employed for UQ of low-magnitude failures, while a new parametric interval prediction model (IPM) is introduced to characterize the uncertainty in high-magnitude lumen depreciation events and long-term extrapolated lifetimes. The width of interval-valued predictions reflects the inherent variability in degradation paths whilst the epistemic uncertainty, arising from data scarcity, is quantified by a statistical bound on the probability of the prediction errors for future degradation trajectories. A modified exponential flux decay model combined with the Arrhenius equation equips the IPM with physical information on the physics of LED luminous flux degradation. The framework is tested and validated on a novel database of LED degradation trajectories and in comparison to well-established probabilistic predictors. The results of this study support the validity of the proposed approach and the usefulness of the additional UQ capabilities.","Accelerated Degradation Data; Interval Prediction; Lifetime; Light-emitting Diodes; Lumen maintenance; Uncertainty Quantification","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-06","","","Electronic Components, Technology and Materials","","",""
"uuid:1f60409e-4439-45be-ace1-1a9bc05a1812","http://resolver.tudelft.nl/uuid:1f60409e-4439-45be-ace1-1a9bc05a1812","Scale-free percolation mixing time","Cipriani, A. (TU Delft Applied Probability); Salvi, Michele (University of Rome Tor Vergata)","","2024","Assign to each vertex of the one-dimensional torus i.i.d. weights with a heavy-tail of index τ−1>0. Connect then each couple of vertices with probability roughly proportional to the product of their weights and that decays polynomially with exponent α>0 in their distance. The resulting graph is called scale-free percolation. The goal of this work is to study the mixing time of the simple random walk on this structure. We depict a rich phase diagram in α and τ. In particular we prove that the presence of hubs can speed up the mixing of the chain. We use different techniques for each phase, the most interesting of which is a bootstrap procedure to reduce the model from a phase where the degrees have bounded averages to a setting with unbounded averages.","Degree distribution; Mixing time; Random graph; Scale-free percolation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-29","","","Applied Probability","","",""
"uuid:e1b419c1-b48e-4462-a5ab-8b39f951e6f4","http://resolver.tudelft.nl/uuid:e1b419c1-b48e-4462-a5ab-8b39f951e6f4","Bubbles and Broth: A review on the impact of broth composition on bubble column bioreactor hydrodynamics","Volger, R. (TU Delft BT/Bioprocess Engineering); Puiman, L. (TU Delft BT/Bioprocess Engineering); Haringa, C. (TU Delft BT/Bioprocess Engineering)","","2024","The growing global population and heightened concern for climate change leads to increased interest in utilizing microbial fermentations to replace polluting production processes for e.g., plastics, fuels, and animal proteins. Computational fluid dynamics (CFD) is a valuable tool for accelerating the scale-up and optimization of large-scale bioprocesses. However, the design correlations underlying most of these CFD models are validated with air-water systems, not accounting for the distinct hydrodynamic properties of microbial fermentation broth. In this review, we provide an extensive overview of the current understanding of how various biotechnologically relevant solutes impact the hydrodynamics of bubble columns. We examine the effects of components found in fermentation broths, including salts, surfactants, viscoelastic solutes, alcohols, acids, ketones, sugars, biomass, and proteins, on mass transfer, bubble formation, bubble interactions, and flow regime transitions. These components all exhibit unique effects, yet their combined influences remain poorly understood. Future research should prioritize identifying the concentration at which coalescence inhibition occurs for different compounds, especially in mixtures, and exploring the role of proteins in bubble column hydrodynamics from micro- to macroscale.","Bioprocesses; Bioreactor scale-up; Bubble columns; Hydrodynamics","en","review","","","","","","","","","","","BT/Bioprocess Engineering","","",""
"uuid:06377eef-1dda-41aa-9bbe-d17013577cc1","http://resolver.tudelft.nl/uuid:06377eef-1dda-41aa-9bbe-d17013577cc1","Integrated design optimization method for novel vapour-compression-cycle-based environmental control systems","Ascione, F. (TU Delft Flight Performance and Propulsion); Colonna, Piero (TU Delft Flight Performance and Propulsion); de Servi, C.M. (TU Delft Flight Performance and Propulsion; Flemish Institute for Technological Research)","","2024","The aircraft Environmental Control System (ECS) is the primary consumer of non-propulsive power at cruise conditions, hence, its performance optimization is crucial for the reduction of specific fuel consumption. A novel integrated system design optimization method is presented: thermodynamic cycle, component sizing and working fluid are taken into account simultaneously. This method was applied to the ECS of large rotorcraft based on a Vapour Compression Cycle system electrically driven by a high-speed centrifugal compressor. Steady-state and lumped parameter system component models have been developed using the Modelica acausal modelling language. The optimization design framework consists of an in-house code, featuring a Python-Modelica interface. The study case refers to a critical operating condition: the helicopter is on the ground during a hot and humid day. The working fluid is R-134a. The multi-objective optimization targets the maximization of the system efficiency and the minimization of system weight. The results show that more efficient systems can be designed only with heavier components. The design feasibility of high-speed centrifugal compressors is demonstrated. The advantage of an integrated system design optimization framework for complex energy systems is proved, allowing for the analysis of the impact of both component design and working fluid on system performance.","Environmental control system; Helicopter/aircraft auxiliary systems; High-speed centrifugal compressor; Integrated design optimization; More electric aircraft/rotorcraft; Vapour compression cycle","en","journal article","","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:33b5a5a9-4302-48f9-b804-a6f5a365af77","http://resolver.tudelft.nl/uuid:33b5a5a9-4302-48f9-b804-a6f5a365af77","The Effect of Psychological Scarcity on Health Decisions of Rural Residents in China: Preliminary Results","Zhu, H. (TU Delft Applied Ergonomics and Design; Loughborough University); Liu, E. (Southwest Forestry University); You, Fangzhou (Loughborough University); de Bont, Cees (Loughborough University); Gruber, Thorsten (Loughborough University); Dong, Hua (Brunel University); Melles, M. (TU Delft Applied Ergonomics and Design)","Melles, Marijke (editor)","2024","Economic studies have shown that living in poverty may produce a subjective feeling of scarcity, which affects people's cognitive functions and decision-making. Understanding this mechanism could inform healthcare designers on designing inclusive health interventions by considering the psychological scarcity and limited cognitive resources of impoverished individuals. We conducted a psychological experiment to test the impact of psychological scarcity on cognitive function and health decisions of rural residents in China. We randomly assign participants to two financial scenarios (hard vs. easy) with the technique of priming to induce their immediate financial worries. Then we measure cognitive function using Raven’s Progressive Matrices and uncover their decision-making priorities with a budget allocation task. 301 participants finished the study and 264 were included in the main analysis. The results show that both immediate financial worries and cumulative poverty have negative effects on participants’ cognitive performance. Responses to scarcity could lead to attentional focus on limited resources, thereby neglecting long-term health consequences, particularly for the lower income group. Based on the findings, we suggest a number of human factors design considerations that are critical to successful healthcare design.","Cognitive function; Health decision-making; Health intervention; Psychological scarcity","en","book chapter","Springer Nature","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-05","","","Applied Ergonomics and Design","","",""
"uuid:752ccb09-e90a-4ffe-a9ac-f94905118023","http://resolver.tudelft.nl/uuid:752ccb09-e90a-4ffe-a9ac-f94905118023","Machine learning in process systems engineering: Challenges and opportunities","Daoutidis, Prodromos (University of Minnesota Twin Cities); Lee, Jay H. (University of Southern California); Rangarajan, Srinivas (Lehigh University); Chiang, Leo (The Dow Chemical Company); Gopaluni, Bhushan (University of British Columbia); Schweidtmann, A.M. (TU Delft ChemE/Product and Process Engineering); Harjunkoski, Iiro (Aalto University); Mercangöz, Mehmet (Imperial College London); Mesbah, Ali (University of California)","","2024","This “white paper” is a concise perspective of the potential of machine learning in the process systems engineering (PSE) domain, based on a session during FIPSE 5, held in Crete, Greece, June 27–29, 2022. The session included two invited talks and three short contributed presentations followed by extensive discussions. This paper does not intend to provide a comprehensive review on the subject or a detailed exposition of the discussions; instead its aim is to distill the main points of the discussions and talks, and in doing so, highlight open problems and directions for future research. The general conclusion from the session was that machine learning can have a transformational impact on the PSE domain enabling new discoveries and innovations, but research is needed to develop domain-specific techniques for problems in molecular/material design, data analytics, optimization, and control.","Control; Machine learning; Modeling; Molecule discovery; Optimization; Process monitoring","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-22","","","ChemE/Product and Process Engineering","","",""
"uuid:882cb88b-5341-45df-ab4f-a8a19fc399f2","http://resolver.tudelft.nl/uuid:882cb88b-5341-45df-ab4f-a8a19fc399f2","Data-driven product-process optimization of N-isopropylacrylamide microgel flow-synthesis","Kaven, Luise F. (Rheinisch-Westfälische Technische Hochschule); Schweidtmann, A.M. (TU Delft ChemE/Product and Process Engineering); Keil, Jan (Rheinisch-Westfälische Technische Hochschule); Israel, Jana (Rheinisch-Westfälische Technische Hochschule); Wolter, Nadja (DWI-Leibniz Institute for Interactive Materials; Rheinisch-Westfälische Technische Hochschule); Mitsos, Alexander (Rheinisch-Westfälische Technische Hochschule)","","2024","Microgels are cross-linked, colloidal polymer networks with great potential for stimuli-response release in drug-delivery applications, as their small size allows them to pass human cell boundaries. For applications with specified requirements regarding size, producing tailored microgels in a continuous flow reactor is advantageous because the microgel properties can be controlled tightly. However, no fully-specified mechanistic models are available for continuous microgel synthesis, as the physical properties of the included components are only studied partly. To address this gap and accelerate tailor-made microgel development, we propose a data-driven optimization in a hardware-in-the-loop approach to efficiently synthesize microgels with defined sizes. We optimize the synthesis regarding conflicting objectives (maximum production efficiency, minimum energy consumption, and the desired microgel radius) by applying Bayesian optimization via the solver “Thompson sampling efficient multi-objective optimization” (TS-EMO). We validate the optimization using the deterministic global solver “McCormick-based Algorithm for mixed-integer Nonlinear Global Optimization” (MAiNGO) and verify three computed Pareto optimal solutions via experiments. The proposed framework can be applied to other desired microgel properties and reactor setups and has the potential of efficient development by minimizing number of experiments and modeling effort needed.","Bayesian optimization; Flow-chemistry; Microgel synthesis; Product-process optimization","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-01","","","ChemE/Product and Process Engineering","","",""
"uuid:8509576d-038d-475b-a89d-f913de6ec826","http://resolver.tudelft.nl/uuid:8509576d-038d-475b-a89d-f913de6ec826","Investigating the influence of pigmentation on the electrolyte transport properties of organic coatings using ORP-EIS","Madelat, Negin (Vrije Universiteit Brussel); Wouters, Benny (Vrije Universiteit Brussel); Visser, Peter (Akzo Nobel); Jiryaeisharahi, Zahra (Vrije Universiteit Brussel); Marcoen, Kristof (Vrije Universiteit Brussel); Abrahami, S.T. (TU Delft Team Shoshan Abrahami); Hubin, Annick (Vrije Universiteit Brussel); Terryn, H.A. (Vrije Universiteit Brussel); Hauffman, Tom (Vrije Universiteit Brussel)","","2024","In this work, the correlation between electrolyte transport properties and the variation of pigment volume concentration (PVC) in a series of organic coatings is explored. Using an odd random phase electrochemical impedance spectroscopy (ORP-EIS) approach, the diffusion of ions independent from water take-up is analysed. A higher PVC resulted in a more homogeneous coating morphology, which could be associated with a faster diffusion of ions following a Fickian regime and enhanced water uptake. In the case of lower pigment loading, the obtained heterogenous morphology of the coating introduced new challenges to the physical interpretation of the proposed electrochemical equivalent circuit.","GDOES; Ion diffusion; Organic coating; ORP-EIS; Water uptake","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-22","","","Team Shoshan Abrahami","","",""
"uuid:2d672146-33ea-4b66-b9a4-c75ae9090267","http://resolver.tudelft.nl/uuid:2d672146-33ea-4b66-b9a4-c75ae9090267","On the use of filament-based free wake panel methods for preliminary design of propeller-wing configurations","Pinto Ribeiro, A. (TU Delft Wind Energy); Ferreira, Carlos (TU Delft Wind Energy); Casalino, D. (TU Delft Wind Energy)","","2024","With distributed propulsion and electric vertical take-off and landing aircraft on the rise, fast and accurate methods to simulate propeller slipstreams and their interaction with aircraft components are needed. In this work, we compare results obtained with a filament-based free wake panel method to experimental and previously validated numerical data. In particular, we study a propeller-wing configuration at zero angle of attack and the aerodynamics of the blade-resolved slipstream interaction with the wing. We use a prescribed wake on the wing and a free wake on the propeller, which greatly accelerate the computations. Results indicate that, while forces are overpredicted due to the inviscid nature of the panel method, the free wake is able to capture the slipstream deformation and shearing with remarkable success. We find that a filament-based free wake panel method can be a useful tool for propeller-wing interaction in preliminary aircraft design.","Aerodynamics; eVTOL; Slipstream deformation; UAV; Wake interactions","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:0effe8fb-f5c3-4aeb-b4cf-baf7bfad2ec2","http://resolver.tudelft.nl/uuid:0effe8fb-f5c3-4aeb-b4cf-baf7bfad2ec2","A proposal for similitude in characterizing fatigue delamination behavior with fibre bridging of carbon-fibre reinforced polymer composites","Yao, Liaojun (Harbin Institute of Technology; Aircraft Strength Research Institute); Chuai, Mingyue (Harbin Institute of Technology); Lyu, Zhangming (Harbin Institute of Technology); Chen, Xiangming (Aircraft Strength Research Institute); Guo, Licheng (Harbin Institute of Technology); Alderliesten, R.C. (TU Delft Structural Integrity & Composites)","","2024","Methods based on fracture mechanics have been widely used in fatigue delamination growth (FDG) characterization of composite laminates. These methods are based on the similitude hypothesis. It is therefore important to have appropriate parameters to well represent the similitude, which is useful for fatigue delamination test standard development aimed by Technical Committee 4 of the European Structural Integrity Society (ESIS TC4) and the ISO/TC61/SC13. In the present study, discussions on similitude parameters for fibre-bridged fatigue delamination interpretation have been conducted via fatigue data with fibre bridging at different R-ratios. The results clearly demonstrate that the strain energy release rate (SERR) indeed applied around the crack front, rather than the total applied SERR, should be employed to represent the similitude for FDG interpretation with large-scale fibre bridging. Particularly, the use of Δ√Gtip can well determine fibre-bridged delamination behavior of a given R-ratio, but it is not valid for FDG at different R-ratios in accordance with the similitude principles. A new similitude parameter, in terms of both Δ√Gtip and the maximum SERR Gmax_tip, was therefore proposed to appropriately represent FDG behavior with fibre bridging at different R-ratios. This study can not only provide database, but also give important insights for the development of mode I fatigue delamination test standard of composite laminates.","Composite laminates; Fatigue delamination; Fibre bridging; Similitude; Stress ratio","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-03","","","Structural Integrity & Composites","","",""
"uuid:640c0f3e-60c4-4736-ae08-352bef953637","http://resolver.tudelft.nl/uuid:640c0f3e-60c4-4736-ae08-352bef953637","On the post-impact fatigue behavior and theoretical life prediction of CF/PEEK-titanium hybrid laminates using an energy dissipation approach","Ji, C. (TU Delft Structural Integrity & Composites; Harbin Institute of Technology); Hu, Jiqiang (Harbin Institute of Technology); Alderliesten, R.C. (TU Delft Structural Integrity & Composites); Yang, Jinchuan (Harbin Institute of Technology); Zhou, Zhengong (Harbin Institute of Technology); Sun, Yuguo (Harbin Institute of Technology); Wang, Bing (Harbin Institute of Technology)","","2024","This paper aims to illustrate the effect of the impact damage on fatigue behavior of CF/PEEK-titanium hybrid laminates. To achieve this end, a fatigue life model was proposed to predict the S–N curves of the laminates at various initial impact energy levels and stress ratios based on the energy dissipation approach. The energy dissipation behavior of the laminates during fatigue loading under different experimental conditions was analyzed through a large amount of post-impact fatigue tests, and the correlation between the initial impact damage and the total fatigue dissipation energy was determined. The full-field axial strain distribution of the titanium layer on the impacted side of the laminate was characterized in terms of initial impact energy level and maximum stress using digital image correlation, and then the post-impact fatigue failure mechanism of CF/PEEK-Ti hybrid laminates was summarized. Finally, the validity of the proposed model was verified by fatigue tests under other conditions of stress ratio and impact energy level. It is worth mentioning that the proposed model is also applicable to other types of FMLs, and can accurately predict the residual fatigue life of laminates after impact with only one set of S–N curve data.","Energy dissipation approach; Fiber metal laminates; Life prediction; Post-impact fatigue behavior","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-03","","","Structural Integrity & Composites","","",""
"uuid:b58a1cfb-28b0-4faa-8906-43325f202bdf","http://resolver.tudelft.nl/uuid:b58a1cfb-28b0-4faa-8906-43325f202bdf","Molecular dynamics simulations on mechanical behaviors of sintered nanocopper in power electronics packaging","Luo, Runding (Fudan University); Hu, D. (TU Delft Electronic Components, Technology and Materials); Qian, Cheng (Fudan University); Liu, Xu (Fudan University); Fan, Xuejun (Lamar University College of Engineering); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Fudan University); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University)","","2024","Nano-metal materials have received considerable attention because of their promising performance in wide bandgap semiconductor packaging. In this study, molecular dynamics (MD) simulation was performed to simulate the nano-Cu sintering mechanism and the subsequent mechanical behaviors. Hybrid sintering, comprising nanosphere (NS) and nanoflake (NF), was performed at temperatures from 500 to 650 K. Furthermore, shear and tensile simulations were conducted with constant strain rates on the sintered structure at multiple temperatures. Subsequently, the extracted mechanical properties were correlated with the sintering behavior. The results revealed that the mechanical properties of the nano-Cu sintered structure could be improved by tuning material composition and increasing the sintering temperature. We established a relationship between the sintered microstructure and mechanical response. The shear modulus and shear strength of the sintered structure with NF particles increased to 41.20 and 3.51 GPa respectively. Furthermore, the elastic modulus increased to 55.60, and the tensile strength increased to 4.88 GPa. This result provides insights into the preparation phase of nano-Cu paste for sintering technology.","Molecular dynamics (MD) simulation; Nano-Cu sintering; Nanoflake; Shear simulation; Tensile simulation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-03","","","Electronic Components, Technology and Materials","","",""
"uuid:50d362da-1302-45a9-9bde-ce8ae5e71677","http://resolver.tudelft.nl/uuid:50d362da-1302-45a9-9bde-ce8ae5e71677","Enhancing real-time nonintrusive occupancy estimation in buildings via knowledge fusion network","Lu, C.J. (TU Delft Environmental & Climate Design; Guangdong University of Technology)","","2024","Real-time nonintrusive occupancy estimation can maximize the use of existing sensors to infer occupant information in buildings with the advantages of fewer privacy concerns and fewer extra device costs. Recently, many deep learning architectures have proven effective in estimating occupancy directly from raw sensor data. However, some handcrafted features manually extracted from statistical and temporal domains might convey additional information for occupancy estimation. In this study, a novel knowledge fusion network for nonintrusive occupancy estimation is proposed to integrate knowledge from two streams, i.e. automatic knowledge stream from a deep learning architecture and handcrafted knowledge stream from manual feature engineering. Moreover, four different fusion modules are investigated to optimize the design of the fusion network. To verify the effectiveness of the proposed network, experiments are conducted in a dataset from the ASHRAE Global Occupant Behavior Database, which is collected from an office space with records of indoor environment parameters, occupant-building interactions, and contextual information. The results demonstrate the superiority of the proposed fusion network, which outperforms five representative algorithms. Furthermore, the ablation study underscores the benefits of knowledge fusion and occupant-building interaction information, showing that the proposed fusion network can enhance the occupancy estimation accuracy by 3.47 % to 9.24 %.","Deep learning; Network fusion; Nonintrusive sensing; Occupancy estimation; Smart buildings","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:0af8a03d-a9ab-4a6c-96e7-33a8e982bd1f","http://resolver.tudelft.nl/uuid:0af8a03d-a9ab-4a6c-96e7-33a8e982bd1f","A metamaterial-based interface for the structural resonance shielding of impact-driven offshore monopiles","Azevedo Vasconcelos, A.C. (TU Delft Transport Engineering and Logistics); Valiya Valappil, S. (TU Delft Computational Design and Mechanics); Schott, D.L. (TU Delft Transport Engineering and Logistics); Jovanova, J. (TU Delft Transport Engineering and Logistics); Aragon, A.M. (TU Delft Computational Design and Mechanics)","","2024","Underwater noise resulting from the monopile driving process can cause severe damage to marine wildlife, such as hearing injury, behavioral disturbance, or even death. Although current noise-attenuation techniques used in this process have shown a significant noise reduction at high frequency ranges, mitigating low-frequency noise is still extremely challenging. To address the problem, here we propose an elastic metamaterial-based structure composed of single-phase resonant structures. The proposed structure, which we call a meta-interface, is introduced between the monopile and the hammer and is used to remove energy from the input signal associated with high noise levels. To that end, we first identify the frequency ranges associated with high sound pressure levels, which were shown to be related to the monopile's eigenmodes. Then we design the meta-interface's periodic unit cells so that the elastic/acoustic waves at identified frequency ranges are attenuated. A meta-interface is then realized by replicating the unit cell along the monopile wall (matching the thickness) to form a ring-shaped layer, and then by stacking up these concentric layers. A frequency analysis of the pile driving system with the meta-interface shows that the new noise levels attain a significant attenuation in frequency ranges lower than 1000Hz. This demonstrates a novel solution for the low-frequency underwater noise issue during the hammering of offshore monopiles.","Acoustic/elastic metamaterials; Noise attenuation; Resonance shielding; Single-phase unit cell","en","journal article","","","","","","","","","","","Transport Engineering and Logistics","","",""
"uuid:21aaa189-e80d-42d9-b332-28ba3e4236af","http://resolver.tudelft.nl/uuid:21aaa189-e80d-42d9-b332-28ba3e4236af","Microstructural stability of secondary phases in an ODS ferritic steel after thermal aging at 873 K","Oñoro, M. (Carlos III University of Madrid); de Castro, V. (Carlos III University of Madrid); Leguey, T. (Carlos III University of Madrid); Pöpperlová, J. (Rheinisch-Westfälische Technische Hochschule); Huizenga, R.M. (TU Delft Team Amarante Bottger); Auger, M. A. (Carlos III University of Madrid)","","2024","An oxide dispersion strengthened (ODS) steel with nominal composition Fe–14Cr–2W–0.4Ti–0.3Y2O3 (wt%) has been manufactured by mechanical alloying of pre-alloyed powders with nanosized Y2O3, compacted by hot isostatic pressing and hot cross rolled. In order to evaluate the long-term thermal resistance of the alloy, it has been subjected to 2000 h of thermal aging at 873 K, which is a relevant temperature for nuclear reactor applications. A thermodynamic equilibrium simulation indicates the precipitation of Laves phase under the aging parameters used. This prediction is confirmed from the detailed multi-technique characterization performed. Before aging, Ti-rich oxides, Cr-W-rich precipitates (M23C6 type) and a homogeneous Y-rich nanoprecipitate dispersion are observed. After aging, some W-rich precipitates are identified as Laves phase, while M23C6 carbides, Ti-rich oxides and Y-rich nanoprecipitates remain stable. Mechanical characterization performed in a previous research showed higher hardness, a loss of total elongation and a slight shift of the ductile-to-brittle transition temperature (DBTT) towards a higher value after aging, with similar strength values before and after the long-term thermal treatment. These changes can be due to the redistribution of precipitates together with the Laves phase formation. The mechanical properties not being dramatically affected seem to be due to the observed stability of Y-rich nanoprecipitates distribution.","Aging; APT; Laves phase; Nanoparticles; ODS steel; TEM","en","journal article","","","","","","","","","","","Team Amarante Bottger","","",""
"uuid:0b60e20e-0a0a-476f-8e36-d09db39a28bd","http://resolver.tudelft.nl/uuid:0b60e20e-0a0a-476f-8e36-d09db39a28bd","Microscopic insights into poly- and mono-crystalline methane hydrate dissociation in Na-montmorillonite pores at static and dynamic fluid conditions","Fang, B. (China University of Geosciences, Wuhan); Lü, Tao (China University of Geosciences, Wuhan); Li, Wei (China University of Geosciences, Wuhan); Moultos, O. (TU Delft Engineering Thermodynamics); Vlugt, T.J.H. (TU Delft Engineering Thermodynamics); Ning, Fulong (China University of Geosciences, Wuhan)","","2024","Knowledge on the kinetics of gas hydrate dissociation in clay pores at static and dynamic fluid conditions is a fundamental scientific issue for improving gas production efficiency from hydrate deposits using thermal stimulation and depressurization respectively. Here, molecular dynamics simulations were used to investigate poly- and mono-crystalline methane hydrates in Na-montmorillonite clay nanopores. Simulation results show that hydrate dissociation is highly sensitive to temperature and pressure gradients, but their effects differ. Temperature changes increase thermal instability of water and gas molecules, leading to layer-by-layer dissociation from the outer surface. Under flow conditions, laminar flow predominates in nano-pores, and non-Darcy flow occurs due to clay-fluid interactions. Viscous flow disrupts hydrogen bonding at the hydrate surface, enhancing kinetic instability of water. Grain boundaries of polycrystalline hydrates are less stable compared to bulk phases and preferentially decompose, forming new dissociation fronts. This accelerates dissociation compared to monocrystalline hydrates. Fracture occurs at the grain boundaries of polycrystalline hydrate in the fluid, resulting in separate hydrate crystal grains. This fracture process further accelerates hydrate dissociation. In flow systems, methane nanobubbles form in fluid and readily transport with fluid flow. Unlike surface nanobubbles at static conditions, these liquid nanobubbles exhibit mobility. The findings of this study can contribute to a better understanding of the complex phase transition behavior of hydrate in confined environment, and provide theoretical support for improving production control technology.","Dissociation behaviors; Molecular simulation; Na-montmorillonite pore; Poly- and mono-crystalline hydrates; Static and dynamic fluid conditions","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-27","","","Engineering Thermodynamics","","",""
"uuid:803e316f-61ff-4ae7-9cf7-7cad5bb369aa","http://resolver.tudelft.nl/uuid:803e316f-61ff-4ae7-9cf7-7cad5bb369aa","Practical application of UVOX Redox® for pharmaceutical removal from liquid digestate in two biogas plants","Moradi, N. (TU Delft BT/Environmental Biotechnology; IHE Delft Institute for Water Education); Lopez Vazquez, C.M. (TU Delft BT/Environmental Biotechnology; IHE Delft Institute for Water Education); Hernandez, Hector Garcia (IHE Delft Institute for Water Education); Proskynitopoulou, Vera (Centre for Research and Technology-Hellas); Vouros, Anastasios (Centre for Research and Technology-Hellas); Garagounis, Ioannis (Centre for Research and Technology-Hellas); Lorentzou, Souzana (Centre for Research and Technology-Hellas); Brdjanovic, Damir (TU Delft BT/Environmental Biotechnology; IHE Delft Institute for Water Education); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology)","","2024","In recent decades, there has been a growing focus on the management and valorization of digestate, primarily driven by its nutrient-rich composition, which positions it as a promising resource for biofertilizer production. However, several countries still restrict the direct application of digestate due to its potential environmental hazard, which includes the presence of contaminants of emerging concern (CECs) such as pharmaceuticals. This paper explores the efficacy of a novel UV/ozone-based technology, UVOX Redox®, in removing prevalent pharmaceuticals, including antibiotics and non-steroidal anti-inflammatory drugs (NSAIDs), from the digestate of two biogas plants. In both cases, UVOX showed to be a feasible solution for pharmaceutical removal from digestate. Addition of hydrogen peroxide further increased the process efficiency, achieving > 90% removal of all compounds within an hour. The energy per order (EEO) value for all the studied pharmaceuticals was less than the reported median EEO for O3 and UV treatment, showcasing notable energy efficiency in UVOX technology. Moreover, the research highlights that the presence of ions augments the removal efficiency when applying the UVOX technology. In addition, the research results revealed a significant correlation between the effectiveness of the UVOX technology and UV transmittance, with R² exceeding 90% for pharmaceuticals and 75% for Dissolved Organic Carbon (DOC). This finding suggests that UV transmittance can serve as a viable surrogate method for implementing this advanced oxidation process in practical applications.","Liquid digestate; Pharmaceuticals; Surrogate method; UVOX Redox®","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:c7ecc30c-ae74-427f-a26d-628a78fc092c","http://resolver.tudelft.nl/uuid:c7ecc30c-ae74-427f-a26d-628a78fc092c","Orienting undirected phylogenetic networks","Huber, Katharina T. (University of East Anglia); van Iersel, L.J.J. (TU Delft Discrete Mathematics and Optimization); Janssen, R. (TU Delft Discrete Mathematics and Optimization); Jones, M.E.L. (TU Delft Discrete Mathematics and Optimization); Moulton, V.L. (TU Delft Discrete Mathematics and Optimization; University of East Anglia); Murakami, Yukihiro (TU Delft Discrete Mathematics and Optimization); Semple, Charles (University of Canterbury)","","2024","This paper studies the relationship between undirected (unrooted) and directed (rooted) phylogenetic networks. We describe a polynomial-time algorithm for deciding whether an undirected nonbinary phylogenetic network, given the locations of the root and reticulation vertices, can be oriented as a directed nonbinary phylogenetic network. Moreover, we characterize when this is possible and show that, in such instances, the resulting directed nonbinary phylogenetic network is unique. In addition, without being given the location of the root and the reticulation vertices, we describe an algorithm for deciding whether an undirected binary phylogenetic network N can be oriented as a directed binary phylogenetic network of a certain class. The algorithm is fixed-parameter tractable (FPT) when the parameter is the level of N and is applicable to classes of directed phylogenetic networks that satisfy certain conditions. As an example, we show that the well-studied class of binary tree-child networks satisfies these conditions.","Characterization; Computational biology; Fixed-parameter tractability; Graph algorithm; Graph orientation; Phylogenetic network; Phylogenetics; Polynomial-time algorithm","en","journal article","","","","","","","","","","","Discrete Mathematics and Optimization","","",""
"uuid:a23a5214-0c2a-4672-85ac-755681604b5d","http://resolver.tudelft.nl/uuid:a23a5214-0c2a-4672-85ac-755681604b5d","A Bayesian defect-based physics-guided neural network model for probabilistic fatigue endurance limit evaluation","Tognan, A. (Università degli Studi di Udine); Patanè, Andrea (Trinity College Dublin); Laurenti, L. (TU Delft Team Luca Laurenti); Salvati, Enrico (Università degli Studi di Udine)","","2024","Accurate fatigue assessment of material plagued by defects is of utmost importance to guarantee safety and service continuity in engineering components. This study shows how state-of-the-art semi-empirical models can be endowed with additional defect descriptors to probabilistically predict the occurrence of fatigue failures by exploiting advanced Bayesian Physics-guided Neural Network (B-PGNN) approaches. A B-PGNN is thereby developed to predict the fatigue failure probability of a sample containing defects, referred to a given fatigue endurance limit. In this framework, a robustly calibrated El Haddad's curve is exploited as the prior physics reinforcement of the probabilistic model, i.e., prior knowledge. Following, a likelihood function is built and the B-PGNN is trained via Bayesian Inference, thus calculating the posterior of the parameters. The arbitrariness of the choice of the related architecture is circumvented through a Bayesian model selection strategy. A case-study is analysed to prove the robustness of the proposed approach. This methodology proposes an advanced practical approach to help support the probabilistic design against fatigue failure.","Additive manufacturing; Bayesian Physics-guided Neural Networks; Defects; Fatigue strength; Uncertainty quantification","en","journal article","","","","","","","","","","","Team Luca Laurenti","","",""
"uuid:89f6c689-7b58-4fbb-ae6a-e48be3722d19","http://resolver.tudelft.nl/uuid:89f6c689-7b58-4fbb-ae6a-e48be3722d19","Finlet rails for the reduction of the trailing-edge noise","Fiscaletti, D. (TU Delft Wind Energy); Lima Pereira, L.T. (TU Delft Wind Energy); Ragni, D. (TU Delft Wind Energy)","","2024","The present study focuses on the application of finlet rails as a passive technique of flow control to mitigate trailing-edge noise. Finlet rails are small cylinders whose axes are aligned along the streamwise direction, transversally positioned with respect to the trailing edge. In the first part of this study, the effects of finlet geometry on the aeroacoustic emission of a NACA 633−018 airfoil are investigated using an array of microphones. It is observed that reducing the transversal spacing of finlet rails leads to increasing the maximum noise reduction, found to be of 4 decibels at relatively low frequencies. An optimum for the height of the finlets was determined, equivalent to 1.6δ∗, where δ∗ is the displacement thickness of the boundary layer. With the aim of unveiling the underlying physical mechanism for finlet rails, PIV at high spatial resolution is applied around the surface treatment. It is found that the turbulence energy is lifted-up and moved away from the scattering edge, which attenuates the wall-pressure fluctuations. The observed attenuation of the wall-pressure fluctuations occurs at the energy-containing scales, which is an important difference with finlet fences. In the region underneath the finlet rails, the transversal size of the energetic structures diminishes when the surface treatment is applied. The combination of the lift-up of the turbulence structures, that reduces the wall-pressure fluctuations, with the smaller turbulence scales is responsible for the noise reduction observed for finlet rails.","Finlet rails; Particle image velocimetry; Trailing-edge noise","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:cad1561d-a3fb-4ed7-8856-6693571ec656","http://resolver.tudelft.nl/uuid:cad1561d-a3fb-4ed7-8856-6693571ec656","Magnetic Field Prediction in Cubic Spoke-Type Permanent-Magnet Machine Considering Magnetic Saturation","Du, Yunlu (Southeast University); Huang, Yunkai (Southeast University); Guo, Baocheng (Nanjing Normal University); Djelloul-Khedda, Zakarya (Université Djilali Bounaama Khemis Miliana); Peng, Fei (Southeast University); Yao, Y. (TU Delft DC systems, Energy conversion & Storage; Southeast University); Dong, J. (TU Delft DC systems, Energy conversion & Storage)","","2024","In this article, a nonlinear semianalytical model (SAM) is presented to predict the magnetic field distribution (MFD) and electromagnetic performances (EPs) in the cubic spoke-type permanent magnet (PM) machine. To model the rectangular PMs, the rectangular PM is simplified as a combination of fan-shaped regions with different arc angles. Then, the MFD and EPs of the cubic spoke-type machines can be obtained by the harmonic modeling technique. Particularly, the saturation of the magnetic bridges is considered by the nonlinear iterative algorithm. The proposed nonlinear SAM is studied on a 12-slot/8-pole cubic PM prototype, and the nonlinear finite element model and experiment verify its correctness. The main contribution of this article is to present a general analytical modeling method for cubic spoke-type PM machines and consider the magnetic saturation of magnetic bridges.","Cubic spoke-type permanent magnet (PM) machine; harmonic modeling (HM); magnetic saturation; nonlinear","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-08","","","DC systems, Energy conversion & Storage","","",""
"uuid:accf47a3-38dd-4b1e-827e-b5ec20991b39","http://resolver.tudelft.nl/uuid:accf47a3-38dd-4b1e-827e-b5ec20991b39","An analytical framework for the best–worst method","Wu, W. (TU Delft Transport and Logistics; Anhui University; Southeast University); Liu, Xinwang (Southeast University); Zhou, Ligang (Anhui University); Qin, Jindong (Wuhan University of Technology); Rezaei, J. (TU Delft Transport and Logistics)","","2024","Since the development of the best–worst method (BWM) in 2015, it has become a popular research focus in multi-criteria decision-making. The original optimization problem of the BWM is a nonlinear min–max model that can lead to multiple optimal solutions, while the linear model of the BWM produces a unique solution. The two models need to be solved by optimization software packages. In addition, although the linear model of the BWM can obtain a unique solution, it produces different feasible regions than the nonlinear model of the BWM, and it changes the objective function. This study aims to solve the nonlinear model of the BWM mathematically to obtain the analytical forms of the optimal solutions. First, we transform the original nonlinear model of BWM into an equivalent optimization model driven by the optimally modified comparison vectors. The equivalent BWM provides a solid basis for computing the analytical solutions. Second, for not-fully consistent pairwise comparison systems, we strictly prove that there is only one unique optimal solution with three criteria, and there might be multiple optimal solutions with more than three criteria. We further develop the analytical forms of these unique and multiple optimal solutions and the optimal interval weights. Third, we develop a secondary objective function to select a unique solution for the BWM. The secondary objective function retains all the characteristics of the original nonlinear model of the BWM, and we find the unique solution analytically. Finally, some numerical examples are examined, and a comparative analysis is performed to demonstrate the effectiveness of our analytical solution approach.","Analytical solutions; Best–worst method (BWM); Multi-criteria decision-making; Multiple optimal solutions","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-25","","","Transport and Logistics","","",""
"uuid:8cb705cf-916b-4e20-81a8-4adc2f289574","http://resolver.tudelft.nl/uuid:8cb705cf-916b-4e20-81a8-4adc2f289574","Analysis of improved digital filter inflow generation methods for compressible turbulent boundary layers","Laguarda, L. (TU Delft Aerodynamics); Hickel, S. (TU Delft Aerodynamics)","","2024","We propose several enhancements to improve the accuracy and performance of the digital filter turbulent inflow generation technique and assess their efficacy in the context of wall-resolved large-eddy simulations of a compressible turbulent boundary layer. Improvements of accuracy include a more realistic correlation function for the transversal directions, target length scales that vary with wall-distance, and a counter-intuitive approach that involves the suppression of streamwise velocity fluctuations at the inflow. For improving the computational performance, we propose to generate the inflow data in parallel in single precision and at a prescribed time interval based on the turbulence time scale, and not at every time-step of the simulation. Based on the results of 7 wall-resolved large-eddy simulations, we find that the new correlation functions and the considered performance improvements are beneficial and therefore desired. Suppressing streamwise velocity fluctuations at the inflow leads to the fastest relaxation of the pressure fluctuations; however, this approach increases the adaptation length defined in terms of compliance with the von Kármán integral equation. The adaptation length can be shortened by artificially increasing the wall-normal Reynolds stresses, thereby preserving the desired turbulence kinetic energy level. A detailed inspection of the Reynolds stress transport budgets reveals that the observed spurious spatial transients are largely driven by pressure-related terms. For instance, increased values of u′p′¯ are found throughout the computational domain when a physical Reynolds stress distribution is prescribed at the inflow. Therefore, efforts to enhance digital filter techniques should aim at modeling pressure fluctuations as well as their correlation with the velocity components.","Digital filter; Turbulence resolving simulation; Turbulent inflow generation","en","journal article","","","","","","","","","","","Aerodynamics","","",""
"uuid:1a4a7772-1a36-4e67-9f9f-9df501715e62","http://resolver.tudelft.nl/uuid:1a4a7772-1a36-4e67-9f9f-9df501715e62","Post-buckling behavior and collapse of Double-Double composite single stringer specimens","Vescovini, A. (TU Delft Aerospace Structures & Computational Mechanics; Politecnico di Milano); Li, Carina Xiaochen (University of Southern California); Paz Mendez, J. (TU Delft Aerospace Structures & Computational Mechanics; Universidad Rey Juan Carlos); Jin, Bo Cheng (University of Southern California); Manes, Andrea (Politecnico di Milano); Bisagni, C. (TU Delft Aerospace Structures & Computational Mechanics; Politecnico di Milano)","","2024","This paper presents the work on six single-stringer specimens manufactured using the card-sliding technique with non-crimp fabrics and adopting a Double-Double (DD) stacking sequence. These specimens, representative of sub-structure level components, are used to investigate post-buckling and failure in aerospace structures. Two specimens maintain a constant thickness cross-section, while four are tapered, two of which incorporate a Teflon insert in the stringer flange. All specimens are tested under compression loading conditions, inducing skin buckling, skin-stringer separation, and eventual collapse. Numerical simulations are validated by experimental results and serve to analyze the specimens behavior and the failure mode. The load versus displacement curves of both experimental tests and Finite Element Method (FEM) analyses are compared, along with the out-of-plane displacement field. Subsequently, the observed failure modes are discussed, focusing on the various mechanisms that occurred and considering the impact of flanges and stiffener tapering. Both the FEM simulations and experimental tests demonstrate good agreement, with the flanges tapering revealing notable results. This offers promising evidence of a viable solution to optimize aeronautical structures and enhance resistance to skin-stringer separation.","Double-Double (DD); Experimental tests; Finite element analysis; Post-buckling","en","journal article","","","","","","","","","","","Aerospace Structures & Computational Mechanics","","",""
"uuid:a31094c4-6d91-4832-a6a5-394afe6ae3a4","http://resolver.tudelft.nl/uuid:a31094c4-6d91-4832-a6a5-394afe6ae3a4","Realizing quantum-safe information sharing: Implementation and adoption challenges and policy recommendations for quantum-safe transitions","Kong, I. (TU Delft Information and Communication Technology); Janssen, M.F.W.H.A. (TU Delft Engineering, Systems and Services); Bharosa, Nitesh (TU Delft Information and Communication Technology)","","2024","By utilizing the properties of quantum mechanics, quantum computers have the potential to factor a key pair of a large prime number and break some of the core cryptographic primitives that most information infrastructures depend on. This means that today's widely used cryptographic algorithms can soon become unsafe and need to be modified with quantum-safe (QS) cryptography. While much work is still needed in developing QS cryptographic algorithms, the institutional, organizational, and policy aspects of transitioning the current infrastructures have received less attention. This paper provides an empirical analysis of QS transition challenges and policy recommendations for moving to a QS situation. We analyzed the data collected through interviews with experts and practitioners from the Dutch government. The results reveal that institutional, organizational and policy aspects of QS transitions are interconnected, and solutions for QS transitions are scattered. Consequently, organizations may face a Catch-22 loop without further actionable approaches and planning for QS transitions.","Adoption; Digital government; Implementation; Information infrastructures; Information sharing; Policy recommendations; Post-quantum cryptography; Quantum-safe transition","en","journal article","","","","","","","","","","Engineering, Systems and Services","Information and Communication Technology","","",""
"uuid:f28cc468-2741-4e17-9c25-3117ee199407","http://resolver.tudelft.nl/uuid:f28cc468-2741-4e17-9c25-3117ee199407","Energy-conserving hyper-reduction and temporal localization for reduced order models of the incompressible Navier-Stokes equations","Klein, R.B. (TU Delft Fluid Mechanics; Centrum Wiskunde & Informatica (CWI)); Sanderse, B. (Centrum Wiskunde & Informatica (CWI))","","2024","A novel hyper-reduction method is proposed that conserves kinetic energy and momentum for reduced order models of the incompressible Navier-Stokes equations. The main advantage of conservation of kinetic energy is that it endows the hyper-reduced order model (hROM) with a nonlinear stability property. The new method poses the discrete empirical interpolation method (DEIM) as a minimization problem and subsequently imposes constraints to conserve kinetic energy. Two methods are proposed to improve the robustness of the new method against error accumulation: oversampling and Mahalanobis regularization. Mahalanobis regularization has the benefit of not requiring additional measurement points. Furthermore, a novel method is proposed to perform energy- and momentum-conserving temporal localization with the principle interval decomposition: new interface conditions are derived such that energy and momentum are conserved for a full time-integration instead of only during separate intervals. The performance of the new energy- and momentum-conserving hyper-reduction methods and the energy- and momentum-conserving temporal localization method is analysed using three convection-dominated test cases; a shear-layer roll-up, two-dimensional homogeneous isotropic turbulence and a time-periodic inviscid flow consisting of a vortex in a uniform background flow. Our main finding is that energy conservation in combination with oversampling or regularization leads to a robust method with excellent long time stability properties. When any of these two ingredients is missing, accuracy and/or stability is significantly impaired.","Discrete empirical interpolation method; Energy conservation; Incompressible Navier-Stokes equations; Mahalanobis regularization; Reduced order models; Temporal localization","en","journal article","","","","","","","","","","","Fluid Mechanics","","",""
"uuid:4c4e19f9-87a1-435d-81bd-9ab5e43bea05","http://resolver.tudelft.nl/uuid:4c4e19f9-87a1-435d-81bd-9ab5e43bea05","Impact of fast-solidification on all-d-metal NiCoMnTi based giant magnetocaloric Heusler compounds","Zhang, F. (TU Delft RST/Fundamental Aspects of Materials and Energy; City University of Hong Kong); Wu, Z. (TU Delft RST/Fundamental Aspects of Materials and Energy); Wang, Jianlin (Chinese Academy of Sciences); Wu, Zhenduo (City University of Hong Kong); Zhao, C. (TU Delft RST/Storage of Electrochemical Energy); Eijt, S.W.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); Schut, H. (TU Delft RST/Neutron and Positron Methods in Materials); van Dijk, N.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); Brück, E.H. (TU Delft RST/Fundamental Aspects of Materials and Energy)","","2024","Recently, the all-d-metal Ni(Co)MnTi based Heusler compounds are found to have a giant magnetocaloric effect (GMCE) near room temperature and manifest different functionalities like multicaloric effects, which can be employed for solid-state refrigeration. However, in comparison to other traditional Heusler compounds, the relatively large thermal hysteresis (ΔThys) and moderately steep ferromagnetic phase transition provides limitations for real applications. Here, we present that fast solidification (suction casting) can sufficiently tailor the GMCE performance by modifying the microstructure. Compared with the arc-melted sample, the magnetic entropy change of the suction-casted sample shows a 67% improvement from 18.4 to 29.4 Jkg−1K−1 for a field change (∆μ0H) of 5 T. As the thermal hysteresis has maintained a low ΔThys value (5.5 K) for the enhanced first-order phase transition, a very competitive reversible magnetic entropy change of 21.8 Jkg−1K−1 for ∆μ0H = 5 T is obtained. Combining high-resolution transmission electron microscopy (HRTEM) and positron annihilation spectroscopy (PAS) results, the difference in lattice defect concentration is found to be responsible for the significant improvement in GMCE for the suction-cast sample, which suggests that defect engineering can be applied to control the GMCE. Our study reveals that fast solidification can effectively regulate the magnetocaloric properties of all-d-metal NiCoMnTi Heusler compounds without sacrificing ΔThys.","All-d-metal Heusler compounds; Lattice defects; Magnetocaloric effect; Ni-Co-Mn-Ti","en","journal article","","","","","","","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:9b12e05f-859d-4233-ae02-9fe762741a1e","http://resolver.tudelft.nl/uuid:9b12e05f-859d-4233-ae02-9fe762741a1e","Giant magnetocaloric effect for (Mn, Fe, V)2(P, Si) alloys with low hysteresis","Lai, J. (TU Delft Electrical Engineering, Mathematics and Computer Science; South China Normal University); Huang, B. (TU Delft RST/Fundamental Aspects of Materials and Energy); You, X. (TU Delft RST/Fundamental Aspects of Materials and Energy); Maschek, M. (TU Delft RST/Fundamental Aspects of Materials and Energy); Zhou, Guofu (South China Normal University); van Dijk, N.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); Brück, E.H. (TU Delft RST/Fundamental Aspects of Materials and Energy)","","2024","The Fe2P type Mn–Fe–P–Si alloys exhibit a giant magneto-elastic first-order transition, but the large hysteresis limits their performance. Crystal structure evolution and magnetocaloric performance were investigated by varying the Mn and Fe contents at a constant V substitution of 0.02 in Fe2P-type (Mn1.17-xFe0.73-yV0.02) (P0.5Si0.5) (where x + y = 0.02). The V substitution of Fe content shows a larger reduction of hysteresis compared with the same substitution amount of Mn content. During magnetoelastic phase transition, V-substitution reduces the volume change and the volumetric stresses, providing a superior mechanical stability. Compound with the V substitution of Fe (y = 0.02) shows the best magnetocaloric effect with a low thermal hysteresis of 0.6 K. Our developed Mn1.17-xFe0.73-yV0.02P0.5Si0.5 alloys are excellent materials for room-temperature magnetic heat-pumping applications by using a permanent magnet.","(Mn,Fe)(P,Si) alloy; Adiabatic temperature change; Crystal structure evolution; Hysteresis; Isothermal entropy change; Magnetocaloric effect","en","journal article","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:cbab1401-ba29-49e0-8427-951ee475176b","http://resolver.tudelft.nl/uuid:cbab1401-ba29-49e0-8427-951ee475176b","Synthetic Biology to Engineer Bacteriophage Genomes","Martins Costa, A.R. (TU Delft BN/Stan Brouns Lab); Azeredo, Joana (University of Minho); Pires, Diana Priscila (University of Minho)","Azeredo, Joana (editor); Sillankorva, Sanna (editor)","2024","Recent advances in the synthetic biology field have enabled the development of new molecular biology techniques used to build specialized bacteriophages with new functionalities. Bacteriophages have been engineered toward a wide range of applications, including pathogen control and detection, targeted drug delivery, or even assembly of new materials. In this chapter, two strategies that have been successfully used to genetically engineer bacteriophage genomes will be addressed: the bacteriophage recombineering of electroporated DNA (BRED) and the yeast-based phage-engineering platform.","Bacteriophage; Bacteriophage engineering; BRED; YAC","en","book chapter","Humana Press Inc.","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-26","","","BN/Stan Brouns Lab","","",""
"uuid:c994b2be-c0c9-4a3c-8a95-866bcc154288","http://resolver.tudelft.nl/uuid:c994b2be-c0c9-4a3c-8a95-866bcc154288","“Feel free to ask”: Nudging to promote asking questions in the online classroom","Weijers, Robert J. (Erasmus Universiteit Rotterdam); de Koning, Björn B. (Erasmus Universiteit Rotterdam); Scholten, Ester (Expertisecentrum Beroepsonderwijs); Wong, L.Y.J. (TU Delft Statistics); Paas, Fred (Erasmus Universiteit Rotterdam; University of Wollongong)","","2024","Asking questions is vital for learning, yet students seldom exhibit this behavior. Given the increasing presence of online classrooms in tertiary education, it is much needed to examine ways to encourage students to ask questions and increase their engagement. Despite the critical role of asking questions to enhance learning, little is known in research on promoting this behavior. Therefore, in this paper, we empirically tested the effect of nudging – a novel approach in education consisting of subtle interventions to change behavior – in online classrooms in tertiary education on question asking behavior, student engagement, and grades. In Experiment 1 (n = 1011), the teacher's virtual background prompted questions (prompt nudge), while in Experiment 2 (n = 449), the teacher set a goal for the students to ask one question per session (goal-setting nudge). We found a trend towards a positive effect of the prompt nudge on questions, but not on grades. Exploratory analyses revealed this was driven by students who already asked many questions. We found no effect of the goal-setting nudge on any measure, nor any effect in either experiment on student engagement. The findings demonstrate that the prompt nudge can be a possible useful and easy to implement tool to encourage questions in the online classroom.","Asking questions; Class participation; Educational psychology; Nudging; Online education; Student engagement","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-03","","","Statistics","","",""
"uuid:fde53f25-fdda-41ac-ac08-46419f2d9852","http://resolver.tudelft.nl/uuid:fde53f25-fdda-41ac-ac08-46419f2d9852","Enhanced magnetic halloysite nanotubes for dye removal at different pH conditions","Nguyen, Ngoc Quang (Chemistry and Research Institute for Convergence of Basic Science); Jeong, Younhee (Chemistry and Research Institute for Convergence of Basic Science); Abelmann, L. (TU Delft Bio-Electronics; KIST-Europe); Ryu, Jungju (Chemistry and Research Institute for Convergence of Basic Science); Sohn, Daewon (Chemistry and Research Institute for Convergence of Basic Science)","","2024","Halloysite nanotubes (HNTs) have been extensively investigated for potential utilization due to their unique structure and properties as a type of natural, eco-friendly clay. The synthesis and modification of magnetic halloysite nanotubes was studied using several experimental techniques including SEM, TEM, FT-IR, Raman spectroscopy, UV-Vis spectroscopy, and BET. Dye absorption experiments were conducted to understand bonding using EDS, XPS, XRD, and Raman spectroscopy. In this study, we evaluated Sunset Yellow FCF (SY) dye removal as a model to understand bonding structures among magnetic HNTs, magnetic particles, and dye molecules. We focus on the interactions of SY-magnetic HNTs and characteristics of magnetization by VSM after SY dye adsorption, which highlight the notable features of magnetic halloysite nanotubes. We used different pH environments to study the behavior of magnetic HNTs after dye absorption. The application of these modified HNTs is promising for future organic dye removal and wastewater treatment.","Dye adsorption; Dye removal; Halloysite; Magnetic particle; Nanotube","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-01","","","Bio-Electronics","","",""
"uuid:411c2dd6-9bcb-4aba-80ce-be761bb9f25a","http://resolver.tudelft.nl/uuid:411c2dd6-9bcb-4aba-80ce-be761bb9f25a","Assessment of Reynolds number effects in supersonic turbulent boundary layers","Laguarda, L. (TU Delft Aerodynamics); Hickel, S. (TU Delft Aerodynamics); Schrijer, F.F.J. (TU Delft Aerodynamics); van Oudheusden, B.W. (TU Delft Aerodynamics)","","2024","Wall-resolved large-eddy simulations (LES) are performed to investigate Reynolds number effects in supersonic turbulent boundary layers (TBLs) at Mach 2.0. The resulting database covers more than a decade of friction Reynolds number Reτ, from 242 to 5554, which considerably extends the parameter range of current high-fidelity numerical studies. Reynolds number trends are identified on a variety of statistics for skin-friction, velocity and thermodynamic variables. The efficacy of recent scaling laws as well as compressibility effects are also assessed. In particular, we observe the breakdown of Morkovin's hypothesis for third-order velocity statistics, in agreement with previous observations for variable-property flows at low Mach number. Special attention is also placed on the size and topology of the turbulent structures populating the TBL, with an emphasis on the outer-layer motions at high Reynolds number. The corresponding streamwise spectra of streamwise velocity fluctuations show a clear separation between inner and outer scales, where energetic peaks are found at streamwise wavelengths of λx+≈700 and λx/δ0≈6. The spanwise spacing of the outer-layer structures, in turn, is found to be insensitive to the Reynolds number and equal to ∼0.7δ0. It is also found that the integral length-scales in spanwise direction for the temperature, streamwise and spanwise velocity fields appear to progressively collapse with increasing Reynolds number. The modulating influence that the outer-layer structures exert on the near-wall turbulence is also clearly visible in many of the metrics discussed. In addition, the present LES data is further exploited to assess the Reτ-sensitivity of uniform momentum regions in the flow. We find that the resulting probability density function of the number of zones as well as its evolution with Reτ agrees well with incompressible data. This suggests that uniform zones, which have been associated with outer-layer dynamics, are not strongly influenced by compressibility at the considered Mach number.","Compressible wall-bounded turbulence; Large-eddy simulation; Supersonic boundary layer","en","journal article","","","","","","","","","","","Aerodynamics","","",""
"uuid:f70d2cbf-a0f9-4e92-afed-4b157c642b3c","http://resolver.tudelft.nl/uuid:f70d2cbf-a0f9-4e92-afed-4b157c642b3c","Distributed multi-target tracking and active perception with mobile camera networks","Casao, S. (Universidad de Zaragoza); Serra Gomez, A. (TU Delft Learning & Autonomous Control); Murillo, Ana C. (Universidad de Zaragoza); Böhmer, J.W. (TU Delft Algorithmics); Alonso-Mora, J. (TU Delft Learning & Autonomous Control); Montijano, Eduardo (Universidad de Zaragoza)","","2024","Smart cameras are an essential component in surveillance and monitoring applications, and they have been typically deployed in networks of fixed camera locations. The addition of mobile cameras, mounted on robots, can overcome some of the limitations of static networks such as blind spots or back-lightning, allowing the system to gather the best information at each time by active positioning. This work presents a hybrid camera system, with static and mobile cameras, where all the cameras collaborate to observe people moving freely in the environment and efficiently visualize certain attributes from each person. Our solution combines a multi-camera distributed tracking system, to localize with precision all the people, with a control scheme that moves the mobile cameras to the best viewpoints for a specific classification task. The main contribution of this paper is a novel framework that exploits the synergies that result from the cooperation of the tracking and the control modules, obtaining a system closer to the real-world application and capable of high-level scene understanding. The static camera network provides global awareness of the control scheme to move the robots. In exchange, the mobile cameras onboard the robots provide enhanced information about the people on the scene. We perform a thorough analysis of the people monitoring application performance under different conditions thanks to the use of a photo-realistic simulation environment. Our experiments demonstrate the benefits of collaborative mobile cameras with respect to static or individual camera setups.","Collaborative and autonomous decision making; Multi-camera scene analysis","en","journal article","","","","","","","","","","","Learning & Autonomous Control","","",""
"uuid:740ae19e-044a-4bb1-80c8-0c3da244b184","http://resolver.tudelft.nl/uuid:740ae19e-044a-4bb1-80c8-0c3da244b184","Dynamic risk assessment of chemical process systems using the System-Theoretic accident model and process approach (STAMP) in combination with cascading failure propagation model (CFPM)","Sun, Hao (Anhui University of Technology); Wang, Haiqing (China University of Petroleum (East China)); Yang, M. (TU Delft Safety and Security Science; Universiti Teknologi Malaysia); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven)","","2024","To maintain continuous production, chemical plant operators may ignore faults or handle faults online rather than shutting down process systems. However, interaction and interdependence links between components in a digitalized process system are substantial. Thus, faults will be propagated to downstream nodes, potentially leading to risk accumulation and major accidents. However, limited attention has been paid to this type of risk. To model the risk accumulation process, a dynamic risk assessment method is proposed by integrating the system-theoretic accident model and process approach (STAMP) and the cascading failure propagation model (CFPM). Firstly, STAMP is used to model and analyze the system safety of a process system. Two CFPMs are then proposed to measure risk accumulation under two different engineering situations. The proposed method is applied to the Chevron Richmond refinery crude unit and its associated upstream process. The results show that the proposed approach can effectively quantify the process of risk accumulation. This method can generate a real-time dynamic risk profile to support auxiliary decision-making.","Cascading failure propagation model (CFPM); Fault propagation; Risk accumulation; STAMP","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:77a4b20a-6094-4093-a5a6-f946f93da5b9","http://resolver.tudelft.nl/uuid:77a4b20a-6094-4093-a5a6-f946f93da5b9","Buckling failure analysis of storage tanks under the synergistic effects of fire and wind loads","Chen, Chao (Southwest Petroleum University; China Academy of Safety Science and Technology); Chen, Hang (Southwest Petroleum University); Mo, Li (Southwest Petroleum University); Xiao, Shenbin (Southwest Petroleum University); Li, Changjun (Southwest Petroleum University); Yang, M. (TU Delft Safety and Security Science); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven)","","2024","Fire-induced domino effect is one of the main threats to hazardous material storage tanks, and many attempts have been conducted to assess the vulnerability of storage tanks exposed to fire to evaluate domino effect risk. However, past research ignored the influence of wind load on the thermal buckling behavior of storage tanks exposed to fire, which may underestimate the risk of exposed tanks. This paper thus conducts a numerical simulation of the thermal buckling behavior of steel vertical dome storage tanks under the synergistic effect of static wind loads and thermal effects. The effects of wind parameters and heat radiation parameters on the thermal post-buckling behavior and the time to failure (ttf) of storage tanks are investigated to analyze the synergistic effects of fire and wind loads. By comparing the circumferential and meridional stresses before and after the thermal post-buckling stage, it is found that under the disturbing effect of the positive wind pressure load, the thermal post-buckling of the tanks on downwind occurs earlier and more severe. Besides, the effects of wind angle, fire location height, and diameter on buckling damage were investigated. The comparative analysis of different scenarios shows that the tanks in the windy scenario are more prone to thermal post-buckling, and the deformation is intensified, with an increased likelihood of failure.","Domino effects; Numerical simulation; Storage tank; Thermal post-buckling; Wind load","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-04","","","Safety and Security Science","","",""
"uuid:690617c3-d24a-4212-81e5-39aab9dac733","http://resolver.tudelft.nl/uuid:690617c3-d24a-4212-81e5-39aab9dac733","Offshore floating PV–DC and AC yield analysis considering wave effects","Alcañiz Moya, A. (TU Delft Photovoltaic Materials and Devices); Monaco, N. (Student TU Delft); Isabella, O. (TU Delft Photovoltaic Materials and Devices); Ziar, H. (TU Delft Photovoltaic Materials and Devices)","","2024","The growing global energy demand increases the need for renewable energy sources. This increase requires land to be occupied, competing with other activities such as agriculture and residency. In such a situation, renewable energy sources expand to other environments like the ocean. However, this new scene poses some challenges, such as the effect of waves on photovoltaic (PV) performance. Consequently, this study aims to evaluate the power output of an Offshore Floating PV (OFPV) system located in the North Sea considering the effect of the waves. A 3D mechanical movement model, which has been validated with data from a real system, is developed for this purpose. A sensitivity analysis is conducted to determine how the size of fluctuations depends on the dimensions of the floater. The main outcome is that a heavy and wide floater aligned with the most common wind direction reduces angle variations. Results from DC power simulations show that sea fluctuations have a negative yet small influence on PV power production. Over the course of the year, these losses amount to just 0.1% of the annual energy yield. However, a hypothetical optimally-tilted PV system placed on water would still generate 14.6% more DC power output than the floating one. On the AC side, laboratory experiments show that these oscillations negatively affect the inverter efficiency during rough sea conditions by a decrease of over 2 percentage points compared to a still system.","Floating photovoltaics; Inverter efficiency; Offshore; Waves effect; Yield assessment","en","journal article","","","","","","","","","","","Photovoltaic Materials and Devices","","",""
"uuid:ffd10390-e207-4109-8745-f86224e7abff","http://resolver.tudelft.nl/uuid:ffd10390-e207-4109-8745-f86224e7abff","Design of the new structure and capabilities of LADM edition II including 3D aspects","Kara, A. (TU Delft Digital Technologies); Lemmen, Christiaan (University of Twente); van Oosterom, P.J.M. (TU Delft Digital Technologies); Kalogianni, E. (TU Delft Digital Technologies); Alattas, Abdullah (King Abdulaziz University); Indrajit, Agung (Ministry of National Development Planning/National Development Agency of the Republic of Indonesia)","","2024","The decision to refine the existing content and to extend the scope of Edition I of the ISO 19152:2012 Land Administration Domain Model (LADM) is a response to requests from the international Land Administration (LA) community. This response has to be formally organised in accordance with ISO guidelines. This begins with gathering feedback from ISO/TC 211 Member States on the need for updated and enhanced capabilities of the LADM. In addition, several proposals have been made to extend the scope of the LADM Edition I. After analysing the feedback received, it was proposed to develop the LADM Edition II as a multi-part standard: Part 1 — Generic conceptual model, Part 2 — Land registration, Part 3 — Marine georegulation, Part 4 — Valuation information, Part 5 — Spatial plan information and Part 6 — Implementation aspects. In other words, Edition I focuses on land tenure, while the design and development of Edition II is based on the inclusion of rights, restrictions and responsibilities (RRRs) concerning marine georegulation, valuation information, spatial plan information as well as LADM implementation. 3D representations are relevant for all parts.
This paper focuses on the design of the new structure of the second edition of the LADM and on the (operational) capabilities of this new edition in relation to the LA issues in Parts (standards addressing a specific part of the scope) and Packages (groups of conceptually close classes), with a particular attention to the requirements and design related decisions taken in the revision process. The parts 1, 2, 4 and 5 are the parts in which the authors are currently involved. Part 1 will be a high-level umbrella standard; Part 2 is largely based on LADM Edition I and focuses on land registration, with an enhanced support on the surveying functionality, including new subclasses of spatial unit, and extended 3D spatial profiles. Part 3 harmonises the description of RRRs and aligns land concepts with marine aspects from the marine domain based on the International Hydrographic Organisation (IHO) S121 Maritime Limits and Boundaries Product Specification. Part 4 deals with valuation information used and produced in the context of land administration, while Part 5 deals with spatial planning information and includes the planned use of the land (zoning), resulting in RRRs. Lastly, Part 6 is planned to be about implementation of the LADM and will be developed in close collaboration with the Open Geospatial Consortium (OGC).","3D representation; Cadastre; LADM; LADM edition II; LADM revision; Land administration; Land administration domain model; Land registry; Social tenure domain model; Standards; STDM","en","journal article","","","","","","","","","","","Digital Technologies","","",""
"uuid:25fd50b0-20cd-4f2d-b225-e657851b68b8","http://resolver.tudelft.nl/uuid:25fd50b0-20cd-4f2d-b225-e657851b68b8","Biocompatible optical physically unclonable function hydrogel microparticles for on-dose authentication","Zhang, M. (TU Delft Engineering Thermodynamics); Raghunath, Aparna; Zhao, A. (TU Delft Energy Technology); Eral, H.B. (TU Delft Complex Fluid Processing; Universiteit Utrecht)","","2024","On-dose authentication (ODA) enhances security by incorporating customized molecular or micro-tags into each pill, preventing counterfeit products in genuine packages. ODA's security relies on tag non-replication and non-reverse engineering. Combining ODA with graphical Physical Unclonable Functions (PUF) promises maximum security. PUF uses intrinsic micro or nanoscale randomness as a unique ‘fingerprint’. However, current graphical PUFs have limitations like specific illumination requirements and the use of toxic materials, restricting their use in pharmaceuticals. In this study, we propose a novel approach called on-dose PUF. This method involves embedding microspheres randomly within micro biocompatible hydrogel particles. We showcase two distinct types of such on-dose PUFs. The first type utilizes randomly distributed superparamagnetic colloids (SPC) of identical diameters, while the second type utilizes vortexed sunflower oil drops of various diameters. The diameter and coordinates of the microspheres serve as input for generating cryptographic keys. A universal circle identification and binning program is used for extracting this information. One advantage of this approach is that it enables imaging using white light illumination and low-magnification microscopy, as color and signal intensity information are not crucial. This method enables patients to verify their medication by using their mobile phones from home. To assess the performance of the proposed on-dose PUF, we conducted canonical investigations on the single-diameter system. This system can only generate one layer of cryptographic keys, making it potentially more vulnerable than the multiple-diameter system. However, the single-diameter system successfully passed NIST Statistical tests and exhibited sufficient randomness, ideal bit uniformity, Hamming distance, and device uniqueness. Furthermore, we found that the encoding capacity of the single-diameter system was 9.2×1018, providing ample labeling potential.","Anti-counterfeiting; Biocompatible; Colloid; Emulsion; Hydrogel; On-dose authentication; Optical PUF; Physical unclonable function","en","journal article","","","","","","","","","","","Engineering Thermodynamics","","",""
"uuid:f86b4e03-54f0-46d0-94e8-a1cf834df8f8","http://resolver.tudelft.nl/uuid:f86b4e03-54f0-46d0-94e8-a1cf834df8f8","An integrated approach to decision-making variables on urban water systems using an urban water use (UWU) decision-support tool","Hoepers, Taiane Regina (Federal University of Paraná; IHE Delft Institute for Water Education); Leithold, Juliana (Federal University of Paraná); Monteiro, Michel Marques (Federal University of Paraná); Corzo Perez, Gerald A. (IHE Delft Institute for Water Education); Fernandes, Cristovão V. Scapulatempo (Federal University of Paraná); Zevenbergen, C. (TU Delft Urban Design; IHE Delft Institute for Water Education); Santos, Daniel Costa dos (Federal University of Paraná)","","2024","In response to pressing global challenges like climate change, rapid population growth, and an urgent need for sustainable infrastructure, cities face an immediate and crucial necessity to transition swiftly toward an integrated approach to managing urban water resources. This shift is not merely an option but an imperative, driven by the rapidly evolving urban landscape. In addressing this imperative, a crucial decision support tool that has emerged as an asset in the domain of urban water planning and management is the Urban Water Use (UWU) tool. This tool offers an integrated approach for strategic planning, promoting urban water conservation and environmental health through the investigation of interventions in urban infrastructure under different scenarios. In this study, the latest version of this UWU tool was deployed in a case study conducted in Almirante Tamandaré, Brazil. The objective was to evaluate how an integrated decision-making approach concerning urban water systems influences the efficiency and effectiveness of interventions, ultimately contributing to achieve widespread adoption, accessibility, and relevance of urban water services. The refined UWU tool evaluates a spectrum of measures across diverse scenarios, incorporating various drivers, focusing on the stakeholders' visions for the locality. These visions are composed of sustainability indicators, specifying different sets of target values and importance weights for each indicator. The approach followed in this study demonstrates how the effectiveness indexes can vary based on stakeholders' perception. Measures under Water Sensitive Urban Design and Water Demand Management strategies were deployed to simulate the response of urban water systems under three distinct scenarios, embracing the complexities of social dynamics and of climate change. The findings of the study emphasize that realizing a desired vision through selected measures relies significantly on the adoption of an integrated approach within the decision-making process. The stakeholders' perception of how indicators should be weighted while defining the vision was found to significantly impact the effectiveness range of these measures.","Effectiveness index; Indicators; Integrated urban water management; Measures; Scenarios","en","journal article","","","","","","","","","","","Urban Design","","",""
"uuid:07f833c3-820b-4b91-b0bd-6db3491856cb","http://resolver.tudelft.nl/uuid:07f833c3-820b-4b91-b0bd-6db3491856cb","Unveiling the catalytic potential of two-dimensional boron nitride in lithium–sulfur batteries","Khossossi, N. (TU Delft Team Poulumi Dey); Singh, Deobrat (Uppsala University); Essaoudi, Ismail (Moulay Ismail University); Ahuja, Rajeev (Uppsala University; Indian Institute of Technology Ropar); Ainane, Abdelmajid (Moulay Ismail University)","","2024","Lithium–sulfur (Li–S) batteries, renowned for their potential high energy density, have attracted attention due to their use of earth-abundant elements. However, a significant challenge lies in developing suitable materials for both lithium-based anodes, which are less prone to lithium dendrite formation, and sulfur-based cathodes. This obstacle has hindered their widespread commercial viability. In this study, we present a novel sulfur host material in the form of a two-dimensional semiconductor boron nitride framework, specifically the 2D orthorhombic diboron dinitride (o-B2N2). The inherent conductivity of o-B2N2 mitigates the insulating nature often observed in sulfur-based electrodes. Notably, the o-B2N2 surface demonstrates a high binding affinity for long-chain Li-polysulfides, leading to a significant reduction in their dissolution into the DME/DOL electrolytes. Furthermore, the preferential deposition of Li2S on the o-B2N2 surface expedites the kinetics of the lithium polysulfide redox reactions. Additionally, our investigations have revealed a catalytic mechanism on the o-B2N2 surface, significantly reducing the free energy barriers for various sulfur reduction reactions. Consequently, the integration of o-B2N2 as a host cathode material for Li–S batteries holds great promise in suppressing the shuttle effect of lithium polysulfides and ultimately enhancing the overall battery performance. This represents a practical advancement for the application of Li–S batteries.","2D o-BN monolayer; Electrocatalytic properties; First-principles calculations; Lithium polysulfide; Organic electrolyte; Shuttle effect","en","journal article","","","","","","","","","","","Team Poulumi Dey","","",""
"uuid:67246fb8-d0c7-4dde-b931-541656d0e860","http://resolver.tudelft.nl/uuid:67246fb8-d0c7-4dde-b931-541656d0e860","Lp-estimates for the square root of elliptic systems with mixed boundary conditions II","Bechtel, S. (TU Delft Analysis)","","2024","We show Lp-estimates for square roots of second order complex elliptic systems L in divergence form on open sets in Rd subject to mixed boundary conditions. The underlying set is supposed to be locally uniform near the Neumann boundary part, and the Dirichlet boundary part is Ahlfors–David regular. The lower endpoint for the interval where such estimates are available is characterized by p-boundedness properties of the semigroup generated by −L, and the upper endpoint by extrapolation properties of the Lax–Milgram isomorphism. Also, we show that the extrapolation range is relatively open in (1,∞).","Calderón–Zygmund decomposition for Sobolev functions; Complex elliptic systems of second order; Hardy's inequality; Kato square root problem; Lax–Milgram isomorphism; Mixed boundary conditions","en","journal article","","","","","","","","","","","Analysis","","",""
"uuid:53f5717c-d13d-4b44-a7da-498d6d2b7c6d","http://resolver.tudelft.nl/uuid:53f5717c-d13d-4b44-a7da-498d6d2b7c6d","A near-linear kernel for bounded-state parsimony distance","Deen, Elise (Student TU Delft); van Iersel, L.J.J. (TU Delft Discrete Mathematics and Optimization); Janssen, Remie (Rijksinstituut voor Volksgezondheid en Milieu (RIVM)); Jones, M.E.L. (TU Delft Discrete Mathematics and Optimization); Murakami, Yukihiro (TU Delft Discrete Mathematics and Optimization); Zeh, Norbert (Dalhousie University)","","2024","The maximum parsimony distance dMP(T1,T2) and the bounded-state maximum parsimony distance dMPt(T1,T2) measure the difference between two phylogenetic trees T1,T2 in terms of the maximum difference between their parsimony scores for any character (with t a bound on the number of states in the character, in the case of dMPt(T1,T2)). While computing dMP(T1,T2) was previously shown to be fixed-parameter tractable with a linear kernel, no such result was known for dMPt(T1,T2). In this paper, we prove that computing dMPt(T1,T2) is fixed-parameter tractable for all t. Specifically, we prove that this problem has a kernel of size O(klgk), where k=dMPt(T1,T2). As the primary analysis tool, we introduce the concept of leg-disjoint incompatible quartets, which may be of independent interest.","Distance measure; Kernelization; Maximum parsimony distance; Parameterized complexity; Parsimony; Phylogenetic tree; Phylogenetics","en","journal article","","","","","","","","","","","Discrete Mathematics and Optimization","","",""
"uuid:dd6a5c46-601d-4625-8b90-55ee9ee12330","http://resolver.tudelft.nl/uuid:dd6a5c46-601d-4625-8b90-55ee9ee12330","Enhancing pseudocapacitive intercalation in Ti3C2T x MXene with molecular crowding electrolytes","Chen, C. (TU Delft RST/Storage of Electrochemical Energy); de Kogel, Albert (Student TU Delft); Weijers, M.J. (TU Delft ChemE/Materials for Energy Conversion and Storage); Bannenberg, L.J. (TU Delft RID/TS/Instrumenten groep); Wang, Xuehang (TU Delft RST/Storage of Electrochemical Energy)","","2024","The growing demand for safe, cost-efficient, high-energy and high-power electrochemical energy storage devices has stimulated the development of aqueous-based supercapacitors with high capacitance, high rate capability, and high voltage. 2D titanium carbide MXene-based electrodes have shown excellent rate capability in various dilute aqueous electrolytes, yet their potential window is usually narrower than 1.2 V. In this study, we show that the potential window of Ti3C2T x MXene can be efficiently widened to 1.5 V in a cost-effective and environmentally benign polyethylene glycol (PEG) containing molecular crowding electrolyte. Additionally, a pair of redox peaks at −0.25 V/−0.05 V vs. Ag (cathodic/anodic) emerged in cyclic voltammetry after the addition of PEG, yielding an additional 25% capacitance. Interestingly, we observed the co-insertion of the molecular crowding agent PEG-400 during the Li+ intercalation process based on in-situ x-ray diffraction analysis. As a result, Ti3C2T x electrodes presented an interlayer space change of 4.7 Å during a complete charge/discharge cycle, which is the largest reversible interlayer space change reported so far for MXene-based electrodes. This work demonstrates the potential of adding molecular crowding agents to improve the performance of MXene electrodes in aqueous electrolytes and to enlarge the change of the interlayer spacing.","electrochemical energy storage; intercalation; interlayer spacing; molecular crowding electrolyte; MXene; polyethylene glycol; supercapacitor","en","journal article","","","","","","","","","","","RST/Storage of Electrochemical Energy","","",""
"uuid:5d612ad9-dcd2-43cb-a9d6-61997eed923d","http://resolver.tudelft.nl/uuid:5d612ad9-dcd2-43cb-a9d6-61997eed923d","A comparison of smooth basis constructions for isogeometric analysis","Verhelst, H.M. (TU Delft Numerical Analysis; TU Delft Ship and Offshore Structures); Weinmüller, P. (MTU Aero Engines); Mantzaflaris, A. (INRIA Sophia Antipolis); Takacs, T. (Johann Radon Institute for Computational and Applied Mathematics); Toshniwal, D. (TU Delft Numerical Analysis)","","2024","In order to perform isogeometric analysis with increased smoothness on complex domains, trimming, variational coupling or unstructured spline methods can be used. The latter two classes of methods require a multi-patch segmentation of the domain, and provide continuous bases along patch interfaces. In the context of shell modelling, variational methods are widely used, whereas the application of unstructured spline methods on shell problems is rather scarce. In this paper, we therefore provide a qualitative and a quantitative comparison of a selection of unstructured spline constructions, in particular the D-Patch, Almost-C1, Analysis-Suitable G1 and the Approximate C1 constructions. Using this comparison, we aim to provide insight into the selection of methods for practical problems, as well as directions for future research. In the qualitative comparison, the properties of each method are evaluated and compared. In the quantitative comparison, a selection of numerical examples is used to highlight different advantages and disadvantages of each method. In the latter, comparison with weak coupling methods such as Nitsche's method or penalty methods is made as well. In brief, it is concluded that the Approximate C1 and Analysis-Suitable G1 converge optimally in the analysis of a bi-harmonic problem, without the need of special refinement procedures. Furthermore, these methods provide accurate stress fields. On the other hand, the Almost-C1 and D-Patch provide relatively easy construction on complex geometries. The Almost-C1 method does not have limitations on the valence of boundary vertices, unlike the D-Patch, but is only applicable to biquadratic local bases. Following from these conclusions, future research directions are proposed, for example towards making the Approximate C1 and Analysis-Suitable G1 applicable to more complex geometries.","Biharmonic equation; Isogeometric analysis; Kirchhoff–Love shell; Unstructured splines","en","journal article","","","","","","","","","","","Numerical Analysis","","",""
"uuid:edf67d8a-fc91-46c7-a195-809612dc5339","http://resolver.tudelft.nl/uuid:edf67d8a-fc91-46c7-a195-809612dc5339","Lengthening of the Sm2+ 4f55d → 4f6 decay time through interplay with the 4f6[5D0] level and its analogy to Eu2+ and Pr3+","van Aarle, C. (TU Delft RST/Luminescence Materials); Krämer, Karl W. (University of Bern); Dorenbos, P. (TU Delft RST/Luminescence Materials)","","2024","Recent research activity on Sm2+-doped compounds has significantly increased the amount of available data on 4f55d → 4f6 decay times. This enabled the systematic comparison of spectroscopic and time resolved luminescence data to theoretical models describing the interplay between the 4f55d and 4f6[5D0] excited states on the observed decay time. A Boltzmann distribution between the population of the excited states is assumed, introducing a dependence of the observed 4f55d → 4f6 decay time on the energy gap between the 4f55d and 4f6[5D0] levels and temperature. The model is used to interpret the origin of the large variation in reported 4f55d → 4f6 decay times through literature, and links their temperature dependence to applications such as luminescence thermometry and near-infrared scintillation. The model is further applied to the analogous situation of close lying 4fn-15d and 4fn states in Eu2+ (6P7/2) and Pr3+ (1S0).","","en","journal article","","","","","","","","","","","RST/Luminescence Materials","","",""
"uuid:89773979-ec28-4e36-89d8-399e1404f039","http://resolver.tudelft.nl/uuid:89773979-ec28-4e36-89d8-399e1404f039","Enhancing nitrogen removal from wastewater in a low C/N ratio using an air-lift bio-electrochemical reactor (ALBER)","Nouri, Amir (Razi University); Zinatizadeh, Ali Akbar (Razi University; University of Queensland); Zinadini, Sirus (Razi University); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology)","","2024","This study focuses on the development of an air-lift bio-electrochemical reactor (ALBER) with a continuous feeding regime. The objective is to enhance nitrogen removal from synthetic wastewater with a low carbon-to-nitrogen (C/N) ratio. The chemical oxygen demand (COD) and total nitrogen (TN) of the influent wastewater were 500 and 200 mg/L, respectively. The effect of four independent variables, i.e., temperature, hydraulic retention time (HRT), N−NH4+/TN ratio and current density in the range of 16–32 °C, 6–12 h, 25–75%, and 2–10 A/m2, respectively, at three levels on the bio–electrochemical reactor performance were investigated during the bio–electrochemical reactor operation. The Face Center Cube (FCC) of response surface methodology (RSM) was used for design of experiments and model of obtained data. The ALBER achieved the maximum TN removal of 73% (146 mg/l) using external voltage and zeolite/plastic medium at temperature of 16 °C, HRT of 6 h, current density of 2 A/m2 and N−NH4+/TN ratio of 75%. The results indicated that shortening the HRT from 12 to 6 h, reducing the temperature from 32 °C to 24 °C, increasing the current density from 2 to 6 A/m2 and the reduction of nitrate concentration caused an increase in the TN removal. The results indicated that the performance of air-lift bio-electrochemical for nitrogen removal could be attributed to autotrophic denitrification (AD) and simultaneous nitrification/denitrification (SND). The research findings suggest that the ALBER should be further studied for potential use in treating industrial wastewater at low temperatures.","Air-lift bio-electrochemical reactor; Autotrophic denitrification; Biocathode; Simultaneous nitrification/denitrification; Zeolite/plastic medium","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-15","","","BT/Environmental Biotechnology","","",""
"uuid:876ab669-df05-486c-b6e4-416efae3d114","http://resolver.tudelft.nl/uuid:876ab669-df05-486c-b6e4-416efae3d114","Fault detection for LTI systems using data-driven dissipativity analysis","Rosa, Tábitha E. (Rijksuniversiteit Groningen); de Paula Carvalho, Leonardo (Universidade de São Paulo); de Albuquerque Gleizer, G. (TU Delft Team Tamas Keviczky); Jayawardhana, Bayu (Rijksuniversiteit Groningen)","","2024","Motivated by the physical exchange of energy and its dissipation in electro-mechanical systems, we propose a new fault detection method based on data-driven dissipativity analysis. We first identify a dissipativity inequality using one or multiple shots of data obtained from a linear time-invariant system. This dissipativity inequality's storage and supply rate functions assume generic quadratic difference forms encompassing all LTI systems. By analysing the norm of the identified dissipative inequality as the residual function, we can detect the occurrence of faults in real-time without the need to model each fault the system is subjected to. Through academic examples, we demonstrate how we can identify supply rate and storage functions from persistently exciting data shots. We present a practical example of detecting faults on a two-degree-of-freedom planar manipulator with zero missed fault detection rate, which is compared to a standard PCA-based fault detection algorithm.","Data-driven; Dissipativity; Fault detection; LTI systems; Model-free","en","journal article","","","","","","","","","","","Team Tamas Keviczky","","",""
"uuid:ebe708b5-dd7f-465e-9a26-7aa460a4957c","http://resolver.tudelft.nl/uuid:ebe708b5-dd7f-465e-9a26-7aa460a4957c","Compensating torque ripples in a coarse pointing mechanism for free-space optical communication: A Gaussian process repetitive control approach","Mooren, Noud (Eindhoven University of Technology); van Meer, Max (Eindhoven University of Technology); Witvoet, Gert (Eindhoven University of Technology; TNO); Oomen, T.A.E. (TU Delft Team Jan-Willem van Wingerden; Eindhoven University of Technology)","","2024","Actuators that require commutation algorithms, such as the switched reluctance motor (SRM) considered in this paper and employed in the coarse pointing assembly (CPA) for free-space optical communication, often have torque-ripple disturbances that are periodic in the commutation-angle domain that deteriorate the positioning performance. The aim of this paper is to model the torque ripple as a Gaussian Process (GP) in the commutation-angle domain and consequently compensate for it at arbitrary velocity. The approach employs repetitive control (RC) at a constant velocity. A spatial GP with a periodic kernel is trained using data that is obtained from the RC step resulting in a static non-linear function for compensation at arbitrary velocity. Stability conditions are provided for both steps. The approach is successfully applied to a CPA prototype to improve the tracking performance for laser communication, where the torque ripple is compensated at arbitrary velocity.","Gaussian process; Motion control; Optical pointing; Repetitive control; Switched reluctance motor","en","journal article","","","","","","","","","","","Team Jan-Willem van Wingerden","","",""
"uuid:16169a19-bf6b-4681-8ecc-18a9f2bd5e0f","http://resolver.tudelft.nl/uuid:16169a19-bf6b-4681-8ecc-18a9f2bd5e0f","End-to-end neural network based optimal quadcopter control","Ferede, R. (TU Delft Control & Simulation); de Croon, G.C.H.E. (TU Delft Control & Simulation); de Wagter, C. (TU Delft Control & Simulation); Izzo, Dario (European Space Agency (ESA))","","2024","Developing optimal controllers for aggressive high-speed quadcopter flight poses significant challenges in robotics. Recent trends in the field involve utilizing neural network controllers trained through supervised or reinforcement learning. However, the sim-to-real transfer introduces a reality gap, requiring the use of robust inner loop controllers during real flights, which limits the network's control authority and flight performance. In this paper, we investigate for the first time, an end-to-end neural network controller, addressing the reality gap issue without being restricted by an inner-loop controller. The networks, referred to as G&CNets, are trained to learn an energy-optimal policy mapping the quadcopter's state to rpm commands using an optimal trajectory dataset. In hover-to-hover flights, we identified the unmodeled moments as a significant contributor to the reality gap. To mitigate this, we propose an adaptive control strategy that works by learning from optimal trajectories of a system affected by constant external pitch, roll and yaw moments. In real test flights, this model mismatch is estimated onboard and fed to the network to obtain the optimal rpm command. We demonstrate the effectiveness of our method by performing energy-optimal hover-to-hover flights with and without moment feedback. Finally, we compare the adaptive controller to a state-of-the-art differential-flatness-based controller in a consecutive waypoint flight and demonstrate the advantages of our method in terms of energy optimality and robustness.","End-to-end control; G&CNet; Optimal control; Reality gap; Sim-to-real transfer; Supervised learning","en","journal article","","","","","","","","","","","Control & Simulation","","",""
"uuid:b4cad563-1247-489d-8146-cb2a74060fb0","http://resolver.tudelft.nl/uuid:b4cad563-1247-489d-8146-cb2a74060fb0","On automated model discovery and a universal material subroutine for hyperelastic materials","Peirlinck, M. (TU Delft Medical Instruments & Bio-Inspired Technology); Linka, Kevin (Stanford University); Hurtado, Juan A. (Dassault Systèmes); Kuhl, Ellen (Stanford University)","","2024","Constitutive modeling is the cornerstone of computational and structural mechanics. In a finite element analysis, the constitutive model is encoded in the material subroutine, a function that maps local strains onto stresses. This function is called within every finite element, at each integration point, within every time step, at each Newton iteration. Today's finite element packages offer large libraries of material models to choose from. However, the scientific criteria for appropriate model selection remain highly subjective and prone to user bias. Here we fully automate the process of model selection, autonomously discover the best model and parameters from experimental data, encode all possible discoverable models into a single material subroutine, and seamlessly integrate this universal material subroutine into a finite element analysis. We prototype this strategy for incompressible, isotropic, hyperelastic soft matter systems that we characterize through a combination of twelve possible terms. These terms feature the first and second invariants, raised to the first and second powers, embedded in the identity, exponential, and logarithmic functions, generating 22×2×3= 4096 models in total. We demonstrate how to integrate these models into a single universal material subroutine that features the classical neo Hooke, Blatz Ko, Mooney Rivlin, Demiray, Gent, and Holzapfel models as special cases. Finite element simulations with our new universal material subroutine show that it specializes well to these widely used models, generalizes well to newly discovered models, and agrees excellently with both experimental data and previous simulations. It also performs well within realistic finite element simulations and accurately predicts stress concentrations in the human brain for six different head impact scenarios. We anticipate that integrating automated model discovery into a universal material subroutine will generalize naturally to more complex compressible, anisotropic, inelastic materials and to other nonlinear finite element platforms. Replacing dozens of individual material subroutines by a single universal material subroutine that is populated directly via automated model discovery – entirely without human interaction – makes finite element analyses more accessible, more robust, and less vulnerable to human error. This could forever change how we simulate materials and structures. Our source code, data, and examples are available at https://github.com/LivingMatterLab.","Automated model discovery; Constitutive modeling; Constitutive neural networks; Hyperelasticity; Material subroutine","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-17","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:b551f1a3-ce70-4680-a69c-c9f19ab32361","http://resolver.tudelft.nl/uuid:b551f1a3-ce70-4680-a69c-c9f19ab32361","An advanced inverse modeling framework for efficient and flexible adjoint-based history matching of geothermal fields","Tian, X. (TU Delft Reservoir Engineering; Chinese Academy of Sciences); Volkov, Oleg (Stanford University); Voskov, D.V. (TU Delft Reservoir Engineering; Stanford University)","","2024","In this study, we present an efficient and flexible adjoint-based framework for history matching and forecasting geothermal energy extraction at a large scale. In this framework, we applied the Principal Component Analysis to reduce the parameter space for representing the complex geological model. The adjoint method is implemented for gradient calculation to speed up the history-matching iteration process. Operator-based linearization (OBL) used in this framework makes the calculation of the physical state and its derivatives very efficient and facilitates the matrix assembly in the adjoint method. This study primarily focuses on history matching based on combined observation of well production and in-situ electromagnetic measurements to predict the temperature front. However, different types of misfit terms can be added to the objective function based on practical considerations. For example, our history-matching case studies include model misfit terms applied for regularization purposes. The measurement data is extracted from the true model, and realistic measurement errors are considered. Also, in this work, we propose an optimal weighting strategy for the terms of the objective function to balance their sensitivity with respect to the model control variables. The high efficiency of the framework is demonstrated for the geothermal doublet model implemented at the heterogeneous reservoir with multiple realizations. The framework allows for generating posterior Randomized Maximum Likelihood (RML) estimates of the entire ensemble of the realizations with a reasonable computational cost. Results show that the framework can achieve reliable history-matching results based on the doublets production data and the reservoir electromagnetic measurement.","Adjoint method; DARTS; Geothermal energy; History matching; Principal Component Analysis","en","journal article","","","","","","","","","","","Reservoir Engineering","","",""
"uuid:aa9977c0-85d9-451b-9b1c-0337c67d9236","http://resolver.tudelft.nl/uuid:aa9977c0-85d9-451b-9b1c-0337c67d9236","Frankenstein: fast and lightweight call graph generation for software builds","Keshani, M. (TU Delft Software Engineering); Gousios, G. (TU Delft Software Technology; Endor Labs); Proksch, S. (TU Delft Software Engineering)","","2024","Call Graphs are a rich data source and form the foundation for advanced static analyses that can, for example, detect security vulnerabilities or dead code. This information is invaluable when it is immediately available, such as in the output of a build system. Call Graph generation is a whole-program analysis: not just the application, but also all its dependencies are processed together. Recent work has shown that even advanced static analyses can use summarization techniques to substantially improve runtime; however, existing analyses focus on soundness, and as such remain very expensive. When executed in the build system, which typically has limited resources, even powerful servers suffer from slow build times, rendering these analyses impractical in today’s fast-paced development. In this paper, we aim to strike a balance between improving static analyses while remaining practical for use cases that require quick results in low-resource environments. We propose a summarization-based implementation of a Class-Hierarchy Analysis algorithm for call graph generation of Java programs. Our approach leverages the fact that dependency sets often do not change between builds: we can generate call graphs for these dependencies, cache their generation for subsequent builds, and using a novel stitching algorithm, Frankenstein, merge all partial results into a complete call graph for the whole program. Our evaluation results show that this lightweight approach can substantially outperform existing frameworks. In terms of speed improvements, Frankenstein surpasses the baselines by up to 38%, requiring an average of just 388 Megabytes of memory. This makes the proposed approach practical for build systems with limited memory resources. Despite these optimizations, our generated call graphs maintain a near-identical set of edges when compared to the baselines, achieving an F 1 score of up to 0.98. This summarization-based approach for call graph generation paves the way for using extended static analyses in build processes.","Build systems; Call graph generation; Software ecosystems; Software engineering in practice","en","journal article","","","","","","","","","","Software Technology","Software Engineering","","",""
"uuid:fa5c7391-b1d5-40c6-b78c-10e95d80c2f4","http://resolver.tudelft.nl/uuid:fa5c7391-b1d5-40c6-b78c-10e95d80c2f4","One setup for many experiments: enabling versatile student-led investigations","Pols, C.F.J. (TU Delft ImPhys/Docenten; TU Delft Science Education and Communication)","","2024","This article presents an experimental setup capable of conducting various experiments. The setup is used to accurately determine the acceleration due to gravity using either the pendulum or free fall experiment, as well as to allow students to conceive and conduct their own experiment. We discuss the design of the setup and the experiments conducted with it, highlighting the versatility and potential use for open inquiry. We include students’ perception on this particular experiment and how it led to an interesting and educational open inquiry.","acceleration of gravity; free fall; introductory lab course; lab course; open inquiry; pendulum; practical work","en","journal article","","","","","","","","","","","ImPhys/Docenten","","",""
"uuid:efbe4f90-036f-4c3d-a2aa-6fadd06e804a","http://resolver.tudelft.nl/uuid:efbe4f90-036f-4c3d-a2aa-6fadd06e804a","Low- and high-temperature heat capacity of metallic technetium","Zappey, J.N. (TU Delft RST/Reactor Physics and Nuclear Materials; European Commission Joint Research Centre); Moore, E. E. (European Commission Joint Research Centre; Lawrence Livermore National Laboratory); Beneš, O. (European Commission Joint Research Centre); Griveau, J. C. (European Commission Joint Research Centre); Konings, R. (TU Delft EMSD AS-south Project technicians; European Commission Joint Research Centre)","","2024","The heat capacity of technetium metal has been measured from 2.1 K to 293 K using relaxation calorimetry and the enthalpy increment up to 1700 K using drop calorimetry. The low-temperature calorimetry measurements revealed a superconducting transition temperature of TC = (7.76 ± 0.08) K. The zero-degree Debye temperature(θE) and the electronic heat capacity coefficient (γe) of the normal state were derived as (307 ± 5) K and (4.22 ± 0.20) mJ·K−2·mol−1, respectively. The standard entropy of the superconducting standard state was derived as Sm° (298.15) = (36.8 ± 1.3) J·K−1·mol−1. The fitting of enthalpy-increment data together with high-temperature heat capacity data reported in literature yielded a heat capacity equation up to 1700 K.","Calorimetry; Enthalpy; Fission product; Heat capacity; Technetium","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-30","","","RST/Reactor Physics and Nuclear Materials","","",""
"uuid:72cb8cc8-1229-4c81-b388-173cd57c5741","http://resolver.tudelft.nl/uuid:72cb8cc8-1229-4c81-b388-173cd57c5741","Tuning stability of titania-supported Fischer-Tropsch catalysts: Impact of surface area and noble metal promotion","van Koppen, L.M. (TU Delft RST/Fundamental Aspects of Materials and Energy; Eindhoven University of Technology); Dugulan, A.I. (TU Delft RST/Fundamental Aspects of Materials and Energy; TU Delft RID/TS/Instrumenten groep); Hensen, Emiel J.M. (Eindhoven University of Technology); Bezemer, G. Leendert (Shell Global Solutions International B.V.)","","2024","Cobalt oxidation is a relevant deactivation pathway of titania-supported cobalt catalysts used in Fischer-Tropsch synthesis (FTS). To work towards more stable catalysts, we studied the effect of the surface area of the titania support and noble metal promotion on cobalt oxidation under simulated high conversion conditions. Mössbauer spectroscopy was used to follow the evolution of cobalt during reduction and FTS operation as a function of the steam pressure. The reduction of the oxidic cobalt precursor becomes more difficult due to stronger metal-support interactions when the titania surface area is increased. The reducibility was so low for cobalt on GP350 titania (surface area 283 m2/g) that the catalytical activity was negligible. Although cobalt was more difficult to reduce on P90 titania (94 m2/g) than on commonly used P25 titania (50 m2/g), the Co/P90 catalyst showed increased resistance against cobalt sintering and higher FTS performance than Co/P25. The addition of platinum to Co/P90 led to a higher reduction degree of cobalt and a higher cobalt dispersion, representing a catalyst with promising performance at relatively low steam pressure. Nevertheless, the stronger cobalt-titania interactions result in more extensive deactivation at high steam pressure due to oxidation.","Cobalt; Deactivation; Fischer-Tropsch synthesis; Mössbauer spectroscopy, SMSI; Oxidation","en","journal article","","","","","","","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:6141e039-caf8-4825-ac12-107450c64c9e","http://resolver.tudelft.nl/uuid:6141e039-caf8-4825-ac12-107450c64c9e","Ultrafiltration (UF) and biological oxygen-dosed activated carbon (BODAC) filtration to prevent fouling of reversed osmosis (RO) membranes: A mass balance analysis","Ribeiro Pinela, Sara (Wetsus, Centre for Sustainable Water Technology; Wageningen University & Research); Larasati, Amanda (Wetsus, Centre for Sustainable Water Technology); Meulepas, Roel J.W. (Wetsus, Centre for Sustainable Water Technology); Gagliano, Maria Cristina (Wetsus, Centre for Sustainable Water Technology); Kleerebezem, R. (TU Delft BT/Environmental Biotechnology); Bruning, Harry (Wageningen University & Research); Rijnaarts, Huub H.M. (Wageningen University & Research)","","2024","(Bio)fouling is the most common problem in membrane processes used in water production. It is also a reported unavoidable problem, with mitigation strategies being frequently ineffective in addressing this problem. An ultrapure water plant (UPW) in Emmen (The Netherlands), fed with secondary effluent, comprises the following subsequent treatment steps: ultrafiltration (UF), biological oxygen-dosed activated carbon (BODAC) filtration and reverse osmosis (RO). The BODAC filters were designed to prevent fouling in RO membranes, and for ten years, they have been operated without significant fouling issues. The present work aims to provide insight into the role of the full-scale UF + BODAC in fouling prevention, by conducting a mass balance (MB) analysis to assess the removal/release of common fouling precursors. Positive MB results were noticed for particulate organic compounds, iron (Fe) and manganese (Mn) meaning their constant removal in the UF + BODAC. The UF + BODAC was shown to be an effective nitrification system, effectively converting all the ammonium and nitrite to nitrate. In conclusion, the combined removal of organics, Fe, and Mn species and nitrification by UF + BODAC is most likely an important factor in downstream fouling prevention, making this system an attractive process for fouling prevention. Nevertheless, further investigations to discover the mechanisms involved are needed.","Biological oxygen-dosed activated carbon; Fouling precursors; Fouling prevention; Mass balance; Ultrafiltration","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:8ebfa298-5734-45f5-b5d0-60d9f45b7352","http://resolver.tudelft.nl/uuid:8ebfa298-5734-45f5-b5d0-60d9f45b7352","Monte Carlo convergence rates for kth moments in Banach spaces","Kirchner, K. (TU Delft Analysis); Schwab, Christoph (ETH Zürich)","","2024","We formulate standard and multilevel Monte Carlo methods for the kth moment Mεk[ξ] of a Banach space valued random variable ξ:Ω→E, interpreted as an element of the k-fold injective tensor product space ⊗εkE. For the standard Monte Carlo estimator of Mεk[ξ], we prove the k-independent convergence rate [Formula presented] in the Lq(Ω;⊗εkE)-norm, provided that (i) ξ∈Lkq(Ω;E) and (ii) q∈[p,∞), where p∈[1,2] is the Rademacher type of E. By using the fact that Rademacher averages are dominated by Gaussian sums combined with a version of Slepian's inequality for Gaussian processes due to Fernique, we moreover derive corresponding results for multilevel Monte Carlo methods, including a rigorous error estimate in the Lq(Ω;⊗εkE)-norm and the optimization of the computational cost for a given accuracy. Whenever the type of the Banach space E is p=2, our findings coincide with known results for Hilbert space valued random variables. We illustrate the abstract results by three model problems: second-order elliptic PDEs with random forcing or random coefficient, and stochastic evolution equations. In these cases, the solution processes naturally take values in non-Hilbertian Banach spaces. Further applications, where physical modeling constraints impose a setting in Banach spaces of type p<2, are indicated.","Injective tensor product; Multilevel Monte Carlo; Rademacher averages; Type of Banach space","en","journal article","","","","","","","","","","","Analysis","","",""
"uuid:90d516ce-efcc-4c71-bdcb-de885c7d1a72","http://resolver.tudelft.nl/uuid:90d516ce-efcc-4c71-bdcb-de885c7d1a72","DESCAN: Censorship-resistant indexing and search for Web3","de Vos, M.A. (TU Delft Data-Intensive Systems); Ishmaev, G. (TU Delft Data-Intensive Systems); Pouwelse, J.A. (TU Delft Data-Intensive Systems)","","2024","The popularity of blockchain technology has bootstrapped many “Web3” applications, e.g., Ethereum and IPFS, that apply distributed ledger technology to store transactions. The amount of transactions generated and stored in such Web3 applications is significant and, in its raw form, usually not searchable by users. Existing Web3 transaction indexing and search engines are predominantly centralized and, therefore, can manipulate search results or censor particular queries. With the proliferation of Web3 transactions and applications, a decentralized and censorship-resistant search primitive is becoming essential. We present DESCAN, a decentralized and censorship-resistant indexing and search engine for Web3. Users index their local Web3 transactions using custom rules that output triplets. Generated triplets are bundled in a distributed transaction graph that is searchable by other users. To coordinate search and distribute the storage of the transaction graph over peers in the network, we build upon a Skip Graph (SG) data structure. Since the Skip Graph does not provide any resilience against adversarial peers that censor searches, we propose four modifications to improve its robustness. We implement DESCAN and conduct experiments with up to 12 800 peers and 10 million Ethereum transactions. Our experiments show that DESCAN with our modifications enabled can tolerate 20% adversarial peers and 35% unresponsive peers without disruption. Moreover, we find that searches in DESCAN are usually completed well within a second, even when the network grows. Finally, we show that storage and network costs are evenly distributed amongst peers as the network grows.","Blockchain technology; Censorship resistance; Decentralized search; Decentralized systems; Skip graph; Web3","en","journal article","","","","","","","","","","","Data-Intensive Systems","","",""
"uuid:62668ef1-3a3f-4d58-9427-9d7faaab07a8","http://resolver.tudelft.nl/uuid:62668ef1-3a3f-4d58-9427-9d7faaab07a8","Measurement invariance of the driving inattention scale (ARDES) across 7 countries","Castro, Candida (Universidad de Granada); Pablo Doncel, P. (Universidad de Granada); Ledesma, Rubén D. (Consejo Nacional de Investigaciones Científicas y Técnicas (CONICET); Universidad Nacional de Mar del Plata); Montes, Silvana A. (Consejo Nacional de Investigaciones Científicas y Técnicas (CONICET); Universidad Nacional de Mar del Plata); Oviedo-Trespalacios, O. (TU Delft Safety and Security Science); Daniela Barragan, D. (George Mason University); Bianchi, Alessandra (Federal University of Paraná); Kauer, Natalia (Federal University of Paraná); Qu, Weina (Chinese Academy of Sciences); Padilla, Jose Luis (Universidad de Granada)","","2024","The Attention-Related Driving Errors Scale (ARDES) is a self-report measure of individual differences in driving inattention. ARDES was originally developed in Spanish (Argentina), and later adapted to other countries and languages. Evidence supporting the reliability and validity of ARDES scores has been obtained in various different countries. However, no study has been conducted to specifically examine the measurement invariance of ARDES measures across countries, thus limiting their comparability. Can different language versions of ARDES provide comparable measures across countries with different traffic regulations and cultural norms? To what extent might cultural differences prevent researchers from making valid inferences based on ARDES measures? Using Alignment Analysis, the present study assessed the approximate invariance of ARDES measures in seven countries: Argentina (n = 603), Australia (n = 378), Brazil (n = 220), China (n = 308). Spain (n = 310), UK (n = 298), and USA (n = 278). The three-factor structure of ARDES scores (differentiating driving errors occurring at Navigation, Manoeuvring and Control levels) was used as the target theoretical model. A fixed alignment analysis was conducted to examine approximate measurement invariance. 12.3 % of the intercepts and 0.8 % of the item-factor loadings were identified as non-invariant, averaging 8.6 % of non-invariance. Despite substantial differences among the countries, sample recruitment or representativeness, study results support resorting to ARDES measures to make comparisons across the country samples. Thus, the range of cultures, laws and collision risk across these 7 countries provides a demanding assessment for a cultural-free inattention while-driving. The alignment analysis results suggest that ARDES measures reach near equivalence among the countries in the study. We hope this study will serve as a basis for future cross-cultural research on driving inattention using ARDES.","Control errors; Distracted driver; Manoeuvring errors; Navigation errors; Planning errors; Proneness to distraction","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:6a421442-5f22-4c98-bc0f-e1dab5dff032","http://resolver.tudelft.nl/uuid:6a421442-5f22-4c98-bc0f-e1dab5dff032","Bringing Ecological Urbanism and Urban Political Ecology to transformative visions of water sensitivity in cities","Hädrich Silva, R. (TU Delft Urban Design); Zwarteveen, Margreet (IHE Delft Institute for Water Education); Stead, D. (TU Delft Spatial Planning and Strategy; Aalto University); Kuzniecow Bacchin, T. (TU Delft Urban Design)","","2024","Ecological Urbanism and Water Sensitive Urban Design have a central contribution to make in protecting and caring for people, nature and water in cities but readings of Urban Political Ecology evidence how ecological metaphors in urban design can easily translate into discriminatory urban development processes. This paper posits that for UPE to become meaningful for urban design practice, it is necessary to move beyond a critique. Instead, the insights of UPE should be pro-actively mobilized to develop a new vision of water sensitivity. The paper therefore identifies ways in which the key learnings of the critical social sciences, namely UPE, can be mobilized to support Water Sensitive Urban Design practice. How can ecological urbanists imagine new, more politically astute, forms of water sensitive living, charting design processes that not just recognize but also actively question and challenge uneven socio-ecological dynamics? In answering this question, the goal of this article is to make use of critique from UPE to influence Ecological Urbanists' goals and activate their political alignment with agendas that prioritize social equity. In imagining a new form of WSUD, we tried as much as possible not to over-instrumentalize UPE by rejecting the suggestion that some UPE ‘lessons’ or ‘insights’ could simply be inserted into ecological urbanism. On a different direction, we argue for a different emphasis in WSUD that does not deny the causes of current environmental degradation, pollution and depletion but, on the contrary, actively takes issue with and challenges the extractive and exploitative roots of contemporary urbanization processes.","Ecological Urbanism; Social justice; Urban ecology; Urban Political Ecology; Water Sensitive Urban Design","en","journal article","","","","","","","","","","","Urban Design","","",""
"uuid:e311e1fe-f222-4dbb-92e3-8cbf1ae9f751","http://resolver.tudelft.nl/uuid:e311e1fe-f222-4dbb-92e3-8cbf1ae9f751","Effect of microporous layer structural parameters on heat and mass transfer in proton exchange membrane fuel cells","Zhang, Zhenya (Zhengzhou University of Light Industry); Mao, Jia (Zhengzhou University of Light Industry); Wei, Houyu (Zhengzhou University of Light Industry); Cheng, Chuanxiao (Zhengzhou University of Light Industry); Liu, Zhengxuan (TU Delft Design & Construction Management)","","2024","Proton exchange membrane fuel cells offer promising clean energy solutions for various applications. However, their performance relies heavily on the properties of the microporous layer, which plays a crucial role in transporting and distributing the components in the fuel cell. To date, the potential for optimising the microporous layer material structural parameters to enhance the fuel cell performance remains largely unexplored. This study aims to fill this research gap by conducting a comprehensive investigation of the effects of different microporous layer material structural parameters on the heat and mass transfer in the membrane electrode assembly. MATLAB was used for optimising the performance of the fuel cell components. The results show that increasing the microporous layer thickness from 5 to 50 μm significantly affects the species transport, leading to a substantial reduction in the molar fraction of H2 and O2 at the electrochemical reaction sites. Furthermore, the distribution of the liquid water saturation inside the fuel cell is influenced by the porosity and permeability of the microporous layer. By increasing the porosity from 0.3 to 0.6, the liquid water saturation at the interface of the catalyst layer and microporous layer decreases by 0.52 % and 1.12 % at output voltages of 0.5 V and 0.7 V, respectively. This reduction enhances the efficiency of internal water transport. Moreover, reducing the permeability of the microporous layer from 2 × 10-12 to 1 × 10-13 at 0.5 V and 0.7 V leads to an increase in liquid water saturation at the interface of the proton exchange membrane and the catalyst layer by 1.49 % and 0.74 %, respectively, causing hindrance to the transport of internal liquid water. This study provides valuable insights into the interplay between the properties of the microporous layer material properties and heat and mass transfer characteristics in proton exchange membrane fuel cell.","Fuel cell efficiency; Heat and mass transfer; Microporous layer; Performance optimization; Proton exchange membrane fuel cells","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:35e85fa6-9f60-4c22-9253-495b2baeb0f9","http://resolver.tudelft.nl/uuid:35e85fa6-9f60-4c22-9253-495b2baeb0f9","First - Principles study of hydrogen - Carbide interaction in bcc Fe","Sagar, S. (TU Delft Team Poulumi Dey); Sluiter, M.H.F. (TU Delft Team Marcel Sluiter); Dey, P. (TU Delft Team Poulumi Dey)","","2024","Rapid developments in the field of hydrogen energy have prompted the need for safe and efficient hydrogen transportation and storage. Steels form the backbone of the current energy infrastructure and thus offer a fast and cost-effective solution. Their excellent mechanical properties are attributed to the underlying microstructure which comprises of finely dispersed nano-precipitates. However, one major factor restricting their application is their susceptibility to Hydrogen Embrittlement (HE). In the past decade, experimental and theoretical works have been carried out to understand if the nano-sized carbides can aid in reducing the susceptibility to HE along with providing strengthening. Within this ab-inito study, we investigated the effectiveness of fully coherent nano-carbides (i.e. TiC, VC and NbC) to limit the diffusible hydrogen content in bcc Fe. Our study revealed that the interplay between hydrogen and carbon vacancies, local atomic environment at interface as well as elastic strain fields at the interface can lead to significantly increased hydrogen solubilities. While in TiC, the deepest traps were found to be in the bulk of carbides, in VC and NbC, the elastic strain fields around the interface led to the strongest trapping. Further, the formation of a two-hydrogen-vacancy complex was found to be favourable in VC. Finally, the migration barriers for hydrogen trapping in bulk TiC as well as across the Fe/TiC coherent interface indicate that these deep traps in the form of carbon vacancies are fairly accessible.","Density functional theory; Hydrogen embrittlement; Hydrogen trapping; Migration barriers; Transition metal carbides","en","journal article","","","","","","","","","","","Team Poulumi Dey","","",""
"uuid:6c51424d-93f1-445e-ba94-6640116ec968","http://resolver.tudelft.nl/uuid:6c51424d-93f1-445e-ba94-6640116ec968","Topology optimization of differentiable microstructures","Zhai, X. (TU Delft Materials and Manufacturing; University of Science and Technology of China); Wang, Weiming (The University of Manchester); Chen, Falai (University of Science and Technology of China); Wu, J. (TU Delft Materials and Manufacturing)","","2024","Recent years have seen a growing interest in topology optimization of functionally graded microstructures, characterized by an array of microstructures with varying volume fractions. However, microstructures optimized at slightly different volume fractions do not necessarily connect well when placed adjacently. Furthermore, optimization is commonly performed on a finite set of volume fractions, limiting the number of microstructure configurations. In this paper, we introduce the concept of differentiable microstructures, which are parameterized microstructures that exhibit continuous variations in both geometry and mechanical properties. To construct such microstructures, we propose a novel formulation for topology optimization. In this approach, a series of 2-dimensional microstructures is represented using a height field, and the objective is to maximize the bulk modulus of the entire series. Through this optimization process, an initial microstructure with a small volume fraction undergoes non-uniform transformations, generating a series of microstructures with progressively increasing volume fractions. Notably, when compared to traditional uniform morphing methods, our proposed optimization approach yields a series of microstructures with bulk moduli that closely approach the theoretical limit.","Functionally graded microstructures; Inverse homogenization; Topology optimization","en","journal article","","","","","","","","","","","Materials and Manufacturing","","",""
"uuid:65ffe8a9-4bed-47d4-a021-9e1d85f3c564","http://resolver.tudelft.nl/uuid:65ffe8a9-4bed-47d4-a021-9e1d85f3c564","High dielectric filler for all-solid-state lithium metal battery","Wang, C. (TU Delft RST/Storage of Electrochemical Energy; The Hong Kong Polytechnic University); Liu, M. (TU Delft RST/Storage of Electrochemical Energy); Bannenberg, L.J. (TU Delft RID/TS/Instrumenten groep); Zhao, C. (TU Delft RST/Storage of Electrochemical Energy); Thijs, M.A. (TU Delft RID/TS/Technici Pool); Boshuizen, B. (TU Delft ChemE/O&O groep); Ganapathy, S. (TU Delft RID/TS/Instrumenten groep); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy)","","2024","Lithium metal with its high theoretical capacity and low negative potential is considered one of the most important candidates to raise the energy density of all-solid-state batteries. However, lithium filament growth and its induced solid electrolyte decomposition pose severe challenges to realize a long cycle life. Here, dendrite growth in solid-state Li metal batteries is alleviated by introducing a high dielectric material, barium titanate, as a filler that removes the electric field gradients that catalyze dendrite formation. In symmetrical Li-metal cells, this results in a very small over-potential of only 48 mV at a relatively high current density of 1 mA cm−2, when cycling a capacity of 2 mA h cm−2 during 1700 h. The high dielectric filler improves the Coulombic efficiency and cycle life of full cells and suppresses electrolyte decomposition as indicated by solid-state nuclear magnetic resonance (NMR) and X-ray photoelectron spectroscopy (XPS) measurements. This indicates that the high dielectric filler can suppress dendrite formation, thereby reducing solid electrolyte decomposition reactions, resulting in the observed low overpotentials and improved cycling efficiency.","All-solid-state batteries; Dendrite-free; Electrolyte decomposition; High dielectric filler; Li-metal anode","en","journal article","","","","","","","","","","","RST/Storage of Electrochemical Energy","","",""
"uuid:2a32c9c1-f2df-4127-986a-766cc7c2af98","http://resolver.tudelft.nl/uuid:2a32c9c1-f2df-4127-986a-766cc7c2af98","Similarity learning hidden semi-Markov model for adaptive prognostics of composite structures","Eleftheroglou, N. (TU Delft Structural Integrity & Composites; University of Patras); Galanopoulos, Georgios (University of Patras); Loutas, Theodoros (University of Patras)","","2024","Data-driven methodologies have found increasing usage in the last decade for remaining useful life (RUL) prognostics of composite materials utilizing structural health monitoring (SHM) data. Of particular interest is the reliable RUL prediction in cases where the end-of-life is not in between the extreme values within the testing dataset. For example, when unexpected phenomena that severely compromise the structural integrity occur during the service life. Such cases are often referred as outliers and the RUL prognosis based on a data-driven model that learns from past data is often erroneous. This study addresses this challenge by proposing a new stochastic model; the Similarity Learning Hidden Semi Markov Model (SLHSMM), an extension of the Non-Homogenous Hidden Semi Markov Model (NHHSMM). Through the utilization of a nonparametric discrete distribution, which characterizes the similarity between the testing structure and the training structures, a dynamic re-estimation process is employed. This process assigns higher importance to the training structures that display greater similarity to the testing one. As a result, the estimated parameters effectively capture the specific characteristics of the testing structure. The training and testing SHM data sets consist of strain measurements collected from a case study where carbon–epoxy single-stringered panels, are subjected to constant, variable, and random amplitude fatigue loading until failure. RUL estimations from the SLHSMM, the NHHSMM, and the Gaussian Process Regression (GPR) are compared. The SLHSMM clearly outperforms its classical counterpart and GPR providing more accurate outlier and inlier prognostics, demonstrating its capability to adapt to unexpected phenomena and integrate unforeseen data into a prognostic platform.","Adaptive prognostics; Composites; HSMM; Markov models; PHM; Prognostics; Remaining useful life","en","journal article","","","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:c7d08b53-d007-4270-9c86-8bc1c0736de1","http://resolver.tudelft.nl/uuid:c7d08b53-d007-4270-9c86-8bc1c0736de1","Metal- and covalent-organic framework mixed matrix membranes for CO2 separation: a perspective on stability and scalability","Shan, M. (TU Delft ChemE/Transport Phenomena; Zhengzhou University); Geng, Xiumei (Zhengzhou University); Imaz, Inhar (BIST); Broto-Ribas, Anna (BIST); Ortín-Rubio, Borja (BIST); Maspoch, Daniel (BIST; Catalan Institution for Research and Advanced Studies (ICREA)); Ansaloni, Luca (SINTEF Industry); Peters, Thijs A. (SINTEF Industry); Tena, Alberto (University of Twente; University of Valladolid); Boerrigter, Marcel E. (LEITAT Technological Center, Barcelona); Vermaas, D.A. (TU Delft ChemE/Transport Phenomena)","","2024","Membrane technology has attracted great industrial interest in carbon capture and separation owing to the merits of energy-efficiency, environmental friendliness and low capital investment. Conventional polymeric membranes for CO2 separation suffer from the trade-off between permeability and selectivity. Introducing porous fillers in polymers is one approach to enhance membrane separation performance. Metal-organic frameworks (MOFs), with ordered porous structure and diverse chemical functionalities, are promising fillers to prepare mixed matrix membranes (MMMs) for CO2 separation. However, the main issue of MOF based MMMs in industry is their stability and processability. This review analyses recent work on stable and scalable MOF based MMMs for CO2 separation. The typical stable MOFs, MOF-based MMMs and the scalable MOF synthesis are summarized. A large number of MOF-based MMM suffer from instability upon exposure to contaminants. For that reason, we also discuss the use of covalent organic frameworks (COFs) as an alternative to prepare MMMs for CO2 separation, considering their excellent stability and good compatibility with polymers. Finally, a brief conclusion and current challenges on obtaining scalable and stable MMMs are outlined. This review may provide some guidance for designing high performance MMMs for industrial CO2 capture and separation to help achieving carbon neutrality.","","en","review","","","","","","","","","","","ChemE/Transport Phenomena","","",""
"uuid:b301a6df-f13c-4839-a2b8-41199578a77e","http://resolver.tudelft.nl/uuid:b301a6df-f13c-4839-a2b8-41199578a77e","The relation between proximity to and characteristics of green spaces to physical activity and health: A multi-dimensional sensitivity analysis in four European cities","Cardinali, M. (TU Delft Heritage & Architecture; OWL, University of Applied Sciences and Arts); Beenackers, Mariëlle A. (Erasmus MC); van Timmeren, A. (TU Delft Environmental Technology and Design); Pottgiesser, U. (TU Delft Heritage & Architecture; OWL, University of Applied Sciences and Arts)","","2024","Introduction: Non-communicable diseases are the global disease burden of our time, with physical inactivity identified as one major risk factor. Green spaces are associated with increased physical activity of nearby residents. But there are still gaps in understanding which proximity and what characteristics of green spaces can trigger physical activity. This study aims to unveil these differences with a rigorous sensitivity analysis. Methods: We gathered data on self-reported health and physical activity from 1365 participants in selected neighbourhoods in Porto, Nantes, Sofia, and Høje-Taastrup. Spatial data were retrieved from OpenStreetMap. We followed the PRIGSHARE guidelines to control for bias. Around the residential addresses, we generated seven different green space indicators for 15 distances (100–1500 m) using the AID-PRIGSHARE tool. We then analysed each of these 105 green space indicators together with physical activity and health in 105 adjusted structural equation models. Results: Green space accessibility and green space uses indicators showed a pattern of significant positive associations to physical activity and indirect to health at distances of 1100 m or less, with a peak at 600 m for most indicators. Greenness in close proximity (100 m) had significant positive effects on physical activity and indirect effects on health. Surrounding greenness showed positive direct effects on health at 500–1100 m and so do green corridors in 800 m network distance. In contrast, a high quantity of green space uses, and surrounding greenness measured in a larger radius (1100–1500 m) showed a negative relationship with physical activity and indirect health effects. Conclusions: Our results provide insight into how green space characteristics can influence health at different scales, with important implications for urban planners on how to integrate accessible green spaces into urban structures and public health decision-makers on the ability of green spaces to combat physical inactivity.","Behaviour; Greenspace; Mediator; Public health; Sedentary lifestyle","en","journal article","","","","","","","","","","","Heritage & Architecture","","",""
"uuid:355aa0ba-550c-4b66-b2c0-f6eaaf3dac74","http://resolver.tudelft.nl/uuid:355aa0ba-550c-4b66-b2c0-f6eaaf3dac74","Antioxidant-driven activity and stability enhancement in multiphase bicarbonate hydrogenation catalysis with a Ru-PNP pincer complex","Hirano, Makoto (Nitto Denko Corporation); Wada, Kazuhito (Nitto Denko Corporation); Matsuda, Hirokazu (Nitto Denko Corporation); Pidko, E.A. (TU Delft ChemE/Inorganic Systems Engineering)","","2024","Herein, we present a practical strategy to enhance the performance of a multiphase system for bicarbonate hydrogenation based on a molecular Ru-PNP pincer catalyst. This study demonstrates that the use of organic antioxidants not only mitigates catalyst degradation but also significantly boosts its intrinsic activity. This enables efficient catalyst recycling at ultra-low concentrations. Systematic screening and optimization of a range of organic antioxidants has identified TDTBP (tris(2,4-di-tert-butylphenyl) phosphite) as being exceptionally efficient in stabilizing and enhancing the performance of the Ru-PNP catalyst. With the optimized system an unprecedented integral turnover frequency (TOF) of 115,000 h−1 and a total turnover number (TTON) of 9.43×106 across four recycling tests were demonstrated, conducted at a reaction temperature of 90 ºC and H2 pressure of 50 bar. These findings represent a substantial advancement in sustainable formate/formic acid production, offering a scalable and highly efficient method suitable for industrial-scale application.","Antioxidant; Bicarbonate hydrogenation; Catalyst Stabilization; Multiphase system; Pincer complexes","en","journal article","","","","","","","","","","","ChemE/Inorganic Systems Engineering","","",""
"uuid:a1eefa48-1740-4350-a97c-f058a845885a","http://resolver.tudelft.nl/uuid:a1eefa48-1740-4350-a97c-f058a845885a","GRACE and GRACE Follow-On Gravity Observations of Intermediate-Depth Earthquakes Contrasted With Those of Shallow Events","Han, Shin-Chan (The University of Newcastle, Australia); Sauber, Jeanne (NASA Goddard Space Flight Center); Broerse, D.B.T. (TU Delft Physical and Space Geodesy); Pollitz, Fred (U.S. Geological Survey); Okal, Emile (Northwestern University); Jeon, Taehwan (Seoul National University); Seo, Ki Weon (Seoul National University); Stanaway, Richard (Papua New Guinea University of Technology)","","2024","Earthquakes involve mass redistribution within the solid Earth and the ocean, and as a result, perturb the Earth's gravitational field. For most of the shallow (<60 km) earthquakes with Mw > 8.0, the GRACE satellite gravity measurements suggest considerable volumetric disturbance of rocks. At a spatial scale of hundreds of km, the effect of volumetric change exceeds gravity change by vertical deformation; for example, negative gravity anomalies associated with volumetric expansion are characteristic patterns after shallow thrust events. In this study, however, we report contrasting observations of gravity change from two intermediate-depth (100–150 km) earthquakes of 2016 & 2017 Mw 8.0 (two combined) Papua New Guinea thrust faulting events and 2019 Mw 8.0 Peru normal faulting and highlight the importance of compressibility in earthquake deformation. The combined 2016/17 thrust events resulted in a positive gravity anomaly of 5–6 microGal around the epicenter, while the 2019 normal faulting produced a negative gravity anomaly of 3–4 microGal. Our modeling found that these gravity changes are manifestation of vertical deformation with limited volumetric change, distinct from gravity changes after the shallow earthquakes. The stronger resistance of rocks to volume change at intermediate-depth results in largely incompressible deformation and thus in a gravity change dominated by vertical deformation. In addition, malleable rocks under high pressure and temperature at depth facilitated substantial afterslip and/or fast viscoelastic relaxation causing additional vertical deformation and gravity change equivalent to the coseismic change. For the Papua New Guinea events, this means that postseismic relaxation enhanced coseismic uplift and relative sea level decrease.","deformation; earthquakes; GRACE; gravity change; viscoelastic relaxation","en","journal article","","","","","","","","","","","Physical and Space Geodesy","","",""
"uuid:afb15a01-05a0-4941-8c40-e194516209bd","http://resolver.tudelft.nl/uuid:afb15a01-05a0-4941-8c40-e194516209bd","Interparticle friction in sheared dense suspensions: Comparison of the viscous and frictional rheology descriptions","Peerbooms, W. (TU Delft Multi Phase Systems); Nadorp, Tim (Student TU Delft); van der Heijden, A.E.D.M. (TU Delft Complex Fluid Processing; TNO); Breugem, W.P. (TU Delft Multi Phase Systems)","","2024","In the literature, two different frameworks exist for describing the rheology of solid/liquid suspensions: (1) the “viscous” framework in terms of the relative suspension viscosity, ηr, as a function of the reduced solid volume fraction, f=fm, with fm the maximum flowable packing fraction, and (2) the “frictional” framework in terms of a macroscopic friction coefficient, μ, as a function of the viscous number, Iv, defined as the ratio of the viscous shear to the wall-normal particle stress. Our goal is to compare the two different frameworks, focusing on the effect of friction between particles. We have conducted a particle-resolved direct numerical simulation study of a dense non-Brownian suspension of neutrally buoyant spheres in slow plane Couette flow. We varied the bulk solid volume fraction from fb ¼ 0:1 to 0.6 and considered three different Coulomb friction coefficients: μc ¼ 0, 0.2, and 0.39. We find that ηr scales well with f=fm, with fm obtained from fitting the Maron–Pierce correlation. We also find that μ scales well with Iv. Furthermore, we find a monotonic relation between f=fm and Iv, which depends only weakly on μc. Since ηr ¼ μ=Iv, we thus find that the two frameworks are largely equivalent and that both account implicitly for Coulomb friction. However, we find that the normal particle stress differences, N1 and N2, when normalized with the total shear stress and plotted against either f=fm or Iv, remain explicitly dependent on μc in a manner that is not yet fully understood.","","en","journal article","","","","","","","","","","","Multi Phase Systems","","",""
"uuid:2a454ba8-3134-417a-83a4-a665772c9a69","http://resolver.tudelft.nl/uuid:2a454ba8-3134-417a-83a4-a665772c9a69","Spatial lipidomics of coronary atherosclerotic plaque development in a familial hypercholesterolemia swine model","Slijkhuis, Nuria (Erasmus MC); Razzi, F. (TU Delft ChemE/Product and Process Engineering; Erasmus MC); Korteland, Suze Anne (Erasmus MC); Heijs, Bram (Leiden University Medical Center); van Gaalen, Kim (Erasmus MC); Duncker, Dirk J. (Erasmus MC); van der Steen, A.F.W. (TU Delft ImPhys/Medical Imaging; TU Delft ImPhys/Verweij group; Erasmus MC; Chinese Academy of Sciences); van Steijn, V. (TU Delft ChemE/Product and Process Engineering); van Beusekom, Heleen M.M. (Erasmus MC); van Soest, G. (TU Delft Biomechanical Engineering; Massachusetts General Hospital; Erasmus MC)","","2024","Coronary atherosclerosis is caused by plaque build-up, with lipids playing a pivotal role in its progression. However, lipid composition and distribution within coronary atherosclerosis remain unknown. This study aims to characterize lipids and investigate differences in lipid composition across disease stages to aid in the understanding of disease progression. Matrix-assisted laser desorption/ionization mass spectrometry imaging (MALDI-MSI) was used to visualize lipid distributions in coronary artery sections (n ¼ 17) from hypercholesterolemic swine. We performed histology on consecutive sections to classify the artery segments and to investigate colocalization between lipids and histological regions of interest in advanced plaque, including necrotic core and inflammatory cells. Segments were classified as healthy (n ¼ 6), mild (n ¼ 6), and advanced disease (n ¼ 5) artery segments. Multivariate data analysis was employed to find differences in lipid composition between the segment types, and the lipids' spatial distribution was investigated using non-negative matrix factorization (NMF). Through this process, MALDI-MSI detected 473 lipid-related features. NMF clustering described three components in positive ionization mode: triacylglycerides (TAG), phosphatidylcholines (PC), and cholesterol species. In negative ionization mode, two components were identified: one driven by phosphatidylinositol(PI)(38:4), and one driven by ceramidephosphoethanolamine(36:1). Multivariate data analysis showed the association between advanced disease and specific lipid signatures like PC(O-40:5) and cholesterylester(CE)(18:2). Ether-linked phospholipids and LysoPC species were found to colocalize with necrotic core, and mostly CE, ceramide, and PI species colocalized with inflammatory cells. This study, therefore, uncovers distinct lipid signatures correlated with plaque development and their colocalization with necrotic core and inflammatory cells, enhancing our understanding of coronary atherosclerosis progression.","Atherosclerosis; dyslipidemias; familial hypercholesterolemia; histology; inflammation; lipids; lipids/chemistry; mass spectrometry imaging; plaque progression; vascular biology","en","journal article","","","","","","","","","","Biomechanical Engineering","ChemE/Product and Process Engineering","","",""
"uuid:8fe6849e-b95d-44ba-a03b-217552275d30","http://resolver.tudelft.nl/uuid:8fe6849e-b95d-44ba-a03b-217552275d30","Identification of an overactuated deformable mirror system with unmeasured outputs","Tacx, Paul (Eindhoven University of Technology); Habraken, Roel (Eindhoven University of Technology; TNO); Witvoet, Gert (Eindhoven University of Technology; TNO); Heertjes, Marcel (Eindhoven University of Technology; ASML); Oomen, T.A.E. (TU Delft Team Jan-Willem van Wingerden; Eindhoven University of Technology)","","2024","Next-generation deformable mirrors are envisaged to exhibit low-frequency flexible dynamics and to contain a large number of spatially distributed actuators due to increasingly stringent performance requirements. The increasingly complex system characteristics necessitate identifying the flexible dynamic behavior for design validation and next-generation control. The aim of this paper is to develop a unified approach for the identification of mechanical systems with a large number of spatially distributed actuators and a limited number of sensors. A frequency domain-based approach using local modeling techniques is developed. The modal modeling framework is employed to analyze the design and create outputs that were not measured. The proposed approach is applied to an experimental deformable mirror case study that illustrates the effectiveness of the proposed approach.","Adaptive optics; Local parametric modeling; Mechanical models; Mechatronics; Modal analysis; Motion control","en","journal article","","","","","","","","","","","Team Jan-Willem van Wingerden","","",""
"uuid:93536dca-ae2c-483a-be8f-03616d14bc71","http://resolver.tudelft.nl/uuid:93536dca-ae2c-483a-be8f-03616d14bc71","Peanut shaped auxetic cementitious cellular composite (ACCC)","Xie, J. (TU Delft Materials and Environment); Xu, Y. (TU Delft Materials and Environment); Meng, Z. (TU Delft Materials and Environment); Liang, M. (TU Delft Materials and Environment); Wan, Z. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment)","","2024","Auxetic cementitious cellular composites (ACCCs) exhibit desirable mechanical properties (e.g., high fracture resistance and energy dissipation), due to their unique deformation characteristics. In this study, a new type of cementitious auxetic material, referred to as peanut shaped ACCC, has been designed and subsequently architected using additive manufacturing techniques. Two peanut shaped ACCCs specimens with different pseudo-minor axes have been tested under uniaxial compression with Digital Image Correlation (DIC) to assess their compressive behavior, peak strength, Poisson's ratio, and energy dissipation capacity. Additionally, cyclic tests were conducted to investigate their compressive resilience properties, further elucidated through microstructural analysis using a digital optical microscope. The mechanical test results were also compared with those of previously developed elliptical-shaped ACCCs. Furthermore, a numerical model was used to simulate the mechanical behavior of peanut shaped ACCCs under uniaxial compression, and showed a good agreement with the experimental data. The auxetic behavior observed in peanut shaped ACCCs arises from the rotation of sections facilitated by fiber bridging at the ligament of adjacent holes within the cementitious unit cell. In comparison to elliptical-shaped ACCCs, peanut shaped ACCCs can exhibit a slightly more negative Poisson's ratio and mitigate stress concentration. The reduction of stress concentration enables peanut shaped ACCCs to dissipate substantial energy, showcasing enhanced ductility and toughness. In cyclic tests, peanut shaped ACCCs exhibit superior recoverable deformation elasticity, attributed to robust fiber bridging capacity. The exceptional mechanical properties exhibited by peanut shaped ACCCs offer a scalable solution for developing energy-absorbent and multifunctional cementitious materials for smart infrastructure.","Additive manufacturing; Auxetic cementitious cellular composites; CDP model; Compressive resilience; Cyclic test; Energy dissipation","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:765c3f37-44fe-4247-9a28-50645ac03819","http://resolver.tudelft.nl/uuid:765c3f37-44fe-4247-9a28-50645ac03819","Fair resource allocation in virtualized O-RAN platforms","Aslan, F.A. (TU Delft Networked Systems); Iosifidis, G. (TU Delft Networked Systems); Ayala-Romero, Jose A. (NEC Laboratories Europe); Garcia-Saavedra, Andres (NEC Laboratories Europe); Costa-Perez, Xavier (NEC Laboratories Europe; ICREA)","","2024","O-RAN systems and their deployment in virtualized general-purpose computing platforms (O-Cloud) constitute a paradigm shift expected to bring unprecedented performance gains. However, these architectures raise new implementation challenges and threaten to worsen the already-high energy consumption of mobile networks. This paper presents first a series of experiments which assess the O-Cloud's energy costs and their dependency on the servers' hardware, capacity and data traffic properties which, typically, change over time. Next, it proposes a compute policy for assigning the base station data loads to O-Cloud servers in an energy-efficient fashion; and a radio policy that determines at near-real-Time the minimum transmission block size for each user so as to avoid unnecessary energy costs. The policies balance energy savings with performance, and ensure that both of them are dispersed fairly across the servers and users, respectively. To cater for the unknown and time-varying parameters affecting the policies, we develop a novel online learning framework with fairness guarantees that apply to the entire operation horizon of the system (long-Term fairness). The policies are evaluated using trace-driven simulations and are fully implemented in an O-RAN compatible system where we measure the energy costs and throughput in realistic scenarios.","Energy Efficiency; Fairness; Mobile Networks; O-RAN; Online Learning; Regret; Resource Management","en","journal article","","","","","","","","","","","Networked Systems","","",""
"uuid:bd302b37-4283-419c-840c-22df51deb234","http://resolver.tudelft.nl/uuid:bd302b37-4283-419c-840c-22df51deb234","Who's got my back? Measuring the adoption of an internet-wide BGP RTBH Service","Anghel, R.I. (TU Delft Organisation & Governance); Zhauniarovich, Y. (TU Delft Organisation & Governance); Hernandez Ganan, C. (TU Delft Organisation & Governance)","","2024","Distributed Denial-of-Service (DDoS) attacks continue to threaten the availability of Internet-based services. While countermeasures exist to decrease the impact of these attacks, not all operators have the resources or knowledge to deploy them. Alternatively, anti-DDoS services such as DDoS clearing houses and blackholing have emerged. Unwanted Traffic Removal Service (UTRS), being one of the oldest community-based anti-DDoS services, has become a global free collaborative service that aims at mitigating major DDoS attacks through the Border Gateway Protocol (BGP). Once the BGP session with UTRS is established, UTRS members can advertise part of the prefixes belonging to their AS to UTRS. UTRS will forward them to all other participants, who, in turn, should start blocking traffic to the advertised IP addresses. In this paper, we develop and evaluate a methodology to automatically detect UTRS participation in the wild. To this end, we deploy a measurement infrastructure and devise a methodology to detect UTRS-based traffic blocking. Using this methodology, we conducted a longitudinal analysis of UTRS participants over ten weeks. Our results show that at any point in time, there were 562 participants, including multihomed, stub, transit, and IXP ASes. Moreover, we surveyed 245 network operators to understand why they would (not) join UTRS. Results show that threat and coping appraisal significantly influence the intention to participate in UTRS.","BGP; DDoS; Internet measurements; RTBH; UTRS","en","journal article","","","","","","","","","","","Organisation & Governance","","",""
"uuid:00e08af7-bdca-4f56-8889-cfa52ee418de","http://resolver.tudelft.nl/uuid:00e08af7-bdca-4f56-8889-cfa52ee418de","Multiple phase rifting and subsequent inversion in the West Netherlands Basin: implications for geothermal reservoir characterization","Weert, Annelotte (Università degli Studi di Napoli Federico II); Ogata, Kei (Università degli Studi di Napoli Federico II); Vinci, Francesco (PanTerra Geoconsultants B.V.); Leo, Coen (Geoleo B.V. Consultancy); Bertotti, G. (TU Delft Applied Geology); Amory, Jerome (PanTerra Geoconsultants B.V.); Tavani, Stefano (Università degli Studi di Napoli Federico II; Consiglio Nazionale delle Ricerche (IGAG-CNR))","","2024","Aiming to contribute to the energy transition, this study provides an integrated picture of the geothermal system hosted in the West Netherlands Basin and shows how the reconstruction of the basin's geological history can contribute to the correct exploration and exploitation of its geothermal resources. In the West Netherlands Basin, the main geothermal targets are found in the Cretaceous and Jurassic strata that were deposited during the rifting and post-rifting stages and were deformed during the subsequent basin inversion. Despite multiple studies on the tectonic setting, the timing and tectono-stratigraphic architecture of the rift system and its overall control on the development and evolution of geothermal systems are still to be fully deciphered. In this study, a detailed seismo-stratigraphic interpretation of the syn- and post-rift intervals in the West Netherlands Basin will be given within the framework of geothermal exploration. A recently released and reprocessed 3D seismic cube is used, covering a large portion of the onshore section of the basin. We identified two major Jurassic rifting episodes and a Late Cretaceous inversion event. During the Jurassic rifting phases, the compartmentalization of the basin and the creation of accommodation space led to the deposition of the Late Jurassic Nieuwerkerk Formation, which is the main regional geothermal producing target. Within this formation, we individuate growth synclines located in the central portions of the Jurassic half-grabens as sites that show good potential for geothermal exploration.","","en","journal article","","","","","","","","","","","Applied Geology","","",""
"uuid:10f466d7-2b4a-45a5-984f-366f41028a15","http://resolver.tudelft.nl/uuid:10f466d7-2b4a-45a5-984f-366f41028a15","Rheology-based wall function approach for wall-bounded turbulent flows of Herschel–Bulkley fluids","Yusufi, B.K. (TU Delft Sanitary Engineering); Kapelan, Z. (TU Delft Sanitary Engineering); Mehta, D. (TU Delft Sanitary Engineering)","","2024","Modeling fully developed turbulent flow for Herschel–Bulkley (HB) fluids in pipes is a long-standing challenge. Existing semi-empirical, theoretical, and numerical methods are either inconsistent with experimental data or are validated for low Reynolds numbers. This study focuses on validating a novel approach using rheology-based wall functions within Reynolds-averaged Navier–Stokes solvers. Simulations of wall shear stress and velocity profiles were conducted across a wide range of Reynolds numbers using a single-phase HB fluid, with measurements taken both upstream and downstream of a 90 pipe bend. Two turbulence closure models, the k–e model and the Reynolds stress model, were employed with the wall function implemented as a specified shear boundary condition. Results demonstrate significant improvements over the Newtonian-based models, such as standard wall function by Launder–Spalding or with available semi-empirical models, achieving strong statistical correlations and minimal deviation (from the experimental findings) at high Reynolds numbers. The study also examines the utility of the wall viscosity Reynolds number and assesses the reliability of semi-empirical models for HB fluids. These findings offer valuable insights for enhancing modeling accuracy in complex fluid flow scenarios, with potential applications spanning industries like mining, chemical processing, petroleum transportation, and sanitation systems, providing practical alternatives to costly experimental procedures in pipe systems.","","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:f75249f5-4680-4546-90eb-3fdc08e4a4d6","http://resolver.tudelft.nl/uuid:f75249f5-4680-4546-90eb-3fdc08e4a4d6","Global dynamics and topology of two-phase mixing layer flow through simultaneous gas and liquid velocity measurements","Della Pia, A. (Università degli Studi di Napoli Federico II; International School for Advanced Studies); Michelis, Theodorus (TU Delft Aerodynamics); Chiatto, Matteo (Università degli Studi di Napoli Federico II); Kotsonis, M. (TU Delft Aerodynamics); De Luca, Luigi (Università degli Studi di Napoli Federico II)","","2024","This study reports the first time-resolved particle image velocimetry characterization of a planar two-phase mixing layer flow, whose velocity field is measured simultaneously in gas and liquid streams. Two parallel air and water flows meet downstream of a splitter plate, giving rise to an initially spanwise invariant configuration. The aim is to elucidate further the mechanisms leading to the flow breakup in gas-assisted atomization. The complete experimental characterization of the velocity field represents a database that could be used in data-driven reduced-order models to investigate the global behaviour of the flow system. After the analysis of a selected reference case, a parametric study of the flow behaviour is performed by varying the liquid and gas Reynolds numbers, and as a consequence also the gas-to-liquid dynamic pressure ratio , shedding light on both time-averaged (mean) and unsteady velocity fields. In the reference case, it is shown that the mean flow exhibits a wake region just downstream of the splitter plate, followed by the development of a mixing layer. By increasing both and, the streamwise extent of the wake decreases and eventually vanishes, the flow resulting in a pure mixing layer regime. The spectral analysis of the normal-to-flow velocity fluctuations outlines different flow regimes by variation of the governing parameters, giving more insights into the global characteristics of the flow field. As a major result, it is found that at high and values, the velocity fluctuations are characterized by low-frequency temporal oscillations synchronized in several locations within the flow field, which suggest the presence of a global mode of instability. The proper orthogonal decomposition of velocity fluctuations, performed in both gas and liquid phases, reveals finally that the synchronized oscillations are associated with a low-frequency dominant flapping mode of the gas-liquid interface. Higher-order modes correspond to interfacial wave structures travelling with the so-called Dimotakis velocity. For lower gas Reynolds numbers, the leading modes describe higher frequency fingers shedding at the interface.","gas/liquid flow; shear waves","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-19","","","Aerodynamics","","",""
"uuid:0b60a8c3-dcfc-4325-b3c8-03b6dea4865c","http://resolver.tudelft.nl/uuid:0b60a8c3-dcfc-4325-b3c8-03b6dea4865c","Geometric effects on impact mitigation in architected auxetic metamaterials","Gärtner, T. (TU Delft Applied Mechanics; TNO); van den Boom, S. J. (TNO); Weerheijm, J. (TU Delft Applied Mechanics); Sluys, Lambertus J. (TU Delft Applied Mechanics)","","2024","Lightweight materials used for impact mitigation must be able to resist impact and absorb the maximum amount of energy from the impactor. Auxetic materials have the potential to achieve high resistance by drawing material into the impact zone and providing higher indentation and shear resistance. However, these materials must be artificially designed, and the large deformation dynamic effects of the created structures must be taken into consideration when deciding on a protection concept. Despite their promise, little attention has been given to understanding the working mechanisms of high-rate and finite deformation effects of architected auxetic lattice structures. This study compares the static and dynamic elastic properties of different auxetic structures with a honeycomb structure, a typical non-auxetic lattice, at equivalent mass and stiffness levels. In this study, we limit the investigation to elastic material behavior and do not consider contact between the beams of the lattices. It is demonstrated that the equivalent static and dynamic properties of individual lattices at an undeformed state are insufficient to explain the variations observed in impact situations. In particular, the initial Poisson's ratio does not determine the ability of a structure to resist impact. To gain a thorough comprehension of the overall behavior of these structures during localized, high rate compression, the evolution of the elastic tangent properties under compression and shear deformation was monitored, leading to a more profound understanding. Observations made in one configuration of stiffness and mass are replicated and analyzed in related configurations.","Architected materials; Elasticity; Geometric nonlinearity; Impact mitigation; Lattice structures","en","journal article","","","","","","","","","","","Applied Mechanics","","",""
"uuid:1200e5a2-966c-44d8-ad2b-0084ac57b721","http://resolver.tudelft.nl/uuid:1200e5a2-966c-44d8-ad2b-0084ac57b721","On the Derivation of Closed-Form Expressions for Displacements, Strains, and Stresses Inside Poroelastic Reservoirs","Cornelissen, P. (TU Delft Reservoir Engineering; Wageningen University & Research); Meulenbroek, B.J. (TU Delft Mathematical Physics); Jansen, J.D. (TU Delft Reservoir Engineering)","","2024","We critically review the derivation of closed-form analytical expressions for elastic displacements, strains, and stresses inside a subsurface reservoir undergoing pore pressure changes using inclusion theory. Although developed decades ago, inclusion theory has been used recently by various authors to obtain fast estimates of depletion-induced and injection-induced fault stresses in relation to induced seismicity. We therefore briefly address the current geomechanical relevance of this method, and provide a numerical example to demonstrate its use to compute induced fault stresses. However, the main goal of our paper is to correct some erroneous assumptions that were made in earlier publications. While the final expressions for the poroelastic stresses in these publications were correct, their derivation contained conceptual mistakes due to the mathematical subtleties that arise because of singularities in the Green's functions. The aim of our paper is therefore to present the correct derivation of expressions for the strains and stresses inside an inclusion and to clarify some of the results of the aforementioned studies. Furthermore, we present two conditions that the strain field must satisfy, which can be used to verify the analytical expressions.","analytical solution; Green's function; inclusion theory; Leibniz integral rule; poroelasticity","en","journal article","","","","","","","","","","","Reservoir Engineering","","",""
"uuid:36c414f7-3be2-4dd1-8f55-ccf156ff3981","http://resolver.tudelft.nl/uuid:36c414f7-3be2-4dd1-8f55-ccf156ff3981","A simple QP modification of the OC update to permit treatment of the topology design problem of self-weight","Munro, D.P. (TU Delft Computational Design and Mechanics)","","2024","This note communicates a simple modification of the optimality criteria (OC) design update—as found in well-known Matlab implementations of the classical topology design problem—to an update based on a quadratic program (QP) with a single linear constraint. This QP update is a special case of the dual of Falk, which in general accommodates multiple constraints, as discussed in the Appendix. It is demonstrated that the topology design problem of self-weight may be treated with judicious selection of the adaptive curvature term in the QP, without resorting to more sophisticated algorithms or material interpolation schemes. Theory is recited and an accordingly modified version of the canonical Matlab implementation is provided as supplementary material.","Design-dependent loads; Duality; Matlab; Optimality criteria (OC); Quadratic program (QP); Self-weight; Sequential approximate optimization (SAO); Topology optimization","en","journal article","","","","","","","","","","","Computational Design and Mechanics","","",""
"uuid:e0e8fa53-2945-4152-b6a7-91244b228ed9","http://resolver.tudelft.nl/uuid:e0e8fa53-2945-4152-b6a7-91244b228ed9","Finite element-based framework to study the response of bituminous concrete pavements under different conditions","Kumar, Abhinav (Indian Institute of Technology Banaras Hindu University); Gupta, Ankit (Indian Institute of Technology Banaras Hindu University); Anupam, K. (TU Delft Pavement Engineering); Wagh, Vivek Pratap (Indian Institute of Technology Banaras Hindu University)","","2024","In most of developing countries across the world, pavement design is still based on an empirical approach that may result in premature failure or overdesigned pavements. A shift from an empirical to a semi-mechanistic or mechanistic approach is the need of modern time. In this regard, computational tools such as finite element (FE) are being successfully utilized to gain deeper insights because such tools have allowed researchers to study the complex behaviour of bituminous concrete (BC) materials. It is well recognized that BC material typically exhibits viscoelastic/visco-elasto-plastic behaviour depending on applied loading (including temperature) conditions. However, due to the complexity of the whole procedure yet many pavement design tools consider them as pure elastic material. The aim of this research is to develop FEM based simple and practical framework to evaluate the structural response of BC material with viscoelastic material characterization which can be an effective tool to predict field behaviour with commonly available pavement material tests. Such a framework will be helpful in analysing variations in the critical response of BC pavement with varied traffic loads and ambient temperatures. The framework provides a relatively simple procedure to obtain the viscoelastic parameters of BC mix with a creep compliance test conducted at different temperatures. It was concluded that Creep compliance data if pre-smoothened by the Power law model reduces mathematical optimization issues to some extent. Furthermore, with the obtained parameters, a 3-dimensional FE model was developed to obtain sensitivity to critical stresses, strains, and vertical deformations at desired conditions. Material characterization of unbound granular layers was evaluated through resilient modulus based on empirical relations. Analysis was carried out taking into consideration the traffic load, contact pressure, mix type, air-void, and temperature variation.","Creep compliance; Effect of air voids; Generalised Kelvin model; Indian traffic conditions; Resilient modulus","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:53eb54fe-2791-46de-8b8d-c10777e3cc27","http://resolver.tudelft.nl/uuid:53eb54fe-2791-46de-8b8d-c10777e3cc27","Assessing Angular Momentum, Kinetics, and Energetics of a Rigid Body Using a Single Inertial Measurement Unit","Zhang, Junhao (University of Twente); Muijzer, Frodo (University of Twente); Vallery, H. (TU Delft Biomechatronics & Human-Machine Control; Rheinisch-Westfälische Technische Hochschule; Erasmus MC); Veltink, Peter H. (University of Twente)","","2024","Angular momentum, kinetics, and energetics, including total mechanical energy and its rate of change in relation to power exchange, are important quantities when analyzing human motion in sports, physical labor, and rehabilitation. Inertial measurement units (IMU)-based motion capture (MOCAP) systems provide a portable solution for the ambulatory analysis of these quantities which optical MOCAP systems do not offer. Yet, evaluating IMU-based estimates of these quantities by referencing optical systems is limited by the fact that these systems only measure positions, not kinetic and energetic quantities. To evaluate the accuracy of an IMU-based method for estimating kinetic and energetic quantities without using any external reference, firstly, we propose an estimation method only using angular velocity and acceleration signals supplied by an IMU, and apply this to a single rigid body with known mass and inertia. Then, we propose a novel experimental validation method against physical conservation and action/reaction laws that apply during ballistic movements, using a suitably designed and reconfigurable rigid body with a structure of three orthogonal dumb-bells. The results demonstrated that we could estimate the angular momentum, kinetics, and energetics of a rigid body by only using angular velocity and acceleration signals of an IMU, and the estimation accuracy was well evaluated by the proposed validation method. However, the results showed that the errors in original IMU measurements under dynamic conditions especially concerning angular velocity, uncertainties in calculating rigid body parameters, and vibration propagation due to limited rigidity of tubes of the rigid body influenced the estimation accuracy.","Angular momentum; energetics; inertial measurement unit (IMU); kinetics","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-22","","","Biomechatronics & Human-Machine Control","","",""
"uuid:3b2512f5-feee-49c0-8866-9e9ecae309cf","http://resolver.tudelft.nl/uuid:3b2512f5-feee-49c0-8866-9e9ecae309cf","Improving global digital elevation models using space-borne GEDI and ICESat-2 LiDAR altimetry data","Narin, O.G. (TU Delft Optical and Laser Remote Sensing; Afyon Kocatepe University); Abdikan, Saygin (Hacettepe University); Gullu, Mevlut (Afyon Kocatepe University); Lindenbergh, R.C. (TU Delft Optical and Laser Remote Sensing); Balik Sanli, Fusun (Yildiz Technical University); Yilmaz, Ibrahim (Afyon Kocatepe University)","","2024","Open source Global Digital Elevation Models (GDEMs) serve as an important base for studies in geosciences. However, these models contain vertical errors due to various reasons. In this study, data from two Satellite LiDAR altimetry systems, GEDI and ICESat-2, were used to improve the vertical accuracy of GDEMs. Three different machine learning methods, namely an Artificial Neural Network (ANN), Extreme Gradient Boosting (XGBoost), and a Convolutional Neural Network (CNN), were employed to improve existing DEM data with satellite LiDAR data. The methodology was tested in five areas with varying characteristics. Ground control data were selected from high accuracy DEMs generated from Airborne LiDAR and GNSS data. The use of ANN method improved the vertical accuracy of SRTM data from 6.45 to 3.72 m in Test area-4. Similarly, the CNN method demonstrated an improvement in the vertical accuracy of bare ground SRTM data increasing from 3.4 to 0.6 m in Test area-4. In Test area-5, the ANN method improved the vertical accuracy of SRTM data with slopes between 30 and 60%, increasing from 3.8 to 0.5 m. Notably, the results underscore the successful improvement of GDEMs across all test areas.","GEDI; Global digital elevation models; ICESat-2; machine learning","en","journal article","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:48abb16f-c037-4048-b642-8d60e8557d9a","http://resolver.tudelft.nl/uuid:48abb16f-c037-4048-b642-8d60e8557d9a","Accumulation of defense systems in phage-resistant strains of Pseudomonas aeruginosa","Martins Costa, A.R. (TU Delft BN/Stan Brouns Lab; Kavli institute of nanoscience Delft); van den Berg, D.F. (TU Delft BN/Stan Brouns Lab; Kavli institute of nanoscience Delft); Esser, J.Q. (TU Delft BN/Stan Brouns Lab; Kavli institute of nanoscience Delft); Muralidharan, A. (TU Delft BN/Stan Brouns Lab; Kavli institute of nanoscience Delft); van den Bossche, Halewijn (Kavli institute of nanoscience Delft); Estrada Bonilla, B.A. (TU Delft BN/Stan Brouns Lab; Kavli institute of nanoscience Delft); van der Steen, B.A. (TU Delft BN/Stan Brouns Lab; Kavli institute of nanoscience Delft); Haas, Pieter Jan (University Medical Center Utrecht); Brouns, S.J.J. (TU Delft BN/Stan Brouns Lab; Kavli institute of nanoscience Delft)","","2024","Prokaryotes encode multiple distinct anti-phage defense systems in their genomes. However, the impact of carrying a multitude of defense systems on phage resistance remains unclear, especially in a clinical context. Using a collection of antibiotic-resistant clinical strains of Pseudomonas aeruginosa and a broad panel of phages, we demonstrate that defense systems contribute substantially to defining phage host range and that overall phage resistance scales with the number of defense systems in the bacterial genome. We show that many individual defense systems target specific phage genera and that defense systems with complementary phage specificities co-occur in P. aeruginosa genomes likely to provide benefits in phage-diverse environments. Overall, we show that phage-resistant phenotypes of P. aeruginosa with at least 19 phage defense systems exist in the populations of clinical, antibiotic-resistant P. aeruginosa strains.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-23","","","BN/Stan Brouns Lab","","",""
"uuid:47aa5381-a5fd-407e-9485-ded81a03427b","http://resolver.tudelft.nl/uuid:47aa5381-a5fd-407e-9485-ded81a03427b","The effect of bubble size on lock-exchange density currents through bubble screens","O'Mahoney, Tom S.D. (Deltares); Oldenziel, G. (TU Delft Fluid Mechanics; Deltares); Van Der Ven, Pepijn (Deltares)","","2024","Bubble screens are used at sea locks to mitigate salt intrusion into inland water systems. In this paper the effectiveness of a bubble screen in delaying the mixing of salt and freshwater via lock exchange was studied. Laboratory-scale experiments investigating the flow field and mixing caused by a bubble screen are presented. The tests include both the homogeneous situation of freshwater on both sides of the screen and the inhomogeneous situation where there is an initial density difference across the screen, which leads to a density current after the lock gate is removed or opened. Optical measurement techniques were applied, giving spatially detailed flow velocities and densities. The parameters varied between tests are the airflow discharge and the bubble size. The results show that the bubble size in the screen had a significant effect with a screen with bubbles of 1-2 mm being more effective at generating a surface flow in the homogeneous case but less effective at keeping the fresh and salt sides separated in the inhomogeneous case, when compared with a screen of 4-6 mm bubbles. The point of maximum effectiveness for separating salt and fresh sides was also shown to be dependent on bubble size.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-15","","","Fluid Mechanics","","",""
"uuid:1475d5d6-0e4c-4354-a05e-552cb44ffa6d","http://resolver.tudelft.nl/uuid:1475d5d6-0e4c-4354-a05e-552cb44ffa6d","Hygrothermal ageing of dry gelatine adhesive films: Microstructure-property relationships","Mosleh, Yasmine (TU Delft Bio-based Structures & Materials); van Die, M. (TU Delft Structural Integrity & Composites); Gard, W.F. (TU Delft Bio-based Structures & Materials); Breebaart, Iskander (Rijksmuseum); van de Kuilen, J.W.G. (TU Delft Bio-based Structures & Materials; Technische Universität München); Van Duin, Paul (Rijksmuseum); Poulis, J.A. (TU Delft Adhesion Institute)","","2024","Gelatine adhesives, also known as animal glues, are collagen-based water-soluble biopolymers derived from vertebrate connective tissues. One of the various fields in which gelatine adhesives are widely used is the conservation of cultural heritage such as decorated furniture and panel paintings. It is observed that, with time, the failure in these objects often occurs along the adhesive bondlines. Given the moisture and temperature sensitivity of these adhesives, obtaining knowledge of their long-term behaviour, when exposed to climate variations, is pivotal. Here, the influence of hygrothermal ageing (exposure to a combination of elevated temperature and relative humidity (RH) cycling) on the microstructure and macroscopic properties of four different types of gelatine adhesives is investigated. These adhesives were selected from different animal origins namely bovine, rabbit, and fish with different Bloom strengths. It was observed that ageing cycles interfere with the most critical structural feature of protein chains namely triple helices. A clear decay in triple helix content at the micro-scale, determined by Differential Scanning Calorimetry (DSC) and X-Ray Diffraction (XRD) techniques, was observed which had implications on the macroscopic properties of these adhesives such as reduction of strain to failure and toughness (strain energy density to failure). The rate of decay in properties was revealed to be the highest in the adhesives with the lowest triple helix content. This study provides a scientific view of microstructure-property relationships in gelatinous adhesives as a function of environmental ageing, and stipulates the underlying mechanism of the degradation of mechanical properties as the loss of structural triple helices, regardless of the animal origin.","Hygrothermal ageing; Gelatine; Adhesive; Cultural heritage; Triple helix","en","journal article","","","","","","","","","","","Bio-based Structures & Materials","","",""
"uuid:4b25ed46-f288-40b4-83ff-6a34c1141116","http://resolver.tudelft.nl/uuid:4b25ed46-f288-40b4-83ff-6a34c1141116","Technology and Meditation:: Exploring the Challenges and Benefits of a Physical Device to Support Meditation Routine","Kermavnar, T. (TU Delft Design Aesthetics); Desmet, P.M.A. (TU Delft Human-Centered Design)","","2024","Existing studies of technology supporting meditation habit formation mainly focus on mobile applications which support users via reminders. A potentially more effective source of motivation could be contextual cues provided by meaningful objects in meaningful locations. This longitudinal mixed-methods 8-week study explored the effectiveness of such an object, Prana, in supporting forming meditation habits among seven novice meditators. First, the Meditation Intentions
Questionnaire-24 and the Determinants of Meditation Practice Inventory-Revised were administered. The self-report habit index (SrHI) was administered before and after the study. Prana recorded meditation session times, while daily diaries captured subjective experiences. At the end of the study, the system usability scale, the ten-item personality inventory, and the brief self-control scale were completed, followed by individual semi-structured interviews. We expected to find an increase in meditation frequency and temporal consistency, but the results failed to confirm this. Participants meditated for between 16% and 84% of the study. The frequency decreased with time for four, decreased with subsequent increase for two, and remained stable for one of them. Daily meditation experiences were positive, and the perceived difficulty to start meditating was low. No relevant correlation was found between the perceived difficulty in starting to meditate and meditation experience overall; the latter was only weakly associated with the likelihood of meditating the next day. While meditation became more habitual for six participants, positive scores on SrHI were rare. Despite the inconclusive results, this study provides valuable insights into challenges and benefits of using a meditation device, as well as potential methodological difficulties in studying habit formation with physical devices.","meditation; habit; routine; meditation technology; meditation lamp","en","journal article","","","","","","","","","","Human-Centered Design","Design Aesthetics","","",""
"uuid:29979dc6-eee3-4c7b-905b-0dd9c0424e63","http://resolver.tudelft.nl/uuid:29979dc6-eee3-4c7b-905b-0dd9c0424e63","Sensitivities of atmospheric composition and climate to altitude and latitude of hypersonic aircraft emissions","Pletzer, Johannes (TU Delft Aircraft Noise and Climate Effects; German Aerospace Center (DLR), Institute of Atmospheric Physics); Grewe, V. (TU Delft Aircraft Noise and Climate Effects)","","2024","Hydrogen-powered hypersonic aircraft are designed to travel in the middle stratosphere at approximately 30–40 km. These aircraft can have a considerable impact on climate-relevant species like stratospheric water vapor, ozone, and methane and thus would contribute to climate warming. The impact of hypersonic aircraft emissions on atmospheric composition and, in turn, on radiation fluxes differs strongly depending on cruise altitude. However, in contrast to variations in the altitude of emission, differences from variations in the latitude of emission are currently unknown. Using an atmospheric chemistry general circulation model, we show that a variation in the latitude of emission can have a larger effect on perturbations and stratospheric-adjusted radiative forcing than a variation in the altitude of emission. Our results include the individual impacts of water vapor and nitrogen oxide emissions, as well as unburned hydrogen, on middle-atmospheric water vapor, ozone, and methane and the resulting radiative forcing. Water vapor perturbation lifetime continues the known tropospheric increase with altitude and reaches almost 6 years in the middle stratosphere. Our results demonstrate how atmospheric composition changes caused by emissions of hypersonic aircraft are controlled by large-scale processes like the Brewer–Dobson circulation and, depending on the latitude of emission, local phenomena like polar stratospheric clouds.
The analysis includes a model evaluation of ozone and water vapor with satellite data and a novel approach to reduce simulated years by one-third. A prospect for future hypersonic research is the analysis of seasonal sensitivities and simulations with emissions from combustion of liquefied natural gas instead of liquid hydrogen.","","en","journal article","","","","","","","","","","","Aircraft Noise and Climate Effects","","",""
"uuid:fa63b1ff-5604-4826-adfe-3a4624dad27e","http://resolver.tudelft.nl/uuid:fa63b1ff-5604-4826-adfe-3a4624dad27e","Designing for a Flow: Navigating Temporalities in Housing Considerations in Low-Income and Hazard-Prone Caribbean Contexts","Kuś, A.M. (TU Delft Architectural Technology; Royal Netherlands Institute of Southeast Asian and Caribbean Studies); Mota, Nelson (TU Delft Space & Type); van Bueren, Ellen (TU Delft Management in the Built Environment); Carmona Báez, Antonio (University of St. Martin); Asselbergs, M.F. (TU Delft Architectural Technology)","","2024","The urgency of addressing housing challenges in low-income areas is increasing due to widening socio-economic inequalities and the worsening impact of natural disasters. Saint Martin, a small Caribbean island, is struggling to provide affordable housing amidst hurricanes, floods, and heat waves. As a result, there has been a rise in self-organized housing units, which are built incrementally and are susceptible to risks. The main challenge is to balance durability, functionality, and esthetic appeal over time. Inspired by St. Martin’s self-organized units, this article explores housing considerations in low-income, hazard-prone contexts by emphasizing their temporalities. Integrating insights from a formative study, including a literature review and ethnographic research, the paper draws on Stewart Brand’s “Layers of Change” and the concept of “Flow”. The study identifies layers within self-organized units corresponding to durability, functionality, and esthetic appeal. It delves into their connection with building activities over time, unveiling the temporalities of housing considerations. This exploration leads to the proposition of “Designing for a Flow” as a novel design approach. Offering practical insights within a concise framework, the study provides nuanced perspectives on mitigating housing challenges in low-income and hazard-prone contexts.","incremental housing; low-income housing; resilient design; climate change; extreme weather; layers of change; Stewart Brand; sustainability","en","journal article","","","","","","","","","","Management in the Built Environment","Architectural Technology","","",""
"uuid:8d8696e8-5213-469e-9ddc-2197a318a060","http://resolver.tudelft.nl/uuid:8d8696e8-5213-469e-9ddc-2197a318a060","River plastic transport affected by tidal dynamics","Schreyers, Louise J. (Wageningen University & Research); Van Emmerik, Tim H.M. (Wageningen University & Research); Bui, Thanh-Khiet L. (Vietnam National University); van Thi, Khoa L. (Wageningen University & Research; Hanoi University of Natural Resources and Environment, Hanoi); Vermeulen, Bart (Wageningen University & Research); Nguyen, Hong-Q. (Vietnam National University); Wallerstein, Nicholas (Wageningen University & Research); Uijlenhoet, R. (TU Delft Water Resources; Wageningen University & Research); van der Ploeg, Martine (Wageningen University & Research)","","2024","Plastic is an emerging pollutant, and the quantities in rivers and oceans are expected to increase. Rivers are assumed to transport land-based plastic into the ocean, and the fluvial and marine transport processes have been relatively well studied to date. However, the processes controlling the transport in tidal rivers and estuaries, the interface between fluvial and marine systems, remain largely unresolved. For this reason, current estimates of riverine plastic pollution and export into the ocean remain highly uncertain. Hydrodynamics in tidal rivers and estuaries are influenced by tides and freshwater discharge. As a consequence, flow velocity direction and magnitude can change diurnally. In turn, this impacts the transport dynamics of solutes and pollutants, including plastics. Plastic transport dynamics in tidal rivers and estuaries remain understudied, yet the available observations suggest that plastics can be retained here for long time periods, especially during periods of low net discharge. Additional factors such as riparian vegetation and riverbank characteristics, in combination with bi-directional flows and varying water levels, can lead to an even higher likelihood of long-term retention. Here, we provide a first observation-based estimate of net plastic transport on a daily timescale in tidal rivers. For this purpose, we developed a simple Eulerian approach using sub-hourly observations of plastic transport and discharge during full tidal cycles. We applied our method to the highly polluted Saigon River, Vietnam, throughout six full tidal cycles in May 2022. We show that the net plastic transport is about 20%-33% of the total plastic transport. We found that plastic transport and river discharge are positively and significantly correlated (Pearson's R2Combining double low line0.76). The net transport of plastic is higher than the net discharge (20%-33% and 16%, respectively), suggesting that plastic transport is governed by factors other than water flow. Such factors include wind, varying plastic concentrations in the water, and entrapment of plastics downstream of the measurement site. The plastic net transport rates alternate between positive (seaward) net transport and negative (landward) net transport as a result of the diurnal inequality in the tidal cycles. We found that soft and neutrally buoyant items had considerably lower net transport rates than rigid and highly buoyant items (10%-16% vs. 30%-38%), suggesting that transport dynamics strongly depend on item characteristics. Our results demonstrate the crucial role of tidal dynamics and bi-directional flows in plastic transport dynamics. With this paper we emphasize the importance of understanding fundamental transport dynamics in tidal rivers and estuaries to ultimately reduce the uncertainties of plastic emission estimates into the ocean.","","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:1e25451e-f642-481e-9e1b-e293e969ff0b","http://resolver.tudelft.nl/uuid:1e25451e-f642-481e-9e1b-e293e969ff0b","Nanostructure and damage characterisation of bitumen under a low cycle strain-controlled fatigue load based on molecular simulations and rheological measurements","Gao, Y. (Liverpool John Moores University); Liu, X. (TU Delft Pavement Engineering); Ren, S. (TU Delft Pavement Engineering); Assaf Martinez-Streignard, E.I. (TU Delft Pavement Engineering); Liu, Pengfei (Rheinisch-Westfälische Technische Hochschule); Zhang, Yuqing (Southeast University)","","2024","Bitumen fatigue resistance is critical to determine the overall fatigue performance and service life of asphalt pavements. However, the mechanisms responsible for fatigue damage of bitumen have previously not been well understood. Molecular dynamics (MD) simulation has recently emerged as a powerful computer-aided numerical technique to model the microscopic failure behaviours in materials. This study aims to use the MD method to investigate the molecular origin of bitumen fatigue damage. The molecular models of the virgin and aged PEN70/100 bitumen were firstly constructed based on their saturate, aromatic, resin and asphaltene (SARA) four fractions. An MD equilibrium was run on the developed bitumen models with the assigned interatomic potentials. Following an MD-based tensile simulation, a strain-controlled fatigue simulation was performed to study the nanostructure and damage behaviours of the virgin and aged bitumen under fatigue loading by calculating the stress-strain response, potential energy, molecular structure and nanovoid volumes. Furthermore, a rheometer measurement was also conducted to characterise the fatigue damage of the bitumen directly by a crack length at the macroscale. Results indicate that the bitumen molecules become unfolded and tend to align along the loading direction when fatigue loading was applied. The change in the molecular configuration helped the molecular chains move closer together and thus contributed to the reduction of the intermolecular interactions including the van der Waals and Coulombic energies. With the increasing load cycles, nanovoids were formed and grew in the bitumen through molecular rearrangement and movement, leading to microscopic fatigue damage of the bitumen. It was found that the aged bitumen produced more severe fatigue damage than the virgin bitumen, which was indicated by the MD-based nanovoid volume at the molecular scale and the DSR-based crack length at the macroscale. The findings from MD simulation provide a fundamental understanding of the molecular origin of fatigue damage, that cannot be experimentally detected for bitumen materials.","Bitumen; Fatigue damage; Nanostructure; MD simulation; Rheometer measurement","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:175cda3c-20cf-49ad-a335-323b5737d290","http://resolver.tudelft.nl/uuid:175cda3c-20cf-49ad-a335-323b5737d290","Profiling university students based on their acoustical and psychosocial preferences and characteristics of their home study places","Hamida, A.B. (TU Delft Environmental & Climate Design); Eijkelenboom, A.M. (TU Delft Environmental & Climate Design); Bluyssen, P.M. (TU Delft Environmental & Climate Design)","","2024","Understanding students' preferences of their study place, in particular acoustical and psychosocial preferences, is important to students' health and comfort. This study aimed to identify clusters of students with similar acoustical and psychosocial preferences, and to identify reasons for certain preferences of students in each cluster. A mixed-methods approach was applied, consisting of a questionnaire, which was completed by 451 bachelor students, and a field study conducted with 23 students from the same sample. The questionnaire data included among others acoustical and psychosocial preferences scores, while the field study data comprised interview transcripts, building checklists, and sound pressure level measurements. The questionnaire data were analysed using TwoStep cluster analysis to identify clusters of students based on their acoustical and psychosocial preferences. This produced five clusters of students that significantly differed in 14 variables, including preferences and perception of indoor environmental quality (e.g., noise from outside). Then, the field study data were analysed and categorised based on the five clusters of the students. The outcome explained the aspects associated with the acoustical preferences of students in each cluster. Building-related indicators such as the location of the building were found as an aspect that could affect the student's acoustical preferences. This study provides insight into the profiles of students based on their acoustical and psychosocial preferences, which are important for their health and comfort at their study places.","Acoustical preferences; TwoStep cluster analysis; Study places; Mixed methods; University students","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:936001c1-8cbd-4149-a4e3-41a177849046","http://resolver.tudelft.nl/uuid:936001c1-8cbd-4149-a4e3-41a177849046","The climatological renewable energy deviation index (credi)","Stoop, Laurens P. (Universiteit Utrecht; TenneT TSO B.V.); Van Der Wiel, Karin (Royal Netherlands Meteorological Institute (KNMI)); Zappa, William (TenneT TSO B.V.); Haverkamp, Arno (TenneT TSO B.V.); Feelders, Ad J. (Universiteit Utrecht); van den Broek, M.A. (TU Delft Energie and Industrie)","","2024","We propose an index to quantify and analyse the impact of climatological variability on the energy system at different timescales. We define the climatological renewable energy deviation index (credi) as the cumulative anomaly of a renewable resource with respect to its climate over a specific time period of interest. For this we introduce the smooth, yet physical, hourly rolling window climatology that captures the expected hourly to yearly behaviour of renewable resources. We analyse the presented index at decadal, annual and (sub-)seasonal timescales for a sample region and discuss scientific and practical implications. credi is meant as an analytical tool for researchers and stakeholders to help them quantify, understand, and explain, the impact of energy-meteorological variability on future energy system. Improved understanding translates to better assessments of how renewable resources, and the associated risks for energy security, may fare in current and future climatological settings. The practical use of the index is in resource planning. For example transmission system operators may be able to adjust short-term planning to reduce adequacy issues before they occur or combine the index with storyline event selection for improved assessments of climate change related risks.","","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:86c90010-0373-436b-b63d-643279511778","http://resolver.tudelft.nl/uuid:86c90010-0373-436b-b63d-643279511778","Combining a daily temperature pattern analysis and a heat-pulse system to estimate sediment depths in sewer systems","Regueiro-Picallo, Manuel (Universidade da Coruña); Langeveld, J.G. (TU Delft Sanitary Engineering); Wei, Haoyu (Luleå University of Technology); Bertrand-Krajewski, Jean Luc (Université de Lyon); Rieckermann, Jörg (Swiss Federal Institute of Aquatic Science and Technology)","","2024","Sediments in urban drainage systems (UDS) significantly impact their operation, so effective strategies are required to reduce their negative effects. Monitoring sediment accumulation provides valuable insights into sediment characteristics, sediment transport dynamics, and system performance. However, the effectiveness of monitoring systems is limited due to cost constraints and installation challenges. This study describes the development and application of a new system based on temperature dynamics to measure sediment depths in sewer systems. The methodology involves the analysis of temperature time series under dry weather flow conditions to identify harmonic patterns between wastewater and sediment-bed temperatures. These patterns are increasingly attenuated by increasing sediment depth. This study combines a system called MONitoring Temperatures in SEdiments (MONTSE), which integrates a dual-probe heat-pulse (DPHP) method to characterize sediment thermal properties, and a surrogate model, which includes temperature pattern analysis, to estimate sediment depths. Likewise, laboratory-scale experiments were performed to validate the temperature monitoring system and the surrogate model performance. The maximum absolute errors in measured sediment depths were less than 22 mm, and the uncertainty of the system was estimated at ±7.3 mm. Groundbreaking measurements of thermal properties of UDS sediments were also reported. Reliable information on sediment depths and properties was provided, so the system could significantly optimize sewer system operation and cleaning strategies.","","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:4161e45d-ecf4-4ff7-a56e-3e54874e57e8","http://resolver.tudelft.nl/uuid:4161e45d-ecf4-4ff7-a56e-3e54874e57e8","Studying the impact of lighting on the pedestrian route choice using Virtual Reality","van Beek, A.H.N. (TU Delft Transport and Planning); Feng, Y. (TU Delft Transport and Planning); Duives, D.C. (TU Delft Transport and Planning); Hoogendoorn, S.P. (TU Delft Transport and Planning)","","2024","Efficient crowd management is essential for optimizing the performance of pedestrian infrastructures, either in terms of crowd flow or pedestrian levels of safety and comfort. This study investigates the impact of one type of crowd management measure, namely lighting, on pedestrian behavior. Using Virtual Reality experiments, the impact of lighting, both the brightness level and the light color, on pedestrian route choice is studied. A virtual maze was designed, featuring 10 T-intersections, where the light conditions are varied at each T-intersection to study its impact on pedestrian route choice. Our study shows that pedestrian route choice is strongly influenced by the light color in a virtual environment. Pedestrians prefer to follow paths with green-colored lights and avoid paths with red-colored lights, irrespective of the light color on the other path. Moreover, pedestrians slightly prefer to use the path with a higher brightness level. Lastly, the results indicate that pedestrians do have a slight right-handed tendency on average, however, this effect cancels out almost completely when other guidance information is present in the scenario. Altogether, the findings suggest that lighting can impact pedestrian route choice behavior.
s) fitting results and rainfall characteristics of various events series length. Data from a full-scale grassed swale (Enschede, the Netherlands) were utilized as long-term rainfall event series length (95 rainfall events) on the fitting outcomes. Short-term rainfall event series were extracted from these long-term series and used as input in fitting into a multivariate nonlinear model between Ks and its influencing rainfall indicators (antecedent dry days, temperature, rainfall, rainfall duration, total rainfall, and seasonal factor (spring, summer, autumn, and winter, herein refer as 1, 2, 3, and 4). Comparison of short-term and long-term rainfall event series fitting results allowed to obtain a representative short-term series that leads to similar results with those using long-term series. A cluster analysis was conducted based on the fitting results of the representative rainfall event series with their rainfall event characteristics using average values of influencing rainfall indicators. The seasonal index (average value of seasonal factors) was found to be the most representative short rainfall event series indicator. Furthermore, a Bayesian network was proposed in the current study to predict if a given short-term rainfall event series is representative. It was validated by a data series (58 rainfall events) from another full-scale grassed swale located in Utrecht, the Netherlands. Results revealed that it is quite promising and useful to evaluate the representativeness of short-term rainfall event series used for long-term hydrological performance evaluation of grassed swales. Graphical Abstract: (Figure presented.).","Bayesian network; Grassed swale; Long-term hydrological performance; Rainfall event series; Representativeness; Saturated hydraulic conductivity","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-23","","","Hydraulic Structures and Flood Risk","","",""
"uuid:d38dab00-d96b-4657-8beb-ebb446649e68","http://resolver.tudelft.nl/uuid:d38dab00-d96b-4657-8beb-ebb446649e68","Measuring residual stresses in individual on-chip interconnects using synchrotron nanodiffraction","Zhang, Y. (TU Delft Electronic Components, Technology and Materials); Du, L. (TU Delft Electronic Components, Technology and Materials); Bäcke, Olof (Chalmers University of Technology); Kalbfleisch, Sebastian (MAX IV Laboratory); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); Hörnqvist Colliander, Magnus (Chalmers University of Technology)","","2024","As the dimensions of interconnects in integrated circuits continue to shrink, an urgent need arises to understand the physical mechanism associated with electromigration. Using x-ray nanodiffraction, we analyzed the stresses in Blech-structured pure Cu lines subjected to different electromigration conditions. The results suggest that the measured residual stresses in the early stages of electromigration are related to relaxation of stresses caused by thermal expansion mismatch, while a developing current-induced stress leads to reductions in the residual stress after longer test times. These findings not only validate the feasibility of measuring stress in copper lines using nanodiffraction but also highlight the need for a further understanding, particularly through in situ electromigration experiments with x-ray nanodiffraction analysis.","","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:1f92feaf-60a1-4ac3-ad12-9cfc761303eb","http://resolver.tudelft.nl/uuid:1f92feaf-60a1-4ac3-ad12-9cfc761303eb","How aromatic dissolved organic matter differs in competitiveness against organic micropollutant adsorption","Wang, Q. (TU Delft Computational Design and Mechanics; Chinese Academy of Sciences); Lechtenfeld, Oliver J. (Helmholtz Centre for Environmental Research - UFZ); Rietveld, L.C. (TU Delft Sanitary Engineering); Schuster, Jonas (Hamburg University of Technology); Ernst, Mathias (Hamburg University of Technology); Hofman-Caris, Roberta (KWR Water Research Institute; Wageningen University & Research); Kaesler, Jan (Helmholtz Centre for Environmental Research - UFZ); Wang, Chunmiao (Chinese Academy of Sciences); Yang, Min (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Yu, Jianwei (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Zietzschmann, F.E. (TU Delft Sanitary Engineering; Berliner Wasserbetriebe)","","2024","Activated carbon is employed for the adsorption of organic micropollutants (OMPs) from water, typically present in concentrations ranging from ng L−1 to μg L−1. However, the efficacy of OMP removal is considerably deteriorated due to competitive adsorption from background dissolved organic matter (DOM), present at substantially higher concentrations in mg L−1. Interpreting the characteristics of competitive DOM is crucial in predicting OMP adsorption efficiencies across diverse natural waters. Molecular weight (MW), aromaticity, and polarity influence DOM competitiveness. Although the aromaticity-related metrics, such as UV254, of low MW DOM were proposed to correlate with DOM competitiveness, the method suffers from limitations in understanding the interplay of polarity and aromaticity in determining DOM competitiveness. Here, we elucidate the intricate influence of aromaticity and polarity in low MW DOM competition, spanning from a fraction level to a compound level, by employing direct sample injection liquid chromatography coupled with ultrahigh-resolution Fourier-transform ion cyclotron resonance mass spectrometry. Anion exchange resin pre-treatment eliminated 93% of UV254-active DOM, predominantly aromatic and polar DOM, and only minimally alleviated DOM competition. Molecular characterization revealed that nonpolar molecular formulas (constituting 26% PAC-adsorbable DOM) with medium aromaticity contributed more to the DOM competitiveness. Isomer-level analysis indicated that the competitiveness of highly aromatic LMW DOM compounds was strongly counterbalanced by increased polarity. Strong aromaticity-derived π-π interaction cannot facilitate the competitive adsorption of hydrophilic DOM compounds. Our results underscore the constraints of depending solely on aromaticity-based approaches as the exclusive interpretive measure for DOM competitiveness. In a broader context, this study demonstrates an effect-oriented DOM analysis, elucidating counterbalancing interactions of DOM molecular properties from fraction to compound level.","Activated carbon; Dissolved organic matter (DOM); Fourier-transform ion cyclotron resonance mass spectrometry (FT-ICR-MS); Multi-component adsorption; Organic micropollutants","en","journal article","","","","","","","","","","","Computational Design and Mechanics","","",""
"uuid:fac1766e-f6ef-43fb-a944-0f61f1b79cef","http://resolver.tudelft.nl/uuid:fac1766e-f6ef-43fb-a944-0f61f1b79cef","Damage Detection of Gantry Crane with a Moving Mass Using Artificial Neural Network","Safaei, Mohammad (University of Tabriz); Hejazian, Mahsa (University of Tabriz); Pedrammehr, Siamak (Tabriz Islamic Art University); Pakzad, Sajjad (Tabriz Islamic Art University); Ettefagh, Mir Mohammad (University of Tabriz); Fotouhi, M. (TU Delft Materials and Environment)","","2024","Gantry cranes play a pivotal role in various industrial applications, and their reliable operation is paramount. While routine inspections are standard practice, certain defects, particularly in less accessible components, remain challenging to detect early. In this study, first a finite element model is presented, and the damage is introduced using random changes in the stiffness of different parts of the structure. Contrary to the assumption of inherent reliability, undetected defects in crucial structural elements can lead to catastrophic failures. Then, the vibration equations of healthy and damaged models are analyzed to find the displacement, velocity, and acceleration of the different crane parts. The learning vector quantization neural network is used to train and detect the defects. The output is the location of the damage and the damage severity. Noisy data are then used to evaluate the network performance robustness. This research also addresses the limitations of traditional inspection methods, providing early detection and classification of defects in gantry cranes. The study’s relevance lies in the need for a comprehensive and efficient damage detection method, especially for components not easily accessible during routine inspections.","gantry crane; structural damage detection; finite element model; artificial neural network; learning vector quantization (LVQ)","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:63de6423-3d20-4816-9309-b0da1681af1e","http://resolver.tudelft.nl/uuid:63de6423-3d20-4816-9309-b0da1681af1e","The Development of a New Smart Evacuation Modeling Technique for Underground Mines Using Mathematical Programming","Meij, Richard (Student TU Delft); Soleymani Shishvan, M. (TU Delft Resource Engineering); Sattarvand, Javad (University of Nevada)","","2024","Navigating miners during an evacuation using smart evacuation technology can significantly decrease the evacuation time of an underground mine in case of emergency hazards. This paper presents a mathematical programming model to calculate the most efficient escape path for miners as a critical component of smart evacuation technology. In this model, the total evacuation distance of the crew is minimized and scenarios with blocked pathways and stamina categories for the miners are simulated. The findings revealed that all the tested scenarios were technically feasible. Using the feature that filters out blocked pathways has no downsides as safer routes are calculated and there is no penalty in the computation time. This paper also discusses the social and technical issues that must be resolved before the algorithm can be implemented as an actual escape solution.","smart evacuation; mathematical programming; hazards; underground mines","en","journal article","","","","","","","","","","","Resource Engineering","","",""
"uuid:ddb1a8c0-1d74-4815-b66f-7685d1cbde44","http://resolver.tudelft.nl/uuid:ddb1a8c0-1d74-4815-b66f-7685d1cbde44","A study of stone arch bridge’s flood reliability through a surrogate model approach","Baron, Edward A. (Universidade de Coimbra); Mendoza Cabanzo, Carlos (Universidade de Coimbra); Bento, Ana Margarida (Universidade do Porto; Centro Interdisciplinar de Investigação Marinha e Ambiental); Matos, Jose C. (Universidade de Coimbra); Calçada, Rui (Universidade do Porto); Gavin, Kenneth (TU Delft Geo-engineering)","","2024","Europe’s historic masonry arch bridges are culturally and economically significant, but their long-term safety must be ensured. Scour effects are the most common cause of collapse, so it is necessary to carry out structural assessments to mitigate the risk and prevent potential failures. In this study, a metamodel-based method was used to determine the probability of failure of an existing stone arch bridge in Portugal due to local and contraction scour on the abutments. Non-linear finite element analysis supported the calculation of the reliability index, which took into account the soil-structure interaction and the failure mechanism. The variables with the greatest influence on the load-carrying capacity of the structure were identified and a surrogate model was implemented. Fragility curves were then derived based on the surrogate model, using scour depth as a measure of intensity and load factor as an engineering requirement parameter. The results of the study indicate that the load capacity of the numerical model is compromised when the scour depth of 1.5 m reaches the base of the foundation. As a result, stability problems and settlements are observed in the model. At a depth of 2.5 m, the soil reaches its ultimate bearing capacity.","Bridge assessment; flood hazard; fragility curves; non-linear structural analysis; scour; soil-structure interaction; surrogate models; uncertainties","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-26","","","Geo-engineering","","",""
"uuid:e9f92bbb-0fbd-409f-be4f-86ad1b78f9cd","http://resolver.tudelft.nl/uuid:e9f92bbb-0fbd-409f-be4f-86ad1b78f9cd","Using problem-based exploratory training to improve pilot understanding of autopilot functions","van Leeuwen, J.K. (TU Delft Control & Simulation); Landman, H.M. (TU Delft BUS/TNO STAFF; TU Delft Control & Simulation); Groen, Eric L. (DIANA FEA); Mumaw, Randall J. (San José State University); Stroosma, O. (TU Delft Control & Simulation); van Paassen, M.M. (TU Delft Control & Simulation); Mulder, Max (TU Delft Control & Simulation)","","2024","Previous research indicated a need to improve pilot training with regard to understanding of autopilot logic and behavior, especially in non-routine situations. Therefore, we tested the effect of problem-based exploratory training on pilots’ understanding of autopilot functions. Using a moving-base flight simulator, general aviation pilots (n = 45) were trained to diagnose failures either without foreknowledge and guidance (exploratory group), without foreknowledge but with some guidance (exploratory-guidance group) or with foreknowledge and full guidance (control group). They subsequently performed six test scenarios in which their understanding of the effects of failures was tested by requiring them to deduce the failures and select autopilot modes that were still functioning. Those who received exploratory training with guidance were significantly more likely than the other groups to diagnose failures correctly. The exploratory training group also selected the most appropriate functioning autopilot modes significantly faster than the control group. The results suggest that exploratory training with an appropriate level of guidance is useful for gaining a practical understanding of autopilot logic and behavior. Exploratory training may help to improve transfer of training to operational practice, and prevent automation surprises and accidents.","Automation surprise; Human–automation interaction; Simulator training; Situation awareness; Transfer of training","en","journal article","","","","","","","","","","","Control & Simulation","","",""
"uuid:68dd0f90-d594-4239-b18d-43e3bf48cbde","http://resolver.tudelft.nl/uuid:68dd0f90-d594-4239-b18d-43e3bf48cbde","Sea-level rise induced change in exposure of low-lying coastal land: implications for coastal conservation strategies","Thiéblemont, Rémi (Bureau de Recherches Géologiques et Minières (BRGM)); le Cozannet, Gonéri (Bureau de Recherches Géologiques et Minières (BRGM)); Rohmer, Jérémy (Bureau de Recherches Géologiques et Minières (BRGM)); Privat, Adrien (Conservatoire du littoral); Guidez, Romain (Bureau de Recherches Géologiques et Minières (BRGM)); Negulescu, Caterina (Bureau de Recherches Géologiques et Minières (BRGM)); Philippenko, Xénia (Bureau de Recherches Géologiques et Minières (BRGM)); Luijendijk, Arjen (TU Delft Coastal Engineering; Deltares); Calkoen, F.R. (TU Delft Coastal Engineering; Deltares); Nicholls, Robert J. (University of East Anglia)","","2024","Coastal erosion and flooding are projected to increase during the 21st century due to sea-level rise (SLR). To prevent adverse impacts of unmanaged coastal development, national organizations can apply a land protection policy, which consists of acquiring coastal land to avoid further development. Yet, these reserved areas remain exposed to flooding and erosion enhanced by SLR. Here, we quantify the exposure of the coastal land heritage portfolio of the French Conservatoire du littoral (Cdl). We find that 30% (~40%) of the Cdl lands owned (projected to be owned) are located below the contemporary highest tide level. Nearly 10% additional surface exposure is projected by 2100 under the high greenhouse gas emissions scenario (SSP5-8.5) and 2150 for the moderate scenario (SSP2-4.5). The increase in exposure is largest along the West Mediterranean coast of France. We also find that Cdl land exposure increases more rapidly for SLR in the range of 0–1 m than for SLR in the range 2–4 m. Thus, near-future uncertainty on SLR has the largest impact on Cdl land exposure evolution and related land acquisition planning. Concerning erosion, we find that nearly 1% of Cdl land could be lost in 2100 if observed historical trends continue. Adding the SLR effect could lead to more than 3% land loss. Our study confirms previous findings that Cdl needs to consider land losses due to SLR in its land acquisition strategy and start acquiring land farther from the coast.","Climate change; Coastal conservation agency; Coastal hazards; Sea-level rise; Shoreline","en","journal article","","","","","","","","","","","Coastal Engineering","","",""
"uuid:019b6af3-3429-4c5a-97ce-b08665c4ac56","http://resolver.tudelft.nl/uuid:019b6af3-3429-4c5a-97ce-b08665c4ac56","Water-assisted generation of catalytic interface: The case of interfacial Pt-FeOx(OH)y sites active in preferential carbon monoxide oxidation","Sadykov, Ilia I. (Paul Scherrer Institut; ETH Zürich); Palagin, D. (TU Delft Numerical Analysis); Krumeich, Frank (ETH Zürich); Plokhikh, Igor V. (Paul Scherrer Institut); van Bokhoven, Jeroen A. (Paul Scherrer Institut; ETH Zürich); Nachtegaal, Maarten (Paul Scherrer Institut); Safonova, Olga V. (Paul Scherrer Institut)","","2024","The surface of supported heterogeneous catalysts often contains adsorbed water and hydroxyl groups even when water is not directly added to the reaction stream. Nonetheless, the reactivity of adsorbed water and hydroxyl groups is rarely considered. We demonstrate that water and hydroxyl groups can not only directly participate in the catalytic oxidation processes but are also able to generate and stabilize the catalytically active metal-oxide interface. We show that the reduction of Pt-Fe-supported catalysts with hydrogen in the presence of adsorbed water or steam allows for achieving one of the highest preferential carbon monoxide oxidation activities at ambient temperature. These conditions create active iron-associated hydroxyl groups next to platinum nanoparticles with enhanced reactivity towards carbon monoxide oxidation. Density functional theory calculations suggest that hydroxylation of oxidic iron species stabilizes the FeOx(OH)y/Pt interface, via strong metal-support interaction, which is confirmed by chemisorption measurements. Kinetic experiments, including those with 18O-labeled water, in combination with operando infrared spectroscopy, show that water and hydroxyl groups directly participate in preferential carbon monoxide oxidation. A quantitative correlation between the catalytic activity of Pt-FeOx(OH)y/γ-Al2O3 catalysts and the Fe2+ concentration, obtained using operando X-ray absorption spectroscopy, shows that the number of active Fe2+ sites and the carbon monoxide oxidation rate per active site can be significantly increased by water-assisted pretreatment with hydrogen. This work provides a new example of positive role of strong metal-support interaction for the design of more active catalysts.","Catalytic interface; Infrared spectroscopy; Operando; Preferential CO oxidation; Pt-Fe; Role of water; Structure-activity relationship; X-ray absorption spectroscopy","en","journal article","","","","","","","","","","","Numerical Analysis","","",""
"uuid:13c09c8b-40b2-4f3e-9f26-5c47ca308010","http://resolver.tudelft.nl/uuid:13c09c8b-40b2-4f3e-9f26-5c47ca308010","Asymmetric α-benzylation of cyclic ketones enabled by concurrent chemical aldol condensation and biocatalytic reduction","Liu, Yunting (Hebei University of Technology); Ma, Teng (Hebei University of Technology); Guo, Zhongxu (Hebei University of Technology); Zhou, Liya (Hebei University of Technology); Liu, Guanhua (Hebei University of Technology); He, Ying (Hebei University of Technology); Ma, Li (Hebei University of Technology); Gao, Jing (Hebei University of Technology); Hollmann, F. (TU Delft BT/Biocatalysis)","","2024","Chemoenzymatic cascade catalysis has emerged as a revolutionary tool for streamlining traditional retrosynthetic disconnections, creating new possibilities for the asymmetric synthesis of valuable chiral compounds. Here we construct a one-pot concurrent chemoenzymatic cascade by integrating organobismuth-catalyzed aldol condensation with ene-reductase (ER)-catalyzed enantioselective reduction, enabling the formal asymmetric α-benzylation of cyclic ketones. To achieve this, we develop a pair of enantiocomplementary ERs capable of reducing α-arylidene cyclic ketones, lactams, and lactones. Our engineered mutants exhibit significantly higher activity, up to 37-fold, and broader substrate specificity compared to the parent enzyme. The key to success is due to the well-tuned hydride attack distance/angle and, more importantly, to the synergistic proton-delivery triade of Tyr28-Tyr69-Tyr169. Molecular docking and density functional theory (DFT) studies provide important insights into the bioreduction mechanisms. Furthermore, we demonstrate the synthetic utility of the best mutants in the asymmetric synthesis of several key chiral synthons.","","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:9821dbfc-62e6-4e64-bc9c-4348b08c43d2","http://resolver.tudelft.nl/uuid:9821dbfc-62e6-4e64-bc9c-4348b08c43d2","Design and modelling of a reversible shape memory alloy torsion hinge actuator","Liu, Q. (TU Delft Emerging Materials); Ghodrat, S. (TU Delft Emerging Materials); Jansen, K.M.B. (TU Delft Emerging Materials)","","2024","Conventional hinge actuators often face limitations including excessive weight, large size and unpleasant noise. Shape memory alloys (SMAs) offer a solution to address these issues due to their favorable characteristics, such as lightweight, high actuation force and small form factor. However, most existing SMA-based hinge actuators rely on the tension loading mode. Achieving an ideal actuation angle thereby necessitates the inclusion of long SMA wires, which inadvertently constrains the actuator size. Notably, the full potential of SMAs’ deformation capacities, encompassing torsion and bending, remains largely untapped and underutilized. In this research, a reversible torsion SMA hinge actuator is studied, which can reversibly open 60° during heating and cooling. The actuator weighs 2 g, and can produce actuation forces of up to 5 N. The mechanical performances of nitinol at different temperatures are measured. Based on the measurements, a model which can predict the opening and closing angle is proposed, with deviations of 13.5 ± 8.2 %. Gripper and butterfly demonstrators constructed by the hinge actuators are given as application examples. The actuators hold potential in many fields like soft robotics, aerospace and medical instruments.","Nitinol; Phenomenological model; Reversible hinge actuator; Shape memory alloy; Torsion deformation","en","journal article","","","","","","","","","","","Emerging Materials","","",""
"uuid:34f89481-a1ba-46b5-bbf5-09956c0aa540","http://resolver.tudelft.nl/uuid:34f89481-a1ba-46b5-bbf5-09956c0aa540","Automatic enhancement of vascular configuration for self-healing concrete through reinforcement learning approach","Wan, Z. (TU Delft Materials and Environment); Xu, Y. (TU Delft Materials and Environment); Chang, Z. (TU Delft Materials and Environment; Eindhoven University of Technology); Liang, M. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment)","","2024","Vascular self-healing concrete (SHC) has great potential to mitigate the environmental impact of the construction industry by increasing the durability of structures. Designing concrete with high initial mechanical properties by searching a specific arrangement of vascular structure is of great importance. Herein, an automatic optimization method is proposed to arrange vascular configuration for minimizing the adverse influence of vascular system through a reinforcement learning (RL) approach. A case study is carried out to optimize a concrete beam with 3 pores (representing a vascular network) positioned in the beam midspan within a design space of 40 possibilities. The optimization is performed by the interaction between RL agent and Abaqus simulation environment with the change of target properties as a reward signal. The results illustrates that the RL approach is able to automatically enhance the vascular arrangement of SHC given the fact that the 3-pore structures that have the maximum target mechanical property (i.e., peak load or fracture energy) are accessed for all of the independent runs. The RL optimization method is capable of identifying the structure with high fracture energy in the new optimization task for 4-pore concrete structure.","Concrete; Numerical simulation; Optimization; Reinforcement learning; Self-healing","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:34719c39-48f2-4edb-9e8d-1fc8af48996a","http://resolver.tudelft.nl/uuid:34719c39-48f2-4edb-9e8d-1fc8af48996a","Extracellular and intracellular effects of bioactive glass nanoparticles on osteogenic differentiation of bone marrow mesenchymal stem cells and bone regeneration in zebrafish osteoporosis model","Meng, Li (Nanjing Medical University); Zhao, Panpan (Nanjing Medical University); Jiang, Yucheng (Nanjing Medical University); You, Jiawen (Nanjing Medical University); Xu, Zhiyan (Friedrich-Alexander-Universität Erlangen-Nürnberg); Yu, K. (TU Delft BN/Marie-Eve Aubin-Tam Lab); Boccaccini, Aldo R. (Friedrich-Alexander-Universität Erlangen-Nürnberg); Ma, Junqing (Nanjing Medical University); Zheng, K. (Nanjing Medical University)","","2024","Bioactive glass nanoparticles (BGNs) are well-recognized multifunctional biomaterials for bone tissue regeneration due to their capability to stimulate various cellular processes through released biologically active ions. Understanding the correlation between BGN composition and cellular responses is key to developing clinically usable BGN-based medical devices. This study investigated the influence of CaO content of binary SiO2-CaO BGNs (CaO ranging from 0 to 10 mol%) on osteogenic differentiation of rat bone marrow mesenchymal stem cells (rBMSCs) and in vivo bone regeneration in zebrafish osteoporosis model. The results showed that BGNs could promote osteogenic differentiation of rBMSCs by indirectly releasing active ions or directly interacting with rBMSCs by internalization. In both situations, BGNs of a higher CaO content could promote the osteogenic differentiation of rBMSCs to a greater extent. The internalized BGNs could activate the transcription factors RUNX2 and OSX, leading to the expression of osteogenesis-related genes. The results in the zebrafish osteoporosis model indicated that the presence of BGNs of higher CaO contents could enhance bone regeneration and rescue dexamethasone-induced osteoporosis to a greater extent. These findings demonstrate that BGNs can stimulate osteogenic differentiation of rBMSCs by releasing active ions or internalization. A higher CaO content facilitates osteogenesis and bone regeneration of zebrafish as well as relieving dexamethasone-induced osteoporosis. The zebrafish osteoporosis model can be a potent tool for evaluating the in vivo bone regeneration effects of bioactive materials. Statement of significance: Bioactive glass nanoparticles (BGNs) are increasingly used as fillers of nanocomposites or as delivery platforms of active ions to regenerate bone tissue. Various studies have shown that BGNs can enhance osteogenic differentiation of bone marrow mesenchymal stem cells (BMSCs) by releasing active ions. However, the correlation between BGN composition and cellular responses and in vivo bone regeneration effect has still not been well investigated. Establishment of a suitable in vivo animal model for investigating this correlation is also challenging. The present study reports the influence of CaO content in binary SiO2-CaO BGNs on osteogenic differentiation of BMSCs extracellularly and intracellularly. This study also demonstrates the suitability of zebrafish osteoporosis model to investigate in vivo bone regeneration effect of BGNs.","Bioactive glass; Bone regeneration; Cellular uptake; Osteoporosis; Zebrafish model","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-15","","","BN/Marie-Eve Aubin-Tam Lab","","",""
"uuid:e5bdaf21-e7ab-4694-abe2-e57f7412360a","http://resolver.tudelft.nl/uuid:e5bdaf21-e7ab-4694-abe2-e57f7412360a","Origin of fast charging in hard carbon anodes","Vasileiadis, A. (TU Delft RST/Storage of Electrochemical Energy); Zhou, Quan (Chinese Academy of Sciences; HiNa Battery Technology, Beijing); Lu, Yaxiang (Chinese Academy of Sciences); Li, Yu (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Ombrini, P. (TU Delft RST/Storage of Electrochemical Energy); Chen, Zhao (Chinese Academy of Sciences; University of Chinese Academy of Sciences); van der Jagt, R. (TU Delft RST/Storage of Electrochemical Energy); Ganapathy, S. (TU Delft RID/TS/Instrumenten groep); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy)","","2024","Transport electrification and grid storage hinge largely on fast-charging capabilities of Li- and Na-ion batteries, but anodes such as graphite with plating issues drive the scientific focus towards anodes with slopped storage potentials. Here we report fast charging of ampere-hour-level full Na-ion batteries within about 9 minutes for continuous 3,000 cycles based on hard carbon anodes. These anodes, in addition to displaying a sloped storage voltage, provide capacity at a nearly constant voltage just above the plating potential, without observing Na-metal plating under high areal capacity. Comparing the electrochemical behaviour of Li and Na in hard carbon through experimental and computational techniques, a unified storage mechanism relying on the dimensions of wedge nanopores and drawing parallels with underpotential deposition for metals is brought forward, providing a rational guide for achieving fast storage in hard carbon anodes.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-03","","","RST/Storage of Electrochemical Energy","","",""
"uuid:7df62dc3-ecba-4176-a9c1-18a40b82debe","http://resolver.tudelft.nl/uuid:7df62dc3-ecba-4176-a9c1-18a40b82debe","Solving inverse scattering problems via reduced-order model embedding procedures","Zimmerling, J.T. (TU Delft Signal Processing Systems; Uppsala University); Druskin, Vladimir (Worcester Polytechnic Institute); Guddati, Murthy (University of North Carolina); Cherkaev, Elena (University of Utah, College Of Science); Remis, R.F. (TU Delft Tera-Hertz Sensing)","","2024","We present a reduced-order model (ROM) methodology for inverse scattering problems in which the ROMs are data-driven, i.e. they are constructed directly from data gathered by sensors. Moreover, the entries of the ROM contain localised information about the coefficients of the wave equation. We solve the inverse problem by embedding the ROM in physical space. Such an approach is also followed in the theory of ‘optimal grids,’ where the ROMs are interpreted as two-point finite-difference discretisations of an underlying set of equations of a first-order continuous system on this special grid. Here, we extend this line of work to wave equations and introduce a new embedding technique, which we call Krein embedding, since it is inspired by Krein’s seminal work on vibrations of a string. In this embedding approach, an adaptive grid and a set of medium parameters can be directly extracted from a ROM and we show that several limitations of optimal grid embeddings can be avoided. Furthermore, we show how Krein embedding is connected to classical optimal grid embedding and that convergence results for optimal grids can be extended to this novel embedding approach. Finally, we also briefly discuss Krein embedding for open domains, that is, semi-infinite domains that extend to infinity in one direction.","embedding; inverse scattering; optimal grids; reduced-order models","en","journal article","","","","","","","","","","","Signal Processing Systems","","",""
"uuid:44c80a1d-ad64-4c88-992c-e6ec02cf71d4","http://resolver.tudelft.nl/uuid:44c80a1d-ad64-4c88-992c-e6ec02cf71d4","On the necessity of new hydrophobic treatment after repointing of water repellent masonry","Nijland, Timo G. (TNO); Lubelli, B. (TU Delft Heritage & Architecture); van Zundert, Kim (TNO); van Hunen, Michiel (Cultural Heritage Agency of the Netherlands)","","2024","The impregnation of the exterior surface of a masonry wall with a water repellent is a common intervention in (historic) building renovation and maintenance. Such treatments, whilst degrading at the surface with time under influence of ultra violet light, remain effective below the surface several decades after their application. During renovation works of masonry previously treated with a water repellent, the question arises whether it is necessary to repeat the hydrophobic treatment of the entire masonry after repointing. Opposing opinions exist with this regard, but no research clearly supporting one or the other. This research investigates for the first time the effect of hydrophobic treatment when applied on previously treated and repointed masonry walls. Small masonry walls were subjected to rain periods in the laboratory and their water uptake and drying behaviour were studied. Moreover, this laboratory research was followed by 30 months of outdoor exposition of the masonry specimens. The following cases were considered: (1) wall treated with water repellent, (2) wall treated with water repellent, followed by repointing but without new water repellent treatment, (3) wall treated with water repellent, followed by repointing and retreatment. This was done for three different types of pointing mortar: ordinary Portland cement and natural hydraulic lime with standard sand, and natural hydraulic lime with sand with one grain size. The results show that, after prolonged rain periods, the water uptake by repointed but not retreated masonry is comparable to that of untreated, non-hydrophobic masonry, whereas drying is considerably slower. This leads to a high saturation degree in repointed but not retreated masonry, which, in turn, increases the risk of damage to the masonry by e.g. frost. Therefore, retreating repointed hydrophobic masonry should definitively be considered.","Absorption behavior; Drying behaviour; Hydrophobic treatment; Masonry; Pointing mortar; Re-pointing","en","journal article","","","","","","","","","","","Heritage & Architecture","","",""
"uuid:a0726188-1df7-4115-a43f-84d87d6167aa","http://resolver.tudelft.nl/uuid:a0726188-1df7-4115-a43f-84d87d6167aa","Electrodeposited Sn-Cu-Ni alloys as lead-free solders on copper substrate using deep eutectic solvents: The influence of electrodeposition mode on the morphology, composition and corrosion behaviour","State, Sabrina Patricia (Politehnica University of Bucharest); Costovici, Stefania (Politehnica University of Bucharest); Mousavi, M. (TU Delft Team Yaiza Gonzalez Garcia); Gonzalez Garcia, Y. (TU Delft Team Yaiza Gonzalez Garcia); Zanella, Caterina (Jönköping University); Cojocaru, Anca (Politehnica University of Bucharest); Anicai, Liana (Politehnica University of Bucharest); Visan, Teodor (Politehnica University of Bucharest); Enachescu, Marius (Politehnica University of Bucharest)","","2024","In this work we present the pulsed current (PC) electrodeposition of Sn-Cu-Ni alloy as lead-free solder candidate, from choline chloride – ethylene glycol eutectic mixtures (1:2 molar ratio) onto copper metallic substrates. Electrolytes containing Sn2+, Cu2+ and Ni2+ salts in the selected deep eutectic solvent have been considered. The effect of the applied frequency of PC on the morphology, composition and melting point of the alloy is discussed and compared to the ones obtained using direct current (DC) plating mode. A refinement of the grain size and lower melting temperature of the alloy were noticed when pulsed current was applied. A comparative analysis of the electrochemical corrosion behaviour at macro- and micro- scale has been performed in 0.5 M and 0.1 M NaCl solutions involving potentiodynamic polarization curves, electrochemical impedance spectroscopy (EIS) and scanning vibrating electrode (SVET) techniques. Furthermore, an analysis after 96 h of exposure to salt mist test simulating a corrosive attack in harsh environment is presented, too. The obtained results showed enhanced corrosion resistance of the ternary alloys electrodeposited under PC conditions (the best for 1.67 Hz frequency) as compared to those using DC. Additionally, Raman spectroscopy evidenced the presence of tin oxi/hydroxy chloride and tin oxides as surface corrosion products. A corrosion mechanism has been proposed.","Corrosion behaviour; Deep eutectic solvents; Electrodeposition; Sn-Cu-Ni ternary alloy; SVET","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-21","","","Team Yaiza Gonzalez Garcia","","",""
"uuid:1eb6c85b-454b-4052-90f9-1f85b4fb8845","http://resolver.tudelft.nl/uuid:1eb6c85b-454b-4052-90f9-1f85b4fb8845","A new sedimentary and biostratigraphic framework for the Callovian-Oxfordian transition on the Atlantic margin of Morocco","Duval-Arnould, Aude (The University of Manchester); Bulot, Luc (The University of Manchester); Masrour, Moussa (Ibn Zohr University); Simmons, Mike (Neftex-Halliburton); Bonnot, Alain (Université de Bourgogne); Charton, R.J.G. (TU Delft Applied Geology); Redfern, Jonathan (The University of Manchester); Schröder, Stefan (The University of Manchester)","","2024","A major global marine transgression occurred during the Callovian to Early Kimmeridgian, which was interrupted locally by a hiatus during the Late Callovian to Early Oxfordian. The transgression may have been a major driver for extensive coral buildup development in the Oxfordian. The depositional hiatus may be related to a combination of eustasy, local tectonic activity and hinterland movements, highlighting the potential influence of tectonism on sedimentary evolution in sedimentary basins. Whilst a regional Late Callovian-Early Oxfordian hiatus has been recorded extensively in the Tethys realm, this study has improved the biostratigraphic and sedimentary record from Morocco, and for the first time documents the Late Callovian-Early Oxfordian depositional hiatus in the Atlantic realm. Detailed sedimentary facies analysis of Callovian to Oxfordian carbonates and siliciclastics (Ouanamane Formation) demonstrates a major transgression from continental and coastal sediments, through oolitic mid-inner ramp deposits, brachiopod-rich middle ramp deposits, and ultimately to outer ramp marls, sharply overlain by Middle Oxfordian coral buildups across the basin. Repeated hard- and firmgrounds and bioturbated bed tops highlight transgressive surfaces traceable basinwide, which suggests low sedimentation rates in the upper Ouanamane Formation. Localized siliciclastic input is evidence for episodes of erosion in the same interval. Collection of new fossil specimens (ammonites, brachiopods, echinoderms, foraminifera) and revision of existing fossil material suggest this interval corresponds to a hiatus or condensed section in the Callovian-Oxfordian transition. The uppermost part of the Ouanamane Formation is of early Middle Oxfordian age and is directly overlain by Middle Oxfordian coral buildups. Onset of buildup construction is considered synchronous based on the new biostratigraphic data. Observations in Moroco compare with the sedimentary evolution around the Tethys, and in particular with the Arabian Plate. The Callovian-Oxfordian depositional hiatus corresponds to eustatic sea level changes, which were possibly driven by global cooling, and was likely overprinted by local tectonics.","Biostratigraphy; Callovian-oxfordian transgression; Carbonate ramp; Coral buildup; Depositional hiatus","en","journal article","","","","","","","","","","","Applied Geology","","",""
"uuid:00b696c3-aa93-46b6-b1a7-ea27ee13c13e","http://resolver.tudelft.nl/uuid:00b696c3-aa93-46b6-b1a7-ea27ee13c13e","Distinct spatiotemporal dynamics of CD8+ T cell-derived cytokines in the tumor microenvironment","Hoekstra, Mirjam E. (Netherlands Cancer Institute); Slagter, Maarten (Netherlands Cancer Institute); Urbanus, Jos (Netherlands Cancer Institute); Toebes, Mireille (Netherlands Cancer Institute); Slingerland, Nadine (Netherlands Cancer Institute); de Rink, Iris (Netherlands Cancer Institute); Kluin, Roelof J.C. (Netherlands Cancer Institute); Nieuwland, Marja (Netherlands Cancer Institute); Wessels, L.F.A. (TU Delft Pattern Recognition and Bioinformatics; Netherlands Cancer Institute)","","2024","Cells in the tumor microenvironment (TME) influence each other through secretion and sensing of soluble mediators, such as cytokines and chemokines. While signaling of interferon γ (IFNγ) and tumor necrosis factor α (TNFα) is integral to anti-tumor immune responses, our understanding of the spatiotemporal behavior of these cytokines is limited. Here, we describe a single cell transcriptome-based approach to infer which signal(s) an individual cell has received. We demonstrate that, contrary to expectations, CD8+ T cell-derived IFNγ is the dominant modifier of the TME relative to TNFα. Furthermore, we demonstrate that cell pools that show abundant IFNγ sensing are characterized by decreased expression of transforming growth factor β (TGFβ)-induced genes, consistent with IFNγ-mediated TME remodeling. Collectively, these data provide evidence that CD8+ T cell-secreted cytokines should be categorized into local and global tissue modifiers, and describe a broadly applicable approach to dissect cytokine and chemokine modulation of the TME.","cytokine; IFN-gamma; single cell RNA-seq; T cell; TNF-alpha; tumor microenvironment","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:dfb53726-d466-4f7a-b6a2-f5565cc200d9","http://resolver.tudelft.nl/uuid:dfb53726-d466-4f7a-b6a2-f5565cc200d9","Cerebrospinal fluid proteomics in patients with Alzheimer’s disease reveals five molecular subtypes with distinct genetic risk profiles","Tijms, Betty M. (Vrije Universiteit Amsterdam; Amsterdam Neuroscience); Vromen, Ellen M. (Vrije Universiteit Amsterdam; Amsterdam Neuroscience); Mjaavatten, Olav (University of Bergen and Bjerknes Centre for Climate Research); Holstege, Henne (Alzheimer Center Amsterdam; Vrije Universiteit Amsterdam); Reus, Lianne M. (Vrije Universiteit Amsterdam; Amsterdam Neuroscience; University of California); van der Lee, Sven (Alzheimer Center Amsterdam; Vrije Universiteit Amsterdam); Wesenhagen, Kirsten E.J. (Vrije Universiteit Amsterdam; Amsterdam Neuroscience); Lorenzini, Luigi (Vrije Universiteit Amsterdam; Amsterdam Neuroscience); Tesi, N. (TU Delft Pattern Recognition and Bioinformatics; Vrije Universiteit Amsterdam)","","2024","Alzheimer’s disease (AD) is heterogenous at the molecular level. Understanding this heterogeneity is critical for AD drug development. Here we define AD molecular subtypes using mass spectrometry proteomics in cerebrospinal fluid, based on 1,058 proteins, with different levels in individuals with AD (n = 419) compared to controls (n = 187). These AD subtypes had alterations in protein levels that were associated with distinct molecular processes: subtype 1 was characterized by proteins related to neuronal hyperplasticity; subtype 2 by innate immune activation; subtype 3 by RNA dysregulation; subtype 4 by choroid plexus dysfunction; and subtype 5 by blood–brain barrier impairment. Each subtype was related to specific AD genetic risk variants, for example, subtype 1 was enriched with TREM2 R47H. Subtypes also differed in clinical outcomes, survival times and anatomical patterns of brain atrophy. These results indicate molecular heterogeneity in AD and highlight the need for personalized medicine.","","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:35c1966b-74d4-40c2-b43d-05daf70246b5","http://resolver.tudelft.nl/uuid:35c1966b-74d4-40c2-b43d-05daf70246b5","Estimating geodynamic model parameters from geodetic observations using a particle method","Marsman, C. P. (Universiteit Utrecht); Vossepoel, F.C. (TU Delft Reservoir Engineering); Van Dinther, Y. (Universiteit Utrecht); Govers, R. (Universiteit Utrecht)","","2024","Bayesian-based data assimilation methods integrate observational data into geophysical forward models to obtain the temporal evolution of an improved state vector, including its uncertainties. We explore the potential of a variant, a particle method, to estimate mechanical parameters of the overriding plate during the interseismic period. Here we assimilate vertical surface displacements into an elementary flexural model to estimate the elastic thickness of the overriding plate, and the locations and magnitudes of line loads acting on the overriding plate to produce flexure. Assimilation of synthetic observations sampled from a different forward model than is used in the particle method, reveal that synthetic seafloor data within 150 km from the trench are required to properly constrain parameters for long wavelength solutions of the upper plate (i.e. wavelength ∼500 km). Assimilation of synthetic observations sampled from the same flexural model used in the particle method shows remarkable convergence towards the true parameters with synthetic on-land data only for short to intermediate wavelength solutions (i.e. wavelengths between ∼100 and 300 km). In real-data assimilation experiments we assign representation errors due to discrepancies between our incorrect or incomplete physical model and the data. When assimilating continental data prior to the 2011 Mw Tohoku-Oki earthquake (1997-2000), an unrealistically low effective elastic plate thickness for Tohoku of ∼5-7 km is estimated. Our synthetic experiments suggest that improvements to the physical forward model, such as the inclusion of a slab, a megathrust interface and viscoelasticity of the mantle, including accurate seafloor data, and additional geodetic observations, may refine our estimates of the effective elastic plate thickness. Overall, we demonstrate the potential of using the particle method to constrain geodynamic parameters by providing constraints on parameters and corresponding uncertainty values. Using the particle method, we provide insights into the data network sensitivity and identify parameter trade-offs.","Inverse theory; Lithospheric flexure; Probabilistic forecasting; Statistical methods; Subduction zone processes; Time-series analysis","en","journal article","","","","","","","","","","","Reservoir Engineering","","",""
"uuid:bee8d20f-da49-44fc-8295-2996e2962eb1","http://resolver.tudelft.nl/uuid:bee8d20f-da49-44fc-8295-2996e2962eb1","Economic assessment of nature-based solutions to reduce flood risk and enhance co-benefits","Ruangpan, L. (TU Delft BT/Environmental Biotechnology; IHE Delft Institute for Water Education); Vojinovic, Zoran (IHE Delft Institute for Water Education; University of Belgrade; University of Exeter); Plavšić, Jasna (University of Belgrade); Curran, Alex (HKV Lijn in Water); Rosic, Nikola (University of Belgrade); Pudar, Ranko (Pudar Mitigation Consulting); Savic, Dragan (University of Exeter; KWR Water Research Institute); Brdjanovic, Damir (TU Delft BT/Environmental Biotechnology; IHE Delft Institute for Water Education)","","2024","Flooding is expected to increase due to climate change, urbanisation, and land use change. To address this issue, Nature-Based Solutions (NBSs) are often adopted as innovative and sustainable flood risk management methods. Besides the flood risk reduction benefits, NBSs offer co-benefits for the environment and society. However, these co-benefits are rarely considered in flood risk management due to the inherent complexities of incorporating them into economic assessments. This research addresses this gap by developing a comprehensive methodology that integrates the monetary analysis of co-benefits with flood risk reduction in economic assessments. In doing so, it aspires to provide a more holistic view of the impact of NBS in flood risk management. The assessment employs a framework based on life-cycle cost-benefit analysis, offering a systematic and transparent assessment of both costs and benefits over time supported by key indicators like net present value and benefit cost ratio. The methodology has been applied to the Tamnava basin in Serbia, where significant flooding occurred in 2014 and 2020. The methodology offers valuable insights for practitioners, researchers, and planners seeking to assess the co-benefits of NBS and integrate them into economic assessments. The results show that when considering flood risk reduction alone, all considered measures have higher costs than the benefits derived from avoiding flood damage. However, when incorporating co-benefits, several NBS have a net positive economic impact, including afforestation/reforestation and retention ponds with cost-benefit ratios of 3.5 and 5.6 respectively. This suggests that incorporating co-benefits into economic assessments can significantly increase the overall economic efficiency and viability of NBS.","Adaptation measures; Co-benefits; Cost-benefits analysis; Life cycle assessment; Monetary; NBS","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:0ed7fb06-8e1c-4c52-b809-d32b0191c1da","http://resolver.tudelft.nl/uuid:0ed7fb06-8e1c-4c52-b809-d32b0191c1da","On the impact of decision rule assumptions in experimental designs on preference recovery: An application to climate change adaptation measures","van Cranenburgh, S. (TU Delft Transport and Logistics); Meyerhoff, Jürgen (Hochschule für Wirtschaft und Recht Berlin); Rehdanz, Katrin (Christian-Albrechts-Universität zu Kiel); Wunsch, Andrea (Kiel Institute for the World Economy)","","2024","Efficient experimental designs aim to maximise the information obtained from stated choice data to estimate discrete choice models' parameters statistically efficiently. Almost without exception efficient experimental designs assume that decision-makers use a Random Utility Maximisation (RUM) decision rule. When using such designs, researchers (implicitly) assume that the decision rule used to generate the design has no impact on respondents' choice behaviour. This study investigates whether the decision rule assumption underlying an experimental design affects respondents' choice behaviour. We use four stated choice experiments on coastal adaptation to climate change: Two are based on experimental designs optimised for utility maximisation and two are based on experimental designs optimised for a mixture of RUM and Random Regret Minimisation (RRM). Generally, we find that respondents place value on adaptation measures (e.g., dykes and beach nourishments). We evaluate the models' fits and investigate whether some choice tasks particularly invoke RUM or RRM decision rules. For the latter, we develop a new sampling-based approach that avoids the confounding between preference and decision rule heterogeneity. We find no evidence that RUM-optimised designs invoke RUM-consistent choice behaviour. However, we find a relationship between some of the attributes and decision rules, and compelling evidence that some choice tasks invoke RUM consistent behaviour while others invoke RRM consistent behaviour. This implies that respondents’ choice behaviour and choice modelling outcomes are not exogenous to the choice tasks, which can be particularly critical when information on preferences is used to inform actual decision-making on a sensitive issue of common interest as climate change.","Climate change; Coastal adaptation; Decision rules; Experimental design theory; Random regret minimisation","en","journal article","","","","","","","","","","","Transport and Logistics","","",""
"uuid:b662a072-1c86-47ad-aa5a-87f1d11e5b2c","http://resolver.tudelft.nl/uuid:b662a072-1c86-47ad-aa5a-87f1d11e5b2c","3D macro-element for innovative plug-and-play joints","Miculaş, Cristian V. (Universidade de Coimbra); Costa, Ricardo J. (Universidade de Coimbra); da Silva, Luis Simões (Universidade de Coimbra); Simões, Rui (Universidade de Coimbra); Craveiro, Helder (Universidade de Coimbra); Tankova, T. (TU Delft Steel & Composite Structures)","","2024","This paper presents the development, implementation, and validation of a macro-element suitable for the linear analysis of innovative 3D plug-and-play joints between tubular columns and lightweight steel truss-girders. The macro-element is based on the component method, accounts for the three-dimensional interaction between the tube faces, and its components have a clear physical meaning. Simplified procedures are developed for the closed-form computation of the stiffness matrix of the macro-element based on the geometric and mechanical properties of the nodal zone. This facilitates practical application in everyday design scenarios. Furthermore, the macro-element's architecture is implemented in the framework of OpenSees as a standalone beam-to-column joint finite element. Validation of the conceptual design is accomplished through parametric studies, comparing its performance with models generated in higher-order finite element commercial software, Abaqus. This research offers a valuable resource for the linear analysis and design of innovative 3D plug-and-play joint connections in structural engineering, enhancing efficiency and reliability in construction practices.","Innovative plug-and-play joints; Lightweight steel frames; Macro-element; OpenSees; Tubular columns","en","journal article","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:1a8445b5-3f95-4b2f-b53d-01e3df9c7349","http://resolver.tudelft.nl/uuid:1a8445b5-3f95-4b2f-b53d-01e3df9c7349","Mass-change And Geosciences International Constellation (MAGIC) expected impact on science and applications","Daras, I. (European Space Agency (ESA)); March, G. (RHEA for European Space Agency); Pail, R. (Technische Universität München); Hughes, C. W. (University of Liverpool; National Oceanography Center); Braitenberg, C. (University of Trieste); Guntner, A. (University of Potsdam; Helmholtz Centre Potsdam - GFZ German Research Centre for Geosciences); Eicker, A. (HafenCity University Hamburg); Wouters, B. (TU Delft Physical and Space Geodesy); Heller-Kaikov, B. (Technische Universität München)","","2024","The joint ESA/NASA Mass-change And Geosciences International Constellation (MAGIC) has the objective to extend time-series from previous gravity missions, including an improvement of accuracy and spatio-temporal resolution. The long-term monitoring of Earth’s gravity field carries information on mass change induced by water cycle, climate change and mass transport processes between atmosphere, cryosphere, oceans and solid Earth. MAGIC will be composed of two satellite pairs flying in different orbit planes. The NASA/DLR-led first pair (P1) is expected to be in a near-polar orbit around 500 km of altitude; while the second ESA-led pair (P2) is expected to be in an inclined orbit of 65◦–70◦ at approximately 400 km altitude. The ESA-led pair P2 Next Generation Gravity Mission shall be launched after P1 in a staggered manner to form the MAGIC constellation. The addition of an inclined pair shall lead to reduction of temporal aliasing effects and consequently of reliance on de-aliasing models and post-processing. The main novelty of the MAGIC constellation is the delivery of mass-change products at higher spatial resolution, temporal (i.e. subweekly) resolution, shorter latency and higher accuracy than the Gravity Recovery and Climate Experiment (GRACE) and Gravity Recovery and Climate Experiment Follow-On (GRACE-FO). This will pave the way to new science applications and operational services. In this paper, an overview of various fields of science and service applications for hydrology, cryosphere, oceanography, solid Earth, climate change and geodesy is provided. These thematic fields and newly enabled applications and services were analysed in the frame of the initial ESA Science Support activities for MAGIC. The analyses of MAGIC scenarios for different application areas in the field of geosciences confirmed that the double-pair configuration will significantly enlarge the number of observable mass-change phenomena by resolving smaller spatial scales with an uncertainty that satisfies evolved user requirements expressed by international bodies such as IUGG. The required uncertainty levels of dedicated thematic fields met by MAGIC unfiltered Level-2 products will benefit hydrological applications by recovering more than 90 per cent of the major river basins worldwide at 260 km spatial resolution, cryosphere applications by enabling mass change signal separation in the interior of Greenland from those in the coastal zones and by resolving small-scale mass variability in challenging regions such as the Antarctic Peninsula, oceanography applications by monitoring meridional overturning circulation changes on timescales of years and decades, climate applications by detecting amplitude and phase changes of Terrestrial Water Storage after 30 yr in 64 and 56 per cent of the global land areas and solid Earth applications by lowering the Earthquake detection threshold from magnitude 8.8 to magnitude 7.4 with spatial resolution increased to 333 km.","Earthquake dynamics; Glaciology; Global change from geodesy; Hydrology; Satellite gravity; Time variable gravity","en","journal article","","","","","","","","","","","Physical and Space Geodesy","","",""
"uuid:16b3382f-fbfd-4d0a-a848-4bfcebb8840d","http://resolver.tudelft.nl/uuid:16b3382f-fbfd-4d0a-a848-4bfcebb8840d","Review of the state of art of Li-based inhibitors and coating technology for the corrosion protection of aluminium alloys","Li, Z. (TU Delft Team Arjan Mol); Visser, Peter (Akzo Nobel); Hughes, Anthony E. (CSIRO Mineral Resources); Homborg, A.M. (TU Delft Team Arjan Mol; Netherlands Defence Academy); Gonzalez Garcia, Y. (TU Delft Team Yaiza Gonzalez Garcia); Mol, J.M.C. (TU Delft Team Arjan Mol)","","2024","The quest for novel alternatives to hexavalent-chromium-based corrosion inhibitors is of utmost significance and urgency. Strict international health and safety regulations, due to growing concerns regarding the impact of hexavalent chromium on human health and the environment, have pushed the commercial introduction of many alternative inhibitor types, but the implementation of alternative active protective primers for structural parts in the aerospace industry is still pending. This endeavour has proven to be remarkably challenging, as the potential replacement coating types must meet numerous functional requirements encompassing cost-effectiveness and exceptional corrosion protection for intrinsically corrosion susceptible aerospace aluminium alloys. In recent years, considerable attention has been drawn to lithium salts as environmentally friendly corrosion inhibitors forming the basis for a novel active protective coating technology. The involvement of lithium ions has been shown to play a pivotal role in the conversion process of aluminium alloy surfaces by stabilizing the reaction products, thereby facilitating the gradual development of a protective layer with a multi-layered configuration, which exhibits considerable variability in morphology, depending on local chemical and electrochemical conditions. The versatility of the lithium-based corrosion protection extends to their application as corrosion inhibiting pigments in organic coatings or as a pre-treatment, directly forming conversion layers, thereby enhancing their practical implementation. However, previous chromate replacement reviews only introduced the promising outcomes provided by the lithium technology, omitting key details of its development and formation mechanism. This paper critically reviews and summarizes the studies conducted to date on lithium-based inhibitor technologies for the corrosion protection of aluminium alloys as well as topics to be investigated in the future.","Aluminium alloy; Coating technology; Corrosion protection; lithium inhibitor","en","journal article","","","","","","","","","","","Team Arjan Mol","","",""
"uuid:61868d82-e498-4bb0-8352-616415580c4f","http://resolver.tudelft.nl/uuid:61868d82-e498-4bb0-8352-616415580c4f","Unravelling microstructure-electroactivity relationships in free-standing polycrystalline boron-doped diamond: A mapping study","Liu, Z. (TU Delft Micro and Nano Engineering); Baluchová, S. (TU Delft Micro and Nano Engineering); Li, Z. (TU Delft Team Arjan Mol); Gonzalez Garcia, Y. (TU Delft Team Yaiza Gonzalez Garcia); Hall, Clive E. (Mintres B.V.); Buijnsters, J.G. (TU Delft Micro and Nano Engineering)","","2024","In this work, four different techniques were concurrently applied to study the interplay between local electroactivity and electrode surface characteristics of free-standing, polycrystalline boron-doped diamond (BDD). Scanning electron microscopy, electron back-scatter diffraction, Raman mapping and scanning electrochemical microscopy were used to probe the electrode morphology, grain orientation and boundaries, composition, and local electrochemical activity, respectively. Both nucleation and growth BDD surfaces together with the cross-section area were carefully investigated for the first time in a single study using the combination of all four techniques. This enabled us to obtain significant insights into the highly heterogeneous nature of the polycrystalline BDD material. Notably, boron dopants were confirmed to be non-uniformly distributed over the BDD material, which is characterized by a distinct columnar structure and composition of grains of various orientations. Particularly, the highest electrochemical activity was recorded on the highest doped (111) crystal orientation. In contrast, the averagely boron-doped (100)-oriented facet showed non-conductive nature. This highlights that the local electrochemical activity of the BDD surface is strongly grain-dependent and the most significant factors governing the obtained responses are crystallographic orientation and boron doping. Moreover, increased boron and sp2 carbon content in the boundary regions was recognized by Raman mapping. However, such localized enrichment in impurities did not translate into enhanced electrochemical activity, which implies that boron atoms at the inter-grain areas are predominantly inactive. Finally, it is crucial to consider all characteristics of the polycrystalline BDD including crystal orientation, which is particularly relevant if micro- and nanoscale probing is intended.","Boron-doped diamond (BDD); Electron backscatter diffraction; Grain boundaries; Raman mapping; Scanning electrochemical microscopy (SECM)","en","journal article","","","","","","","","","","","Micro and Nano Engineering","","",""
"uuid:46b9457b-7427-42cd-a595-0a2c7ced3c63","http://resolver.tudelft.nl/uuid:46b9457b-7427-42cd-a595-0a2c7ced3c63","To charge or not to charge? Using Prospect Theory to model the tradeoffs of electric vehicle users","Pelka, S. (TU Delft Energie and Industrie; Fraunhofer Institute for Systems and Innovation Research ISI); Bosch, A. (Fraunhofer Institute for Systems and Innovation Research ISI); Chappin, E.J.L. (TU Delft Energie and Industrie); Liesenhoff, F. (Fraunhofer Institute for Systems and Innovation Research ISI); Kühnbach, M. (Fraunhofer Institute for Solar Energy Systems ISE); De Vries, Laurens (TU Delft Energie and Industrie)","","2024","Electric vehicle (EV) users who aim to become flexibility providers face a tradeoff between staying in control of charging and minimizing their electricity costs. The common practice is to charge immediately after plugging in and use more electricity than necessary. Changing this can increase the EV’s flexibility potential and reduce electricity costs. Our extended electricity cost optimization model systematically examines how different changes to this practice influence electricity costs. Based on the Prospect Theory and substantiated by empirical data, it captures EV users’ tradeoff between relinquishing control and reducing charging costs. Lowering the need to control charging results in disproportionally large savings in electricity costs. This finding incentivizes EV-users to relinquish even more control of charging. We analyzed changes to two charging settings that express the need for control. We found that changing only one setting offsets the other and reduces its positive effect on cost savings. Behavioral aspects, such as rebound effects and inertia that are widely documented in the literature, support this finding and underline the fit of our model extension to capture different charging behaviors. Our findings suggest that service providers should convince EV-users to relinquish control of both settings.","Direct load control; Discomfort cost; Electric vehicle; Prospect Theory; Prosumer; Smart charging","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:94156d87-7a0a-4af0-a5d0-c83827d67c19","http://resolver.tudelft.nl/uuid:94156d87-7a0a-4af0-a5d0-c83827d67c19","Micromechanics-based deep-learning for composites: Challenges and future perspectives","Mirkhalaf, Mohsen (University of Gothenburg); Rocha, I.B.C.M. (TU Delft Applied Mechanics)","","2024","During the last few decades, industries such as aerospace and wind energy (among others) have been remarkably influenced by the introduction of high-performance composites. One challenge, however, for modeling and designing composites is the lack of computational efficiency of accurate high-fidelity models. For design purposes, using conventional optimization approaches typically results in cumbersome procedures due to huge dimensions of the design space and high computational expense of full-field simulations. In recent years, deep learning techniques have been found to be promising methods to increase the efficiency and robustness of a variety of algorithms in multi-scale modeling and design of composites. In this perspective paper, a short overview of the recent developments in micromechanics-based machine learning for composites is given. More importantly, existing challenges for further model enhancements and future perspectives of the field development are elaborated.","Artificial neural networks; Composite materials; Micromechanics","en","journal article","","","","","","","","","","","Applied Mechanics","","",""
"uuid:c1c0ee8b-64f5-4a6b-a4f2-ce6421279e36","http://resolver.tudelft.nl/uuid:c1c0ee8b-64f5-4a6b-a4f2-ce6421279e36","Pressure drop non-linearities in material extrusion additive manufacturing: A novel approach for pressure monitoring and numerical modeling","de Vries, Sietse (Ultimaker); Schuller, Tomás (Universidade do Porto; INEGI); Galindo-Rosales, Francisco J. (Universidade do Porto); Fanzio, P. (TU Delft Micro and Nano Engineering)","","2024","Fused Filament Fabrication is an additive manufacturing technique in which molten thermoplastic polymers are extruded through a nozzle. Therefore, the interplay between the viscoelastic nature of the polymer melt, temperature, printing conditions and nozzle shape may lead to inconsistent extrusion. To improve the extrusion control and optimize the print-head performance, a better understanding of the flow process of the polymer melt both in the nozzle and the liquefier is needed. However, several challenges need to be overcome due to the complexity of gathering experimental data on the melt pressure in the nozzle and the lack of numerical models able to capture the full rheology of the molten polymer. This research introduces an innovative approach for monitoring the pressure within a material extrusion 3D printer's nozzle. This method involves utilizing a pin in direct contact with the molten material, which then transmits the applied force from the material to an externally mounted load cell. The setup provides reliable, repeatable pressure data in steady-state conditions for two nozzle geometries and at different extrusion flows and temperatures. Moreover, the Giesekus model enabled capturing the viscoelastic rheometric features of the melt, and the numerical predictions have been compared with the experimental data. Results show that the numerical model accurately describes the flow conditions in the nozzle and allows the estimation of the behavior of the melt in the liquefier zone, the area of the print-head where the filament is molten. It could be concluded that the backflow, which is the backward flow of the molten polymer in the gap between the filament and the liquefier towards the cold end, caused significant non-linearities in the total pressure drop measured in the feeders, which were related to normal forces induced by shear in that region.","3D printing; Elastic instabilities; Fused filament fabrication; Numerical simulations; Polylactic acid (PLA)","en","journal article","","","","","","","","","","","Micro and Nano Engineering","","",""
"uuid:7b36b99f-0616-4d8c-8a7e-a46f6b73abd2","http://resolver.tudelft.nl/uuid:7b36b99f-0616-4d8c-8a7e-a46f6b73abd2","A CPT-based multi-spring model for lateral monopile analysis under SLS conditions in sand","Tott-Buswell, Jacques (University of Nottingham); Prendergast, Luke J. (University of Nottingham); Gavin, Kenneth (TU Delft Geo-engineering)","","2024","Monopiles are the most common Offshore Wind Turbine (OWT) foundations due to their simplicity in design, fabrication, and installation. However, large new-generation turbines have led to significant changes in monopile dimensions, necessitating extensive finite element analyses and ground investigations to meet design requirements. While Cone Penetration Test (CPT)-based p-y methods can analyse slender pile lateral behaviour, they often miss additional resistance mechanisms relevant to rigid monopiles. This paper introduces CPT-informed resistance mechanisms for monopiles to incorporate additional lateral resistances beyond p-y modelling capabilities. Distributed moment–rotation (m-θ) springs are defined by repurposing CPT-based axial capacity estimation methods for piles; and pile tip shear and moment springs are informed by approximating a residual bearing stress post-installation using local CPT qc values. The performance of the multi-spring model is appraised against data reported from monotonic pile pushover tests conducted at two sand sites. Results show that the multi-spring model is capable of predicting pile head deflections reasonably well within serviceability deflection limits against the reported test data, but ultimate failure loads cannot be predicted using the proposed model. A clear sensitivity in pile response to local variations in CPT qc is demonstrated.","In-situ testing; Numerical modelling; Offshore engineering; Piles & piling; Soil–structure interaction","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:79541ea7-57a4-4625-8c64-366157e39961","http://resolver.tudelft.nl/uuid:79541ea7-57a4-4625-8c64-366157e39961","Image scanning microscopy: a vectorial physical optics analysis","Hung, S. (TU Delft Team Carlas Smith); Kalisvaart, D. (TU Delft Team Carlas Smith); Smith, C.S. (TU Delft BN/Nynke Dekker Lab; TU Delft ImPhys/Rieger group; TU Delft Team Carlas Smith)","","2024","Image scanning microscopy (ISM) achieves resolution beyond the diffraction limit by a factor of √2. However, prior ISM research predominantly employs scalar diffraction theory, neglecting critical physical effects such as polarization, aberrations, and Stokes shift. This paper presents a comprehensive vectorial ISM point spread function (PSF) model that accounts for these phenomena. By considering the effect of polarization in emission and excitation paths, as well as aberrations and Stokes shift, our model provides a more accurate representation of ISM. We analyze the differences between scalar and vectorial theories in ISM and investigate the impact of pinhole size and aberration strength on resolution. At a numerical aperture of 1.2, the full width half maximum (FWHM) discrepancy between scalar and vectorial ISM PSFs can reach 45 nm, representing a 30% deviation from the vectorial model. Additionally, we explore multiphoton excitation in ISM and observe increased FWHM for 2-photon and 3-photon excitation compared to 1-photon excitation. The FWHM of the 2-photon excitation ISM PSF increases by 20% and the FWHM of the 3-photon excitation ISM PSF increases by 28% compared to the 1-photon excitation ISM. In addition, we found that the optimal sweep factor for 2-photon ISM is 1.22, and the optimal sweep factor of 3-photon ISM is 1.12 instead of the 2 predicted by the one-photon scalar ISM theory. Our work improves the understanding of ISM and contributes to its advancement as a high-resolution imaging technique.","","en","journal article","","","","","","","","","","","Team Carlas Smith","","",""
"uuid:490b742b-aff2-4514-b4c5-a43a5689677b","http://resolver.tudelft.nl/uuid:490b742b-aff2-4514-b4c5-a43a5689677b","The critical variational setting for stochastic evolution equations","Agresti, A. (Institute of Science and Technology Austria); Veraar, M.C. (TU Delft Analysis)","","2024","In this paper we introduce the critical variational setting for parabolic stochastic evolution equations of quasi- or semi-linear type. Our results improve many of the abstract results in the classical variational setting. In particular, we are able to replace the usual weak or local monotonicity condition by a more flexible local Lipschitz condition. Moreover, the usual growth conditions on the multiplicative noise are weakened considerably. Our new setting provides general conditions under which local and global existence and uniqueness hold. In addition, we prove continuous dependence on the initial data. We show that many classical SPDEs, which could not be covered by the classical variational setting, do fit in the critical variational setting. In particular, this is the case for the Cahn–Hilliard equation, tamed Navier–Stokes equations, and Allen–Cahn equation.","Allen–Cahn equation; Cahn–Hilliard equation; Coercivity; Critical nonlinearities; Generalized Burgers equation; Quasi- and semi-linear; Stochastic evolution equations; Stochastic partial differential equations; Swift–Hohenberg equation; Tamed Navier–Stokes; Variational methods","en","journal article","","","","","","","","","","","Analysis","","",""
"uuid:8dd22140-be36-4559-9f93-eeede35725ec","http://resolver.tudelft.nl/uuid:8dd22140-be36-4559-9f93-eeede35725ec","Efficient and fail-safe quantum algorithm for the transport equation","Schalkers, M.A. (TU Delft Numerical Analysis); Möller, M. (TU Delft Numerical Analysis)","","2024","In this paper we present a scalable algorithm for fault-tolerant quantum computers for solving the transport equation in two and three spatial dimensions for variable grid sizes and discrete velocities, where the object walls are aligned with the Cartesian grid, the relative difference of velocities in each dimension is bounded by 1 and the total simulated time is dependent on the discrete velocities chosen. We provide detailed descriptions and complexity analyses of all steps of our quantum transport method (QTM) and present numerical results for 2D flows generated in Qiskit as a proof of concept. Our QTM is based on a novel streaming approach which leads to a reduction in the amount of CNOT gates required in comparison to state-of-the-art quantum streaming methods. As a second highlight of this paper we present a novel object encoding method, that reduces the complexity of the amount of CNOT gates required to encode walls, which now becomes independent of the size of the wall. Finally we present a novel quantum encoding of the particles' discrete velocities that enables a linear speed-up in the costs of reflecting the velocity of a particle, which now becomes independent of the amount of velocities encoded. Our main contribution consists of a detailed description of a fail-safe implementation of a quantum algorithm for the reflection step of the transport equation that can be readily implemented on a physical quantum computer. This fail-safe implementation allows for a variety of initial conditions and particle velocities and leads to physically correct particle flow behavior around the walls, edges and corners of obstacles. Combining these results we present a novel and fail-safe quantum algorithm for the transport equation that can be used for a multitude of flow configurations and leads to physically correct behavior. We finally show that our approach only requires O(nwng2+dntvnvmax2) CNOT gates, which is quadratic in the amount of qubits necessary to encode the grid and the amount of qubits necessary to encode the discrete velocities in a single spatial dimension. This complexity result makes our approach superior to state-of-the-art approaches known in the literature.","Quantum algorithm; Quantum computational fluid dynamics; Quantum computing; Transport equation","en","journal article","","","","","","","","","","","Numerical Analysis","","",""
"uuid:c545502a-55a9-4654-aa57-29159b06e9b1","http://resolver.tudelft.nl/uuid:c545502a-55a9-4654-aa57-29159b06e9b1","Co-designing a research agenda for climate adaptation in El Salvador's coffee sector: A transdisciplinary perspective","Teodoro Morales, J.D. (TU Delft Transport and Logistics); Marselis, Suzanne (Universiteit Leiden); Maiello, A. (Universiteit Leiden); Häger, Achim (Universiteit Leiden)","","2024","The inclusion of social actors is widely acknowledged as a precondition for just and sustainable adaptation strategies to climate change. The integration of diverse types of scientific and local knowledge contributes to a better understanding of problems and increases the relevance of science at the local scale. In this study, we - an interdisciplinary team of scientists - employed a transdisciplinary methodology to enable the discussion of collaborative action the Salvadoran coffee sector needs to adapt to current and future impacts of climate change. Through a stakeholder encounter we elicited knowledge exchange among stakeholders to generate (i) a collective awareness of the experiences of climate impacts and (ii) outline a research agenda to facilitate a transdisciplinary climate change adaptation strategy. We used a suite of standard and participatory data-gathering methods, including desk research, in-depth informal conversations, questionnaires, field visits, small-group discussions, and a one-day workshop. In this paper, we present the methodological approach and the outcomes of the transdisciplinary research process. We ultimately outline a collectively generated research agenda using the input of stakeholders who partook in the workshop.","Agriculture; Climate resilience; Research co-design; Salvadoran coffee; Stakeholder encounter; Transdisciplinary research","en","journal article","","","","","","","","","","","Transport and Logistics","","",""
"uuid:5efd5ddb-579d-4d2f-85b2-15e229cc4dc7","http://resolver.tudelft.nl/uuid:5efd5ddb-579d-4d2f-85b2-15e229cc4dc7","Combined Fabrication and Performance Evaluation of TOPCon Back-Contact Solar Cells with Lateral Power Metal-Oxide-Semiconductor Field-Effect Transistors on a Single Substrate","van Nijen, D.A. (TU Delft Photovoltaic Materials and Devices); Stevens, Tristan (Student TU Delft); Mercimek, Yavuzhan (Student TU Delft); Yang, G. (TU Delft Photovoltaic Materials and Devices); van Swaaij, R.A.C.M.M. (TU Delft Photovoltaic Materials and Devices); Zeman, M. (TU Delft Photovoltaic Materials and Devices); Isabella, O. (TU Delft Photovoltaic Materials and Devices); Manganiello, P. (TU Delft Photovoltaic Materials and Devices)","","2024","Nowadays, an increasing share of photovoltaic (PV) systems makes use of module- or submodule-level power electronics (PE). Furthermore, PE is used in stand-alone devices powered by PV-storage solutions. One way to facilitate further implementation of PE in PV applications is to integrate PE components into crystalline silicon PV cells. Herein, the COSMOS device is introduced, denoting COmbined Solar cell and metal-oxide-semiconductor field-effect transistor (MOSFET). Specifically, the combined manufacturing of lateral power MOSFETs and interdigitated back contact solar cells with tunnel-oxide passivated contacts (TOPCon) on a single wafer is reported. Many steps of the proposed process flow are used for the fabrication of both devices, enabling cost-effective integration of the MOSFET. Both n-type solar cells with integrated p-channel MOSFETs (PMOS) and p-type solar cells with integrated n-channel MOSFETs (NMOS) are successfully manufactured. NMOS devices perform better in achieving low on-resistance, while PMOS devices exhibit lower leakage currents. Furthermore, the study reveals integration challenges where off-state leakage currents of the MOSFET can increase due to illumination and specific configurations of monolithic interconnections between the MOSFET and the solar cell. Nevertheless, for both n-type and p-type solar cells, efficiencies exceeding 20% are achieved, highlighting the potential of the proposed process for COSMOS devices.","COSMOS; crystalline silicon; integration; interdigitated back contact; monolithic integration; MOSFET; photovoltatronics; polycrystalline silicon on oxide; power electronics; tunnel-oxide passivated contacts","en","journal article","","","","","","","","","","","Photovoltaic Materials and Devices","","",""
"uuid:08e0f52f-c2ce-49a9-8d6f-a4f7c97a5145","http://resolver.tudelft.nl/uuid:08e0f52f-c2ce-49a9-8d6f-a4f7c97a5145","Unravelling corrosion degradation of aged aircraft components protected by chromate-based coatings","Cornet, A.J. (TU Delft Team Arjan Mol; Royal Netherlands Air Force); Homborg, A.M. (TU Delft Team Arjan Mol; Netherlands Defence Academy); Ravi Anusuyadevi, P. (TU Delft Team Arjan Mol); 't Hoen-Velterop, L. (National Aerospace Laboratory, Marknesse); Mol, J.M.C. (TU Delft Team Arjan Mol)","","2024","Despite extensive research, eliminating hexavalent chromium-based inhibitors from aerospace coatings remains challenging due to a lack of understanding of coating degradation during aircraft service. This study addresses the issue by investigating the protective mechanisms and aging processes of chromate-containing coatings on aircraft components after service for over 35 years. Four aircraft parts underwent visual inspection, disassembly, and analysis using scanning electron microscopy (SEM) and X-ray Photoelectron Spectroscopy (XPS). While most coating areas remained intact after extended use, three distinct degradation modes were identified: tip erosion, corrosion around rivets, and corrosion around fasteners at the leading edge. These findings reveal the complexity of corrosion protection, emphasizing that hexavalent chromium-containing coatings may not offer comprehensive protection at local design heterogeneities. The study also highlights the need to revisit traditional laboratory analysis protocols based on accelerated corrosion testing of oversimplified sample configurations, given the revealed end-of-service failure mechanisms.","Aerospace engineering; Structures; Aluminium alloy; Composites; Fasteners; Microscopic characterization and microanalysis; Corrosion; Manufacturing defect; Isolation; Drain holes","en","journal article","","","","","","","","","","","Team Arjan Mol","","",""
"uuid:73c6a47d-8747-4978-a06e-d0005e7ea0c6","http://resolver.tudelft.nl/uuid:73c6a47d-8747-4978-a06e-d0005e7ea0c6","Evolution of automated weakness detection in Ethereum bytecode: a comprehensive study","di Angelo, Monika (Technische Universität Wien; INESC-ID); Durieux, T. (TU Delft Software Engineering); Ferreira, João F. (INESC-ID; University of Lisbon); Salzer, Gernot (Technische Universität Wien; INESC-ID)","","2024","Blockchain programs (also known as smart contracts) manage valuable assets like cryptocurrencies and tokens, and implement protocols in domains like decentralized finance (DeFi) and supply-chain management. These types of applications require a high level of security that is hard to achieve due to the transparency of public blockchains. Numerous tools support developers and auditors in the task of detecting weaknesses. As a young technology, blockchains and utilities evolve fast, making it challenging for tools and developers to keep up with the pace. In this work, we study the robustness of code analysis tools and the evolution of weakness detection on a dataset representing six years of blockchain activity. We focus on Ethereum as the crypto ecosystem with the largest number of developers and deployed programs. We investigate the behavior of single tools as well as the agreement of several tools addressing similar weaknesses. Our study is the first that is based on the entire body of deployed bytecode on Ethereum’s main chain. We achieve this coverage by considering bytecodes as equivalent if they share the same skeleton. The skeleton of a bytecode is obtained by omitting functionally irrelevant parts. This reduces the 48 million contracts deployed on Ethereum up to January 2022 to 248 328 contracts with distinct skeletons. For bulk execution, we utilize the open-source framework SmartBugs that facilitates the analysis of Solidity smart contracts, and enhance it to accept also bytecode as the only input. Moreover, we integrate six further tools for bytecode analysis. The execution of the 12 tools included in our study on the dataset took 30 CPU years. While the tools report a total of 1 307 486 potential weaknesses, we observe a decrease in reported weaknesses over time, as well as a degradation of tools to varying degrees.","Blockchain; Bytecode; Debugging; Detection tools; Ethereum; EVM; Program analysis; Reproducible Bugs; Smart contracts; Vulnerability","en","journal article","","","","","","","","","","","Software Engineering","","",""
"uuid:47a67052-fd5a-4504-a2ef-bf437d141b46","http://resolver.tudelft.nl/uuid:47a67052-fd5a-4504-a2ef-bf437d141b46","Reassessing tin circularity and criticality","Bradley, J.E. (TU Delft Policy Analysis); Auping, Willem L. (TU Delft Policy Analysis); Kleijn, René (Universiteit Leiden); Kwakkel, J.H. (TU Delft Policy Analysis); Sprecher, B. (TU Delft Design for Sustainability)","","2024","Tin is an important metal for society with a high risk of supply disruptions. It is, therefore, classified as a critical material in many parts of the world. An exception is the European Union, for which tin was classified as a non-critical material in 2023. However, there are many discrepancies in the literature regarding the definitions and values of the indicators used to determine tin criticality in general, and recycling indicators in particular. Values for end-of-life recycling rate (EoL RR) range between 20% and 75%, and values for end-of-life recycling input rate (EoL RIR) range between 11% and 32%. In this paper, we critically assess the circularity and criticality indicator values for tin and calculate new values using material flow analysis. The new values for tin recycling indicators are lower than those used in most previous research, with a global EoL RR of 16% and an EoL RIR of 11% in 2017. Based on the updated recycling values, combined with a highly concentrated supply, high import reliance, and difficult substitution, we argue that the European Union should classify tin as a critical material. This reclassification can lead to more policy attention for tin, which can help reduce the impact of future supply disruptions and increase the resilience of the European and global tin supply chains.","circular economy; critical materials; European union; industrial ecology; material flow analysis; recycling","en","journal article","","","","","","","","","","","Policy Analysis","","",""
"uuid:e0191c85-f46b-4786-821c-59adeb24fa5c","http://resolver.tudelft.nl/uuid:e0191c85-f46b-4786-821c-59adeb24fa5c","Dataset on the tested and simulated response of thick cold-formed circular hollow sections under cyclic loading","Sadowski, Adam Jan (Imperial College London); Wong, W.J. (TU Delft Ship and Offshore Structures); Li, Sai Chung Simon (Imperial College London); Málaga-Chuquitaype, Christian (Imperial College London)","","2024","This article describes a dataset used to calibrate a finite element model of a thick circular hollow section (CHS) with varying d/t (diameter to thickness) ratio under cyclic loading which may be used as a computational model validation benchmark by researchers working on similar problems in structural and mechanical engineering. The test data consists of seven cold-formed S335J2H steel CHS tube specimens tested to buckling failure in low-cycle fatigue under a three-point bending arrangement, instrumented with discrete strain gauges, displacement transducers and string potentiometers together with continuous surface deformation fields obtained by two pairs of digital image correlation (DIC) cameras. ‘Half-cycle’ material data from the uniaxial tensile testing of dog-bone coupons is also provided. Comparisons between measured and simulated entities such as midspan forces, moments, displacements and mean curvatures can be obtained with MATLAB processing scripts. Complete ABAQUS model input files are also provided to aid in benchmarking.","Circular hollow section; Cyclic loading; Digital image correlation; Finite element analysis; Kinematic hardening; Seismic design","en","journal article","","","","","","","","","","","Ship and Offshore Structures","","",""
"uuid:6e514724-46b2-4868-952a-5da254ea1c4c","http://resolver.tudelft.nl/uuid:6e514724-46b2-4868-952a-5da254ea1c4c","The interplay of collagen, macrophages, and microcalcification in atherosclerotic plaque cap rupture mechanics","Jansen, Imke (Erasmus MC); Cahalane, Rachel (National University of Ireland Galway; Harvard Medical School); Hengst, Ranmadusha (Erasmus MC); Akyildiz, A.C. (TU Delft Medical Instruments & Bio-Inspired Technology; Erasmus MC); Farrell, Eric (Erasmus MC); Gijsen, F.J.H. (TU Delft Medical Instruments & Bio-Inspired Technology; Erasmus MC); Aikawa, Elena (Harvard Medical School); van der Heiden, Kim (Erasmus MC); Wissing, Tamar (Erasmus MC)","","2024","The rupture of an atherosclerotic plaque cap overlying a lipid pool and/or necrotic core can lead to thrombotic cardiovascular events. In essence, the rupture of the plaque cap is a mechanical event, which occurs when the local stress exceeds the local tissue strength. However, due to inter- and intra-cap heterogeneity, the resulting ultimate cap strength varies, causing proper assessment of the plaque at risk of rupture to be lacking. Important players involved in tissue strength include the load-bearing collagenous matrix, macrophages, as major promoters of extracellular matrix degradation, and microcalcifications, deposits that can exacerbate local stress, increasing tissue propensity for rupture. This review summarizes the role of these components individually in tissue mechanics, along with the interplay between them. We argue that to be able to improve risk assessment, a better understanding of the effect of these individual components, as well as their reciprocal relationships on cap mechanics, is required. Finally, we discuss potential future steps, including a holistic multidisciplinary approach, multifactorial 3D in vitro model systems, and advancements in imaging techniques. The obtained knowledge will ultimately serve as input to help diagnose, prevent, and treat atherosclerotic cap rupture.","Atherosclerosis; Collagen; Macrophages; Microcalcifications; Tissue mechanics","en","review","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:5497947b-8b42-45d1-8eff-a63e3b7491ab","http://resolver.tudelft.nl/uuid:5497947b-8b42-45d1-8eff-a63e3b7491ab","Thermally self-sufficient heat pump-assisted azeotropic dividing-wall column for biofuels recovery from isopropanol-butanol-ethanol fermentation","Jankovic, T.J. (TU Delft BT/Bioprocess Engineering); Straathof, Adrie J.J. (TU Delft BT/Bioprocess Engineering); Kiss, A.A. (TU Delft ChemE/Product and Process Engineering)","","2024","Isopropanol-butanol-ethanol (IBE) fermentation is a superior biofuel production technology as compared to acetone-butanol-ethanol (ABE) fermentation due to the better fuel properties of the obtained products. However, low product concentrations, thermodynamic constraints and the presence of microorganisms lead to complex downstream processing that limits the competitiveness of this biofuel production method. Thus, this original research proposes a novel thermally self-sufficient and eco-efficient downstream process for industrial-scale recovery after IBE fermentation (74 ktonne/y capacity), from a highly dilute broth (>97 wt% water). Gas stripping and heat pump-assisted vacuum evaporation were implemented to separate valuable products from most of the broth. Furthermore, an advanced highly integrated heat pump-assisted azeotropic dividing-wall column was designed to recover high-purity (99 wt%) butanol biofuel and isopropanol – ethanol fuel supplement (89 wt%). The proposed purification process recovers over 99 % of biofuel products in a cost-effective (0.130 $/kgIBE) and energy-efficient way (0.673 kWeh/kgIBE) while allowing full recycle of biomass and most of the separated water. Besides improving yield by continuously recovering the inhibitory products, fermentation can be further enhanced by avoiding biomass loss and reducing water requirements. Lastly, the implemented energy-saving techniques ensure complete electrification of the proposed IBE recovery process. Therefore, the original results of this research study significantly contribute to the development of sustainable biofuel production processes.","Azeotropic dividing-wall column; Downstream processing; Heat pumps; Process electrification; Process intensification","en","journal article","","","","","","Funding Information: All persons who have made substantial contributions to the work reported in the manuscript (e.g. technical help, writing and editing assistance, general support), but who do not meet the criteria for authorship, are named in the Acknowledgements and have given us their written permission to be named. If we have not included an Acknowledgements, then that indicates that we have not received substantial contributions from non-authors. Publisher Copyright: © 2024 The Author(s)","","","","","BT/Bioprocess Engineering","","",""
"uuid:c5ac5edc-9c2a-4653-8548-9f31273d8f28","http://resolver.tudelft.nl/uuid:c5ac5edc-9c2a-4653-8548-9f31273d8f28","Structural and Optical Properties of Thin Film β-Ta upon Exposure to Hydrogen to Asses Its Applicability as Hydrogen Sensing Material","Bannenberg, L.J. (TU Delft RID/TS/Instrumenten groep); Verhoeff, D. (TU Delft Mechanical, Maritime and Materials Engineering); Jonckers Newton, Nick (Student TU Delft); Thijs, M.A. (TU Delft RID/TS/Technici Pool); Schreuders, H. (TU Delft ChemE/O&O groep)","","2024","Here, we study the structural and optical properties of tetragonal β-tantalum-sputtered thin films both ex situ and when exposed to hydrogen, with a focus on optical hydrogen sensing applications. Using optical transmission measurements, out-of-plane and in-plane X-ray diffraction, and X-ray and neutron reflectometry, we show that thin film β-tantalum gradually, reversibly, and hysteresis-freely absorbs hydrogen with an increasing hydrogen pressure/concentration. The gradual absorption of hydrogen with increasing hydrogen concentrations induces a change in the optical transmission and reflection. These quantities change reversibly and are hysteresis-free over at least 5 orders of magnitude in hydrogen pressure/concentration, making β-tantalum a suitable hydrogen sensing material. At all partial hydrogen pressures studied, we observe that the volumetric expansion, hydrogen-to-metal ratio, and lattice expansion are substantially smaller than for body-centered cubic α-tantalum.","metal hydrides; neutron reflectometry; optical hydrogen sensing; tantalum; thin films; X-ray diffraction","en","journal article","","","","","","","","","Mechanical, Maritime and Materials Engineering","","RID/TS/Instrumenten groep","","",""
"uuid:df2099cc-d366-41e7-a04a-e5af41e10edc","http://resolver.tudelft.nl/uuid:df2099cc-d366-41e7-a04a-e5af41e10edc","PVA-TiO2 Nanocomposite Hydrogel as Immobilization Carrier for Gas-to-Liquid Wastewater Treatment","Surkatti, Riham (Qatar University); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology); Hussein, Ibnelwaleed A. (Qatar University); El-Naas, Muftah H. (Qatar University)","","2024","This study investigates the development of polyvinyl alcohol (PVA) gel matrices for biomass immobilization in wastewater treatment. The PVA hydrogels were prepared through a freezing–thawing (F-T) cross-linking process and reinforced with high surface area nanoparticles to improve their mechanical stability and porosity. The PVA/nanocomposite hydrogels were prepared using two different nanoparticle materials: iron oxide (Fe3O2) and titanium oxide (TiO2). The effects of the metal oxide nanoparticle type and content on the pore structure, hydrogel bonding, and mechanical and viscoelastic properties of the cross-linked hydrogel composites were investigated. The most durable PVA/nanoparticles matrix was then tested in the bioreactor for the biological treatment of wastewater. Morphological analysis showed that the reinforcement of PVA gel with Fe2O3 and TiO2 nanoparticles resulted in a compact nanocomposite hydrogel with regular pore distribution. The FTIR analysis highlighted the formation of bonds between nanoparticles and hydrogel, which caused more interaction within the polymeric matrix. Furthermore, the mechanical strength and Young’s modulus of the hydrogel composites were found to depend on the type and content of the nanoparticles. The most remarkable improvement in the mechanical strength of the PVA/nanoparticles composites was obtained by incorporating 0.1 wt% TiO2 and 1.0 wt% Fe2O3 nanoparticles. However, TiO2 showed more influence on the mechanical strength, with more than 900% improvement in Young’s modulus for TiO2-reinforced PVA hydrogel. Furthermore, incorporating TiO2 nanoparticles enhanced hydrogel stability but did not affect the biodegradation of organic pollutants in wastewater. These results suggest that the PVA-TiO2 hydrogel has the potential to be used as an effective carrier for biomass immobilization and wastewater treatment.","biomass; compression strength; nano-gel; polyvinyl alcohol (PVA); porosity; water purification","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:3aca29e3-be8a-445d-beb7-a978d5a2d4d6","http://resolver.tudelft.nl/uuid:3aca29e3-be8a-445d-beb7-a978d5a2d4d6","Effects on voice hearing distress and social functioning of unguided application of a smartphone app — A randomized controlled trial","Jongeneel, Alyssa (Vrije Universiteit Amsterdam; Parnassia Psychiatric Institute); Delespaul, Philippe (Universiteit Maastricht; Mondriaan Mental Health Centre, Heerlen); Tromp, N. (TU Delft Design Aesthetics); Scheffers, Dorien (Parnassia Psychiatric Institute); van der Vleugel, Berber (GGZ Noord-Holland Noord, Alkmaar; Viersprong Institute for Personality Disorders, Amsterdam); de Bont, Paul (Land van Cuijck en Noord Limburg); Kikkert, Martijn (Arkin BasisGGZ); Croes, Carlos F. (GGz Centraal); van den Berg, David (Vrije Universiteit Amsterdam; Parnassia Psychiatric Institute)","","2024","Background: Temstem is a smartphone app developed with and for clinical voice hearing individuals with the aim to reduce their voice hearing distress and improve social functioning. Methods: A randomized controlled trial with adult outpatients suffering from distressing and frequent auditory verbal hallucinations (AVH) was conducted. Participants were randomized to unguided ‘Temstem+AVH monitoring’ or unguided ‘AVH monitoring only’ (control condition). Assessments were performed at baseline, post-intervention (week 5–6), and follow-up (week 9–10). Primary outcomes were voice hearing distress and social functioning, as measured with Experience Sampling Method (ESM), consisting of multiple daily questionnaires during six days. In addition, voices and mood were self-monitored with help of a daily reflective questionnaire. Analyses were linear regression models (intention-to-treat). Results: 44 Participants were allocated to Temstem and 45 to the control condition. No significant differences between the groups were found on both primary outcomes. Conclusion: Our results do not support the effectiveness of stand-alone use of Temstem versus symptom monitoring on voice hearing distress or social functioning in voice hearing individuals. In order to potentially improve effectiveness of an mHealth tool in a population of people with frequent and distressing voices, we recommend to involve persons with lived experience in all stages of development and research; to thoroughly test the (technological) usability before performing an RCT; to test whether guidance of a therapist is needed to optimize effectiveness; and to provide prompts to remind the user to actually use the tool.","Auditory verbal hallucinations; ESM; Mhealth; Psychosis; Voice hearing; Voices","en","journal article","","","","","","","","","","","Design Aesthetics","","",""
"uuid:78f97eb2-bb20-439a-85b4-9cb0f6d634b0","http://resolver.tudelft.nl/uuid:78f97eb2-bb20-439a-85b4-9cb0f6d634b0","A column-generation matheuristic approach for optimizing first-mile ridesharing services with publicly- and privately-owned autonomous vehicles","He, P. (TU Delft Transport Engineering and Logistics; Shanghai Jiao Tong University); Jin, Jian Gang (Shanghai Jiao Tong University); Trépanier, Martin (Polytechnique Montreal; Centre interuniversitaire de recherche sur les réseaux d’entreprise, la logistique et le transport (CIRRELT)); Schulte, F. (TU Delft Transport Engineering and Logistics)","","2024","The burden of first-mile connection to public transit stations is a key barrier that discourages riders from taking public transportation. Public transit agencies typically operate a modest fleet of vehicles to provide first-mile services due to the high operating costs, thus failing to adequately meet the first-mile travel demands, especially during peak hours. At the same time, private cars are underutilized and have a lot of idle time. With the emergence of self-driving vehicles, new opportunities for addressing the current dilemma arise, such as integrating idle private self-driving vehicles to provide first-mile services, which is beneficial for public transportation agencies to provide high-quality services at low costs. This study investigates the first-mile ridesharing problem in which public transit agencies utilize idle privately-owned autonomous vehicles to dynamically inflate their fleet. This problem is more challenging in decision-making than conventional first-mile problems, as it involves decisions on heterogeneous fleet scheduling, vehicle routing, and time scheduling, all while taking into account the service quality for riders. To address this problem, an arc-based mixed-integer linear programming (MILP) model and a trip-based set-partitioning model are developed, both aiming to minimize total operational costs. To identify promising trips, we propose a tailored labeling algorithm with a novel dominance rule, along with a time window shift algorithm to determine the best schedule. To yield high-quality solutions in a short computation time, a tailored column-generation matheuristic algorithm is introduced. A branch-and-price exact algorithm and an adaptive large neighborhood search algorithm are developed to assess the matheuristic algorithm. Numerical experiments are conducted to demonstrate the effectiveness and applicability of the proposed models and algorithms. Experiments also show that this kind of ridesharing service can provide low-cost and high-quality services for the first-mile problem.","Autonomous vehicles; Branch-and-price algorithm; Column-generation matheuristic algorithm; First-mile ridesharing; Public transportation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-10","","","Transport Engineering and Logistics","","",""
"uuid:fb48a2fc-ad91-4630-8ded-7c67c5f4ad61","http://resolver.tudelft.nl/uuid:fb48a2fc-ad91-4630-8ded-7c67c5f4ad61","Adjuvant holmium-166 radioembolization after radiofrequency ablation in early-stage hepatocellular carcinoma patients: a dose-finding study (HORA EST HCC trial)","Hendriks, P. (Leiden University Medical Center); Rietbergen, Daphne D.D. (Leiden University Medical Center); van Erkel, Arian R. (Leiden University Medical Center); Coenraad, Minneke J. (Leiden University Medical Center); Arntz, Mark J. (Radboud University Medical Center); Bennink, Roel J. (Universiteit van Amsterdam); Braat, Andries E. (Leiden University Medical Center); de Geus-Oei, L.F. (TU Delft RST/Radiation, Science and Technology; Leiden University Medical Center; University of Twente); Burgmans, M.C. (Leiden University Medical Center)","","2024","Purpose: The aim of this study was to investigate the biodistribution of (super-)selective trans-arterial radioembolization (TARE) with holmium-166 microspheres (166Ho-MS), when administered as adjuvant therapy after RFA of HCC 2–5 cm. The objective was to establish a treatment volume absorbed dose that results in an absorbed dose of ≥ 120 Gy on the hyperemic zone around the ablation necrosis (i.e., target volume). Methods: In this multicenter, prospective dose-escalation study in BCLC early stage HCC patients with lesions 2–5 cm, RFA was followed by (super-)selective infusion of 166Ho-MS on day 5–10 after RFA. Dose distribution within the treatment volume was based on SPECT-CT. Cohorts of up to 10 patients were treated with an incremental dose (60 Gy, 90 Gy, 120 Gy) of 166Ho-MS to the treatment volume. The primary endpoint was to obtain a target volume dose of ≥ 120 Gy in 9/10 patients within a cohort. Results: Twelve patients were treated (male 10; median age, 66.5 years (IQR, [64.3–71.7])) with a median tumor diameter of 2.7 cm (IQR, [2.1–4.0]). At a treatment volume absorbed dose of 90 Gy, the primary endpoint was met with a median absorbed target volume dose of 138 Gy (IQR, [127–145]). No local recurrences were found within 1-year follow-up. Conclusion: Adjuvant (super-)selective infusion of 166Ho-MS after RFA for the treatment of HCC can be administered safely at a dose of 90 Gy to the treatment volume while reaching a dose of ≥ 120 Gy to the target volume and may be a favorable adjuvant therapy for HCC lesions 2–5 cm. Trial registration: Clinicaltrials.gov NCT03437382.","Adjuvant therapy; Dose-escalation study; Hepatocellular carcinoma; Holmium-166; Radiofrequency ablation; Trans-arterial radioembolization","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-08","","RST/Radiation, Science and Technology","","","",""
"uuid:c439c2a9-f5c0-48e8-9d65-e4a02d1c2eba","http://resolver.tudelft.nl/uuid:c439c2a9-f5c0-48e8-9d65-e4a02d1c2eba","Momentum Transport in Organized Shallow Cumulus Convection","Savazzi, A.C.M. (TU Delft Atmospheric Remote Sensing); Nuijens, Louise (TU Delft Atmospheric Remote Sensing); De Rooy, Wim (Royal Netherlands Meteorological Institute (KNMI)); Janssens, M. (TU Delft Atmospheric Remote Sensing; Wageningen University & Research); Siebesma, A.P. (TU Delft Atmospheric Remote Sensing; Royal Netherlands Meteorological Institute (KNMI))","","2024","This study investigates momentum transport in shallow cumulus clouds as simulated with the Dutch Atmospheric Large Eddy Simulation (DALES) for a 150 3 150 km2 domain east of Barbados during 9 days of EUREC4A. DALES is initialized and forced with the mesoscale weather model HARMONIE-AROME and subjectively reproduces observed cloud patterns. This study examines the evolution of momentum transport, which scales contribute to it, and how they modulate the trade winds. Daily-mean momentum flux profiles show downgradient zonal momentum transport in the subcloud layer, which turns countergradient in the cloud layer. The meridional momentum transport is nontrivial, with mostly downgradient transport throughout the trade wind layer except near the top of the surface layer and near cloud tops. Substantial spatial and temporal heterogeneity in momentum flux is observed with much stronger tendencies imposed in areas of organized convection. The study finds that while scales < 2 km dominate momentum flux at 200 m in unorganized fields, submesoscales O(2-20) km carry up to 50% of the zonal momentum flux in the cloud layer in organized fields. For the meridional momentum flux, this fraction is even larger near the surface and in the subcloud layer. The scale dependence of the momentum flux is not explained by changes in convective or boundary layer depth. Instead, the results suggest the importance of spatial heterogeneity, increasing horizontal length scales, and countergradient transport in the presence of organized convection.","Convective parameterization; Convective-scale processes; Large eddy simulations; Mesoscale processes; Momentum; Subtropics","en","journal article","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:7dbd86c2-4f14-4e4c-8b0e-a74a9f69c8a9","http://resolver.tudelft.nl/uuid:7dbd86c2-4f14-4e4c-8b0e-a74a9f69c8a9","The CCAP for graph products of operator algebras","Borst, M.J. (TU Delft Analysis)","","2024","For a simple graph Γ and for unital C*-algebras with GNS-faithful states (Av,φv) for v∈VΓ, we consider the reduced graph product (A,φ)=⁎v,Γ(Av,φv), and show that if every C*-algebra Av has the completely contractive approximation property (CCAP) and satisfies some additional condition, then the graph product has the CCAP as well. The additional condition imposed is satisfied in natural cases, for example for the reduced group C*-algebra of a discrete group G that possesses the CCAP. Our result is an extension of the result of Ricard and Xu in [28, Proposition 4.11] where they prove this result under the same conditions for free products. Moreover, our result also extends the result of Reckwerdt in [27, Theorem 5.5], where he proved for groups that weak amenability with Cowling-Haagerup constant 1 is preserved under graph products. Our result further covers many new cases coming from Hecke-algebras and discrete quantum groups.","Completely contractive approximation property (CCAP); Graph products of operator algebras; Khintchine inequalities; Weak amenability with Cowling-Haagerup constant 1","en","journal article","","","","","","","","","","","Analysis","","",""
"uuid:e88da30d-3e7a-4d70-bf5c-c4982f02d2c8","http://resolver.tudelft.nl/uuid:e88da30d-3e7a-4d70-bf5c-c4982f02d2c8","Developing Crowdfunding-Based Financing Scheme to Increase Potential of Micro, Small, and Medium Business in Urban Green Space","Berawi, Mohammed Ali (Universitas Indonesia); Sari, Mustika (Universitas Indonesia); Lumbantobing, Vanana (Universitas Indonesia); Susilowati, Suci Indah (Universitas Indonesia); Susantono, Bambang (Universitas Indonesia; Universitas Diponegoro); Woodhead, Roy (Sheffield Hallam University); Sejatiguna, P.M. (TU Delft Design & Construction Management; Universitas Indonesia)","","2024","Micro, Small, and Medium Business (MSMEs) are vital to the Indonesian economic development, and the government is focusing on this sector for post-pandemic recovery. There is an emphasis on infrastructure such as urban green spaces, or Ruang Terbuka Hijau (RTH). RTHs have untapped potential as centers for agricultural and processing MSMEs. However, these businesses often face financing challenges, prompting the exploration of alternative scheme like crowdfunding. Therefore, this study aimed to (1) explore how RTH lands can be leveraged for local economic activities, and (2) devise a public fund-based crowdfunding financing model. Using RTH Kalijodo in Jakarta as a case study, the study included literature reviews, benchmarking, fieldwork, and Life Cycle Cost (LCC) analysis. The results show the need for the development of vertical hydroponics, tilapia farming, and tilapia fillet processing business in RTH Kalijodo. Financial analyses, including IRR calculations exceeding the 10.41% WACC, a positive NPV, and a payback period under five years, show the financial viability of these sectors.","Crowdfunding; Life cycle cost; MSMEs; Urban green space","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:2195e3ab-bc08-4b5d-ada8-a864817aecfd","http://resolver.tudelft.nl/uuid:2195e3ab-bc08-4b5d-ada8-a864817aecfd","An omics-based machine learning approach to predict diabetes progression: a RHAPSODY study","Slieker, Roderick C. (Vrije Universiteit Amsterdam; Amsterdam Public Health; Amsterdam Cardiovascular Sciences; Leiden University Medical Center); Münch, Magnus (Vrije Universiteit Amsterdam); Donnelly, Louise A. (University of Dundee); Bouland, G.A. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center); Dragan, Iulian (SIB Swiss Institute of Bioinformatics); Kuznetsov, Dmitry (SIB Swiss Institute of Bioinformatics); Elders, Petra J.M. (Amsterdam Public Health; Amsterdam Cardiovascular Sciences; Amsterdam UMC); Rutter, Guy A. (Nanyang Technological University; Université de Montréal); Ibberson, Mark (SIB Swiss Institute of Bioinformatics)","","2024","Aims/hypothesis: People with type 2 diabetes are heterogeneous in their disease trajectory, with some progressing more quickly to insulin initiation than others. Although classical biomarkers such as age, HbA1c and diabetes duration are associated with glycaemic progression, it is unclear how well such variables predict insulin initiation or requirement and whether newly identified markers have added predictive value. Methods: In two prospective cohort studies as part of IMI-RHAPSODY, we investigated whether clinical variables and three types of molecular markers (metabolites, lipids, proteins) can predict time to insulin requirement using different machine learning approaches (lasso, ridge, GRridge, random forest). Clinical variables included age, sex, HbA1c, HDL-cholesterol and C-peptide. Models were run with unpenalised clinical variables (i.e. always included in the model without weights) or penalised clinical variables, or without clinical variables. Model development was performed in one cohort and the model was applied in a second cohort. Model performance was evaluated using Harrel’s C statistic. Results: Of the 585 individuals from the Hoorn Diabetes Care System (DCS) cohort, 69 required insulin during follow-up (1.0–11.4 years); of the 571 individuals in the Genetics of Diabetes Audit and Research in Tayside Scotland (GoDARTS) cohort, 175 required insulin during follow-up (0.3–11.8 years). Overall, the clinical variables and proteins were selected in the different models most often, followed by the metabolites. The most frequently selected clinical variables were HbA1c (18 of the 36 models, 50%), age (15 models, 41.2%) and C-peptide (15 models, 41.2%). Base models (age, sex, BMI, HbA1c) including only clinical variables performed moderately in both the DCS discovery cohort (C statistic 0.71 [95% CI 0.64, 0.79]) and the GoDARTS replication cohort (C 0.71 [95% CI 0.69, 0.75]). A more extensive model including HDL-cholesterol and C-peptide performed better in both cohorts (DCS, C 0.74 [95% CI 0.67, 0.81]; GoDARTS, C 0.73 [95% CI 0.69, 0.77]). Two proteins, lactadherin and proto-oncogene tyrosine-protein kinase receptor, were most consistently selected and slightly improved model performance. Conclusions/interpretation: Using machine learning approaches, we show that insulin requirement risk can be modestly well predicted by predominantly clinical variables. Inclusion of molecular markers improves the prognostic performance beyond that of clinical variables by up to 5%. Such prognostic models could be useful for identifying people with diabetes at high risk of progressing quickly to treatment intensification. Data availability: Summary statistics of lipidomic, proteomic and metabolomic data are available from a Shiny dashboard at https://rhapdata-app.vital-it.ch. Graphical Abstract: (Figure presented.).","Machine learning; Prediction model; Progression; Type 2 diabetes","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:60d5092f-1ce7-46ab-8d7c-3825679317da","http://resolver.tudelft.nl/uuid:60d5092f-1ce7-46ab-8d7c-3825679317da","Prospective life cycle inventory datasets for conventional and hybrid-electric aircraft technologies","Thonemann, Nils (Technical University of Denmark); Saavedra-Rubio, Karen (Technical University of Denmark); Pierrat, Eleonore (Technical University of Denmark); Dudka, Katarzyna (Technical University of Denmark); Bangoura, Mathilde (MAHYTEC); Baumann, Nils (Proton Motor Fuel Cell GmbH); Bentheimer, Christian (Friedrich-Alexander-Universität Erlangen-Nürnberg); Caliandro, Priscilla (Bern University of Applied Sciences); De Breuker, R. (TU Delft Group De Breuker)","","2024","Hybrid-electric aircraft represent a promising solution for the urgent need to decarbonize short-haul flights and bolster aviation sustainability. Nevertheless, the realization of hybrid-electric aircraft demands rigorous environmental impact analysis, given the substantial investments, time, and research required for technology development. This study offers a comprehensive life cycle inventory spanning the years 2030, 2040, and 2050 for both conventional and hybrid-electric aircraft configurations. Our inventory datasets are meticulously constructed through a systematic approach, ensuring data harmonization by drawing upon scientific literature, industry expertise, and primary data sources. This extensive dataset encompasses all pertinent systems necessary to model the environmental footprint of flights covering distances ranging from 200 to 600 nautical miles, utilizing a 50-passenger aircraft with the ATR42 as a reference model. Additionally, we furnish supplemental data for end-of-life considerations and uncertainty analysis. The systems under examination include the airframe, powertrain, power electronics and drives, batteries, fuel cells, hydrogen onboard storage, airport infrastructure, and battery charging stations. Notably, the carbon footprint of conventional aircraft aligns with data from the ecoinvent v3.8 database; however, our provided datasets are more than tenfold more detailed and incorporate a forward-looking perspective. These meticulously curated life cycle inventories can be amalgamated to simulate the potential environmental ramifications of conventional aircraft powered by kerosene or alternative aviation fuels, hybrid-electric aircraft utilizing battery technology, and hybrid-electric aircraft employing hydrogen as a fuel in conjunction with batteries. In this context, our findings play a pivotal role in nurturing the development of technology roadmaps that prioritize environmental sustainability within the realm of regional aviation.","Aviation; Data collection; Environmental sustainability; Industrial ecology; LCA; Prospective life cycle assessment; Transport","en","journal article","","","","","","","","","","","Group De Breuker","","",""
"uuid:e75da446-5b4a-4de6-9867-176a6d4e5037","http://resolver.tudelft.nl/uuid:e75da446-5b4a-4de6-9867-176a6d4e5037","Explaining Value Capture Implementation in New York, London, and Copenhagen: Negotiating Distributional Effects","van Zoest, S.P. (TU Delft Practice Chair Urban Area Development); Daamen, T.A. (TU Delft Urban Development Management)","","2024","Value capture (VC) is widely cited as a method for local authorities to provide urban public goods to their cities in the face of fiscal stress. Its application in practice however remains limited. In this article, we aim to explain the implementation process of VC as a strategy to fund public transportation infrastructure through case studies in London, New York, and Copenhagen. Adopting a theory of gradual institutional change, we argue that the implementation of VC depends on the capacity to change distributional institutions that are inherently contested. Particularly relevant is the role of the beneficiary, whose support of VC is necessary but not likely. Our results show that a strategic urban development project can act as a driver to overcome this barrier, but that this driver can, simultaneously, also hinder the institutionalization potential of a VC strategy. We therefore suggest that, for VC strategies to become more commonplace, sharing value uplifts among beneficiaries must become more commonplace too.","institutional change; physical infrastructure; urban development; urban public policies; value capture","en","journal article","","","","","","Online First version.","","","","","Practice Chair Urban Area Development","","",""
"uuid:717e8a72-bb5f-4e68-8b77-fe2c67fbf702","http://resolver.tudelft.nl/uuid:717e8a72-bb5f-4e68-8b77-fe2c67fbf702","Cliquepolitik: Multimodal online discourse coalitions on CRISPR-Cas genome editing technology","Rojas-Padilla, Eduardo (Wageningen University & Research); Metze, T.A.P. (TU Delft Organisation & Governance); Dewulf, Art (Wageningen University & Research)","","2024","The influence of visualizations on decision-making about controversial policy issues is increasingly recognized in the political and policy sciences. In this paper, we explore how combinations of visuals and text on Twitter (now X) lead to the formation of networks of actors sharing similar textual and visual framings about a policy issue in an online setting, which we conceptualize as Multimodal Online Discourse Coalitions (MODCs). MODCs struggle over the meaning of contested policy issues. We examine multiple MODCs in 2018 in the context of the regulatory decisions in that year about CRISPR-Cas gene editing technology in the USA, Mercosur, and the EU. Based on an SNA and a qualitative visual and discursive analysis in three languages on Twitter in 2018 (covering in total ~ 427 k Tweets), we show that MODCs in English and Spanish focused on technocratic aspects of CRISPR-Cas, resembling the regulatory decisions in the USA and Mercosur. In Europe, next to technocratic MODCs, an MODC in French formed around ethical/normative framings of the consequences of CRISPR-Cas applications, using visuals of embryos to represent “GMO babies.” These visuals were emotional triggers in their framing of CRISPR technology. The ethical/normative framing reflected the argument brought to the CJEU by a group of French actors involved in the court case which categorized CRISPR-Cas as a GMO technology in the EU. These results suggest that the French MODC and their visualization was of influence on the EU decision-making process; however, more research is needed to verify the role of this online debate in the decision-making process.","CRISPR-Cas; discourse coalition; genome editing; multimodal framing; online public debate; policy controversies; technological governance","en","journal article","","","","","","","","","","","Organisation & Governance","","",""
"uuid:fa3a5bd1-02d6-4ba4-b7dc-3d6782cb6df6","http://resolver.tudelft.nl/uuid:fa3a5bd1-02d6-4ba4-b7dc-3d6782cb6df6","Random organic framework membranes with hierarchical channels for H2 separation","Luan, Liping (Tianjin University); Shi, Puxin (Tianjin University); Wang, Zhi (Tianjin University); Kapteijn, F. (TU Delft ChemE/Catalysis Engineering); Liu, Xinlei (Tianjin University)","","2024","Novel membrane materials for H2 separation are wanted. How to overcome the “trade-off” between membrane permeability and selectivity is a tough challenge. Here we report new random organic framework membranes with benzimidazole and imine linkages to form hierarchical channels. Both high H2-selective and fast H2 transport pathways are created. The preparation parameters are thoroughly studied and the membrane structures are well characterized by SEM, AFM, NMR, XPS, gas sorption, etc. Effect of feed conditions on membrane performance, such as composition, pressure and temperature, is investigated. The membrane performance transcends the upper bounds of H2/CO2, H2/N2 and H2/CH4 with excellent stability.","Benzimidazole and imine linked polymer; H separation; Hierarchical channels; Random organic framework membranes","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-08","","","ChemE/Catalysis Engineering","","",""
"uuid:04d25e38-1ac5-4dd9-b6b3-9cf1f70bbdc8","http://resolver.tudelft.nl/uuid:04d25e38-1ac5-4dd9-b6b3-9cf1f70bbdc8","Multiscale modelling and experimental analysis of ultrasonic-assisted drilling of GLARE fibre metal laminates","Atif, Muhammad (Beijing Institute of Technology); Wang, Xibin (Beijing Institute of Technology); Xie, Lijing (Beijing Institute of Technology); Giasin, Khaled (University of Portsmouth); Ma, Yuan (Tsinghua University); Jiang, Chulin (Teesside University); Koklu, Ugur (Karamanoglu Mehmetbey University); Sinke, J. (TU Delft Group Sinke)","","2024","This study aims to evaluate the effectiveness of Ultrasonic-assisted drilling (UAD) of Glass laminate aluminium reinforced epoxy (GLARE) at high cutting speeds (Spindle speeds: 3000–7500 rpm; feed rates 300–750 mm/min) by analysing the thrust force and hole quality metrics (surface roughness, hole size, and burr formations. The research also presents numerical modelling of FMLs under conventional and UAD regimes to predict thrust force using ABAQUS/SIMULIA. The thrust force and exit burrs were reduced by up to 40.83 % and 80 %, respectively. The surface roughness metrics (Ra and Rz) were slightly higher using UAD but remained within the desirable limits of surface roughness for machined aeronautical structures. The discrepancy between the simulation and experimental results was adequate and did not exceed 15 %. The current study shows that it is feasible to drill holes in GLARE using higher cutting parameters and maintain excellent hole quality, which means increased productivity and reduced costs.","GLARE; Surface roughness; Thrust force; Ultrasonic assisted drilling","en","journal article","","","","","","","","","","","Group Sinke","","",""
"uuid:090488a2-8ca2-4a3d-afab-950d736c43b0","http://resolver.tudelft.nl/uuid:090488a2-8ca2-4a3d-afab-950d736c43b0","Recyclable Conjugated Polyelectrolyte Hydrogels for Pseudocapacitor Fabrication","Jiang, Yan (National University of Singapore); Vázquez, Ricardo Javier (National University of Singapore; Nanyang Technological University; Indiana University - Purdue University); McCuskey, Samantha R. (National University of Singapore; Nanyang Technological University); Yip, Benjamin Rui Peng (National University of Singapore); Quek, Glenn (National University of Singapore); Ohayon, David (National University of Singapore); Kundukad, Binu (Nanyang Technological University); Wang, Xuehang (TU Delft RST/Storage of Electrochemical Energy); Bazan, Guillermo C. (National University of Singapore; Nanyang Technological University; University of California)","","2024","In alignment with widespread interest in carbon neutralization and sustainable practices, we disclose that conjugated polyelectrolyte (CPE) hydrogels are a type of recyclable, electrochemically stable, and environmentally friendly pseudocapacitive material for energy storage applications. By leveraging ionic-electronic coupling in a relatively fluid medium, one finds that hydrogels prepared using a fresh batch of an anionic CPE, namely, Pris-CPE-K, exhibit a specific capacitance of 32.6 ± 6.6 F g-1 in 2 M NaCl and are capable of 80% (26.1 ± 6.5 F g-1) capacitance retention after 100,000 galvanostatic charge-discharge (GCD) cycles at a current density (J) of 10 A g-1. We note that equilibration under a constant potential prior to GCD analysis leads to the K+ counterions in the CPE exchanging with Na+ and, thus, the relevant active material Pris-CPE-Na. It is possible to remove the CPE material from the electrochemical cell via extraction with water and to carry out a simple purification through dialysis to produce a recycled material, namely Re-CPE-Na. The recycling workup has no significant detrimental impact on the electrochemical performance. Specifically, Re-CPE-Na hydrogels display an initial specific capacitance of 26.3 ± 1.2 F g-1 (at 10 A g-1) and retain 77% of the capacitance after a subsequent 100,000 GCD cycles. Characterization by NMR, FTIR, and Raman spectroscopies, together with XPS and GPC measurements, revealed no change in the structure of the backbone or side chains. However, rheological measurements gave evidence of a slight loss in G′ and G′′. Overall, that CPE hydrogels display recyclability argues in favor of considering them as a novel materials platform for energy storage applications within an economically viable circular recycling strategy.","conjugated polyelectrolytes; cycling stability; energy storage; organic mixed ionic-electronic conductors; recyclable pseudocapacitive material","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-27","","","RST/Storage of Electrochemical Energy","","",""
"uuid:5b59bf07-cbe2-4b15-bed7-11cd5ba891ac","http://resolver.tudelft.nl/uuid:5b59bf07-cbe2-4b15-bed7-11cd5ba891ac","GRACE-FO radiation pressure modelling for accurate density and crosswind retrieval","Hladczuk, N.A. (TU Delft Astrodynamics & Space Missions); van den IJssel, J.A.A. (TU Delft Astrodynamics & Space Missions); Kodikara, T. (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Siemes, C. (TU Delft Astrodynamics & Space Missions); Visser, P.N.A.M. (TU Delft Space Engineering)","","2024","Uncertainties in radiation pressure modelling play a significant role in the thermospheric density and crosswind observations derived from the GRACE-FO accelerometer, especially during low solar activity. Under such conditions, the radiation pressure acceleration matches the magnitude of the aerodynamic acceleration along the track and exceeds it in the cross-track direction. The GRACE-FO mission has been operating for several years at such high altitudes during both low and rising solar activity, providing a perfect opportunity to study the effects of radiation pressure. This research uses ray tracing based on a high-fidelity satellite geometry model to calculate the radiation pressure acceleration. We numerically fine-tuned the coefficients describing the thermo-optical surface properties to obtain more accurate radiation pressure accelerations than those specified in the GRACE-FO mission manual. We also used in situ temperature measurements from thermistors on the solar arrays to model the satellite's thermal emission. These temperature measurements allowed a realistic setup of the thermal model, extended by the parameter describing the efficiency of the solar cells, and reproduced the acceleration of the thermal emission with an accuracy of RMS 0.148 nms−2. The combination of the updated thermal model and the fine-tuning of the surface coefficients improved the accuracy of the crosswind acceleration to an RMS of 0.55 nms−2, compared to an RMS of 4.22 nms−2 when using panel models and instantaneous thermal radiation. We compared the observed crosswind with two models: HWM14 and TIE-GCM. While both models capture most of the salient features of the observed crosswind, HWM14 shows particularly good agreement at high latitudes. Compared to the previously employed radiation pressure model, the crosswind observations have been improved in low and mid-latitudes, especially during periods of higher solar activity. Since the effect of radiation pressure is most significant in the crosswind direction, the effect on density was small compared to previously published datasets.","GRACE-FO; Neutral mass density; Neutral winds; Radiation pressure; Thermal emission; Thermosphere","en","journal article","","","","","","","","","","Space Engineering","Astrodynamics & Space Missions","","",""
"uuid:28077850-61f9-42b3-8626-5e6861619088","http://resolver.tudelft.nl/uuid:28077850-61f9-42b3-8626-5e6861619088","Diversity-Based Topology Optimization of Soft Robotic Grippers","Pinskier, Josh (The Commonwealth Scientific and Industrial Research Organisation (CSIRO)); Wang, Xing (The Commonwealth Scientific and Industrial Research Organisation (CSIRO)); Liow, Lois (The Commonwealth Scientific and Industrial Research Organisation (CSIRO)); Xie, Yue (University of Cambridge); Kumar, Prabhat (Indian Institute of Technology Hyderabad); Langelaar, Matthijs (TU Delft Computational Design and Mechanics); Howard, David (The Commonwealth Scientific and Industrial Research Organisation (CSIRO))","","2024","Soft grippers are ideal for grasping delicate, deformable objects with complex geometries. Universal soft grippers have proven effective for grasping common objects, however complex objects or environments require bespoke gripper designs. Multi-material printing presents a vast design-space which, when coupled with an expressive computational design algorithm, can produce numerous, novel, high-performance soft grippers. Finding high-performing designs in challenging design spaces requires tools that combine rapid iteration, simulation accuracy, and fine-grained optimization across a range of gripper designs to maximize performance, no current tools meet all these criteria. Herein, a diversity-based soft gripper design framework combining generative design and topology optimization (TO) are presented. Compositional pattern-producing networks (CPPNs) seed a diverse set of initial material distributions for the fine-grained TO. Focusing on vacuum-driven multi-material soft grippers, several grasping modes (e.g. pinching, scooping) emerging without explicit prompting are demonstrated. Extensive automated experimentation with printed multi-material grippers confirms optimized candidates exceed the grasp strength of comparable commercial designs. Grip strength, durability, and robustness is evaluated across 15,170 grasps. The combination of fine-grained generative design, diversity-based design processes, high-fidelity simulation, and automated experimental evaluation represents a new paradigm for bespoke soft gripper design which is generalizable across numerous design domains, tasks, and environments.","computational design; soft robotics; topology optimization","en","journal article","","","","","","","","","","","Computational Design and Mechanics","","",""
"uuid:3f20a82a-5316-446b-b682-8f966a2a9d92","http://resolver.tudelft.nl/uuid:3f20a82a-5316-446b-b682-8f966a2a9d92","Public preferences for the allocation of societal resources over different healthcare purposes","Boxebeld, Sander (Erasmus Universiteit Rotterdam); Geijsen, Tom (Populytics B.V); Tuit, Charlotte (Populytics B.V); Exel, Job van (Erasmus Universiteit Rotterdam); Makady, Amr (Janssen-Cilag B.V); Maes, Laurence (Janssen-Cilag B.V); van Agthoven, Michel (Janssen-Cilag B.V); Mouter, N. (TU Delft Transport and Logistics; Populytics B.V)","","2024","Objective: Increasing healthcare expenditures require governments to make difficult prioritization decisions. Considering public preferences can help raise citizens’ support. Previous research has predominantly elicited preferences for the allocation of public resources towards specific treatments or patient groups and principles for resource allocation. This study contributes by examining public preferences for budget allocation over various healthcare purposes in the Netherlands. Methods: We conducted a Participatory Value Evaluation (PVE) choice experiment in which 1408 respondents were asked to allocate a hypothetical budget over eight healthcare purposes: general practice and other easily accessible healthcare, hospital care, elderly care, disability care, mental healthcare, preventive care by encouragement, preventive care by discouragement, and new and better medicines. A default expenditure was set for each healthcare purpose, based on current expenditures. Respondents could adjust these default expenditures using sliders and were presented with the implications of their adjustments on health and well-being outcomes, the economy, and the healthcare premium. As a constraint, the maximum increase in the mandatory healthcare premium for adult citizens was €600 per year. The data were analysed using descriptive statistics and a Latent Class Cluster Analysis (LCCA). Results: On average, respondents preferred to increase total expenditures on all healthcare purposes, but especially on elderly care, new and better medicines, and mental healthcare. Three preference clusters were identified. The largest cluster preferred modest increases in expenditures, the second a much higher increase of expenditures, and the smallest favouring a substantial reduction of the healthcare premium by decreasing the expenditure on all healthcare purposes. The analyses also demonstrated substantial preference heterogeneity between clusters for budget allocation over different healthcare purposes. Conclusions: The results of this choice experiment show that most citizens in the Netherlands support increasing healthcare expenditures. However, substantial heterogeneity was identified in preferences for healthcare purposes to prioritize. Considering these preferences may increase public support for prioritization decisions.","Healthcare expenditure; Participatory value evaluation (PVE); Priority-setting; Public preferences","en","journal article","","","","","","","","","","","Transport and Logistics","","",""
"uuid:e6472160-3d10-4a1c-8241-759fe215e85e","http://resolver.tudelft.nl/uuid:e6472160-3d10-4a1c-8241-759fe215e85e","Improving plant-level heat pump performance through process modifications","de Raad, B.W. (TU Delft Energie and Industrie); van Lieshout, Marit (Rotterdam University of Applied Sciences); Stougie, L. (TU Delft Energie and Industrie); Ramirez, Andrea (TU Delft ChemE/Chemical Engineering)","","2024","Heat pumps are a promising option to decarbonize the industrial sector. However, their performance at a plant-level can be affected by other process changes. In this work, process changes that improve the heat pump's performance have been identified using Process Change Analysis (PCA), where the background pinch point is used as a reference point for appropriate placement. The effects of the process changes on the heat pump's work requirements are studies by introducing exergy to PCA to form the split exergy grand composite curve. This graph shows the work potential of the streams connected to the heat pump and therefore its work targets. The framework is demonstrated in two case studies. In a biodiesel production plant, it allowed to identify technologies that enhance heat pump performance while reducing overall heating requirements. Here, a heat pump transfers 1.9 MW with a COP of 4.2 but incurs a 40 kW penalty for transferring heat above the background process's pinch temperature. Replacing the wet water washer with a membrane separation unit avoided this penalty, while drastically reducing energy requirements from 0.9 MW to 0.3 MW. in a vinyl chloride monomer-purification process, PCA showed how the extraction of heat by the heat pump impacted the formation of the background pinch, from which an implementation strategy was derived that increased the heat pump's plant-level performance by 6.5% with respect to standard implementation.","Exergy grand composite curve; Heat pumps; Pinch analysis; Process change analysis","en","journal article","","","","","","","","","","ChemE/Chemical Engineering","Energie and Industrie","","",""
"uuid:7990a9cb-3423-42dc-9dd3-139c4ac259f2","http://resolver.tudelft.nl/uuid:7990a9cb-3423-42dc-9dd3-139c4ac259f2","Time-dependent earthquake-fire coupling fragility analysis under limited prior knowledge: A perspective from type-2 fuzzy probability","Men, Jinkun (South China University of Technology; Guangdong Provincial Science and Technology Collaborative Innovation Center for Work Safety; Katholieke Universiteit Leuven); Chen, Guohua (South China University of Technology; Guangdong Provincial Science and Technology Collaborative Innovation Center for Work Safety); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Katholieke Universiteit Leuven; Universiteit Antwerpen)","","2024","Earthquake-triggered fire domino scenarios (E-FDSs) arise frequently from the interaction between earthquakes and chemical installations, resulting in catastrophic multi-hazard coupling events. The complicated mutually amplified phenomena between natural disasters and chemical accidents significantly aggravates the escalation of domino accidents, which has posed great challenges for modeling and preventing E-FDSs. Under this impetus, this work proposes an advanced type-2 fuzzy probabilistic methodology to obtain the time-dependent failure probability of steel cylindrical tanks (SCTs) subjected to the earthquake-fire sequence. To cope with the limited prior knowledge on E-FDSs, a basic universal is established to describe the fire resistance attenuation caused by the seismic damage. The coupling failure criterion of SCTs is formulated by a type-2 fuzzy time-dependent limit state equation. A credibility-based stochastic simulation algorithm is developed for the hybrid uncertainty analysis (combining ambiguity and stochasticity). The proposed methodology is validated by case studies of a 5000 m3 fixed roof tank. Compared to the existing accident probability model, the proposed methodology can not only capture the fire resistance attenuation caused by the seismic damage but also provide a dynamic estimation of tank failure probability with respect to the fire exposure time. The proposed methodology can effectively and dynamically capture the accident evolution process, which in turn helps mitigate and prevent the spatiotemporal propagation of domino effects.","Chemical Industrial Parks; Chemical Process Safety; Earthquake-triggered Fire Domino Scenarios; Multi-hazard Coupling Events; Steel Cylindrical Tank; Type-2 Fuzzy Possibility Theory","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-06","","","Safety and Security Science","","",""
"uuid:c87ae011-bca8-4dca-a055-07003d1c4fd1","http://resolver.tudelft.nl/uuid:c87ae011-bca8-4dca-a055-07003d1c4fd1","Trunk motion influences mechanical power estimates during wheelchair propulsion","van Dijk, M.P. (TU Delft Biomechanical Engineering); Hoozemans, Marco J.M. (Vrije Universiteit Amsterdam); Berger, M.A.M. (The Hague University of Applied Sciences); Veeger, H.E.J. (TU Delft Biomechatronics & Human-Machine Control)","","2024","In wheelchair sports, there is an increasing need to monitor mechanical power in the field. When rolling resistance is known, inertial measurement units (IMUs) can be used to determine mechanical power. However, upper body (i.e., trunk) motion affects the mass distribution between the small front and large rear wheels, thus affecting rolling resistance. Therefore, drag tests – which are commonly used to estimate rolling resistance – may not be valid. The aim of this study was to investigate the influence of trunk motion on mechanical power estimates in hand-rim wheelchair propulsion by comparing instantaneous resistance-based power loss with drag test-based power loss. Experiments were performed with no, moderate and full trunk motion during wheelchair propulsion. During these experiments, power loss was determined based on 1) the instantaneous rolling resistance and 2) based on the rolling resistance determined from drag tests (thus neglecting the effects of trunk motion). Results showed that power loss values of the two methods were similar when no trunk motion was present (mean difference [MD] of 0.6 ± 1.6 %). However, drag test-based power loss was underestimated up to −3.3 ± 2.3 % MD when the extent of trunk motion increased (r = 0.85). To conclude, during wheelchair propulsion with active trunk motion, neglecting the effects of trunk motion leads to an underestimated mechanical power of 1 to 6 % when it is estimated with drag test values. Depending on the required accuracy and the amount of trunk motion in the target group, the influence of trunk motion on power estimates should be corrected for.","Inertial measurement unit; Mechanical power; Rolling resistance force; Wheelchair propulsion; Wheelchair sports","en","journal article","","","","","","","","","","Biomechanical Engineering","Biomechatronics & Human-Machine Control","","",""
"uuid:b0128715-5e3f-4f08-8272-5592aed71551","http://resolver.tudelft.nl/uuid:b0128715-5e3f-4f08-8272-5592aed71551","From statistical relational to neurosymbolic artificial intelligence: A survey","Marra, Giuseppe (Katholieke Universiteit Leuven); Dumančić, S. (TU Delft Algorithmics); Manhaeve, Robin (Katholieke Universiteit Leuven); De Raedt, Luc (Katholieke Universiteit Leuven; Orebro University)","","2024","This survey explores the integration of learning and reasoning in two different fields of artificial intelligence: neurosymbolic and statistical relational artificial intelligence. Neurosymbolic artificial intelligence (NeSy) studies the integration of symbolic reasoning and neural networks, while statistical relational artificial intelligence (StarAI) focuses on integrating logic with probabilistic graphical models. This survey identifies seven shared dimensions between these two subfields of AI. These dimensions can be used to characterize different NeSy and StarAI systems. They are concerned with (1) the approach to logical inference, whether model or proof-based; (2) the syntax of the used logical theories; (3) the logical semantics of the systems and their extensions to facilitate learning; (4) the scope of learning, encompassing either parameter or structure learning; (5) the presence of symbolic and subsymbolic representations; (6) the degree to which systems capture the original logic, probabilistic, and neural paradigms; and (7) the classes of learning tasks the systems are applied to. By positioning various NeSy and StarAI systems along these dimensions and pointing out similarities and differences between them, this survey contributes fundamental concepts for understanding the integration of learning and reasoning.","Learning and reasoning; Neurosymbolic AI; Probabilistic logics; Statistical relational AI","en","review","","","","","","","","","","","Algorithmics","","",""
"uuid:c2650bb9-d40c-4b24-9af9-6072fe853b40","http://resolver.tudelft.nl/uuid:c2650bb9-d40c-4b24-9af9-6072fe853b40","Triangulating the future: Developing scenarios of cyclist-automated vehicle interactions from literature, expert perspectives, and survey data","Berge, S.H. (TU Delft Transport and Planning); de Winter, J.C.F. (TU Delft Human-Robot Interaction); Cleij, Diane (SWOV Institute for Road Safety Research); Hagenzieker, Marjan (TU Delft Transport and Planning)","","2024","Automated vehicles pose a unique challenge to the safety of vulnerable road users. Research on cyclist-automated vehicle interaction has received relatively little attention compared to pedestrian safety. This exploratory study aims to bridge this gap by identifying cyclist-automated vehicle scenarios and providing recommendations for future research. In this study, we triangulated three sources: a systematic literature review of previous research on cyclists and automated vehicles, group interviews with eight traffic safety and automation experts, and questionnaire data. The resulting scenario collection comprised 20 prototypical scenarios of cyclist-automated vehicle interaction, grouped into four categories based on the road users’ direction of movement: crossing, passing, overtaking, and merging scenarios. The survey results indicated that right-turning vehicles, dooring scenarios, and more complex situations have the highest likelihood of accidents. Passing and merging scenarios are particularly relevant for studying automated vehicle communication solutions since they involve negotiation. Future research should also consider phantom braking and driving styles of vehicles, as well as programming proactive safety behaviours and designing on-vehicle interfaces that accommodate cyclists.","Automated driving system; Automated vehicle; Bicycle; Cyclist; Methodology; Scenarios; Vulnerable road user","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:2bdcf7d7-9320-4341-9662-c433759fc863","http://resolver.tudelft.nl/uuid:2bdcf7d7-9320-4341-9662-c433759fc863","Investigating the role of spatial thinking in children’s design ideation through an open-ended design-by-analogy challenge","Zhu, C. (TU Delft Science Education and Communication); Klapwijk, R.M. (TU Delft Science Education and Communication); Silva-Ordaz, Miroslava (International School Delft); Spandaw, J.G. (TU Delft Analysis); de Vries, M.J. (TU Delft Ethics & Philosophy of Technology; TU Delft Science Education and Communication)","","2024","Spatial thinking is ubiquitous in design. Design education across all age groups encompasses a range of spatially challenging activities, such as forming and modifying mental representations of ideas, and visualizing the scenarios of design prototypes being used. While extensive research has examined the cognitive processes of spatial thinking and their relationships to science, technology, engineering, and mathematics learning, there remains a knowledge gap regarding the specific spatial thinking processes needed for open-ended problems, which may differ from those assessed in close-ended, analytical spatial tasks. To address this gap, we used educational design-based research to develop a nature-inspired, design-by-analogy project and investigate the spatial thinking processes of young, novice designers. 16 children from an international school in the Netherlands participated in this five-week design project. Multimodal evidence from classroom recordings and children’s design works were triangulated to offer insight into the key spatial thinking processes involved in their creation of nature-inspired, analogy-based design prototypes. Our results revealed spatial thinking processes that might not align with those assessed in conventional spatial tests and may be unique to design or open-ended problem-solving. These processes include abstracting spatial features to infer form-function relationships, retrieving a range of relevant visual information from memory, developing multiple possible analogical matches based on spatial features and relationships, elaborating and iterating on the design concepts and representations to make creative and suitable solutions for the design challenge, as well as visualizing design prototypes in practical usage scenarios. By highlighting the nuanced differences between spatial thinking in open-ended, divergent thinking tasks and conventional spatial tasks that demand single correct solutions, our research contributes to a deeper understanding of how children utilize spatial thinking in design and open-ended problem-solving contexts. Furthermore, this case study offers practical implications for scaffolding children's analogical reasoning and nurturing their spatial thinking in design education.","Analogical reasoning; Biomimicry; Design education; Divergent thinking; Spatial thinking","en","journal article","","","","","","","","","","","Science Education and Communication","","",""
"uuid:ea2d48a7-a455-4ce8-a2a5-632df5764916","http://resolver.tudelft.nl/uuid:ea2d48a7-a455-4ce8-a2a5-632df5764916","Curvature tuning through defect-based 4D printing","Moosabeiki, Vahid (TU Delft Biomaterials & Tissue Biomechanics); Yarali, E. (TU Delft Biomaterials & Tissue Biomechanics); Ghalayaniesfahani, A. (TU Delft Biomaterials & Tissue Biomechanics); Callens, S.J.P. (TU Delft Biomaterials & Tissue Biomechanics); van Manen, T. (TU Delft Support Biomechanical Engineering; TU Delft Biomaterials & Tissue Biomechanics); Accardo, A. (TU Delft Micro and Nano Engineering); Ghodrat, S. (TU Delft Emerging Materials); Bico, José (Sorbonne Université); Habibi, Mehdi (Wageningen University & Research); Mirzaali, Mohammad J. (TU Delft Biomaterials & Tissue Biomechanics); Zadpoor, A.A. (TU Delft Biomaterials & Tissue Biomechanics)","","2024","Emerging 4D printing techniques have enabled the realization of smart materials whose shape or properties can change with time. Two important phenomena play important roles in the 4D printing of shape memory polymeric materials. First, the anisotropic deformation of the printed filaments due to residual stresses can be harnessed to create out-of-plane shape transformations. Second, the unavoidable formation of micro-defects during the printing processes often affects the programmability of the printed object. Here, we propose a design approach that harnesses these two effects occurring during fused deposition modeling to create tailor-made curved geometries from initially 2D flat disks. We first determined the size and distribution of the imperfections formed within printed structures by varying two printing parameters namely the printing speed and the number of printed materials. Spatially varying the printing speed and combining polylactic acid filaments with a softer material without shape memory properties allowed us to cover a variety of shapes from negative to positive values of the mean and Gaussian curvature. We propose an analytical model to calculate the magnitude of the maximum out-of-plane deformation from the anisotropic expansion factor of the constituting microstructures. Furthermore, we develop computational models to predict the complex shape-changing of thermally actuated 4D printed structures given the distribution of rationally introduced imperfections and we demonstrate the potential applications of such defect-based metamaterials in drug delivery systems.","OA-Fund TU Delft","en","journal article","","","","","","","","","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:e9bba975-cea1-488d-9fac-88dbb26f6c8c","http://resolver.tudelft.nl/uuid:e9bba975-cea1-488d-9fac-88dbb26f6c8c","A multiscale consolidation model for press molding of hybrid textiles into complex geometries","Werlen, Vincent (University of Applied Sciences and Arts Northwestern Switzerland; Swiss Federal Institute of Technology); Rytka, Christian (University of Applied Sciences and Arts Northwestern Switzerland); Dransfeld, C.A. (TU Delft Group Dransfeld); Brauner, Christian (University of Applied Sciences and Arts Northwestern Switzerland); Michaud, Véronique (Swiss Federal Institute of Technology)","","2024","Modeling the consolidation of fiber-reinforced thermoplastic composites at the part level presents a formidable computational challenge due to the multi-scale nature of the process. In this article, a method to bypass the multi-scale problem by homogenizing the micro scale and describing the medium with characteristic parameters is described. The model is intended for press molding of hybrid textiles and considers a free-form plate with non-uniform thickness and can describe consolidation in three dimensions with some restrictions. 2D implementation in FEM shows how in-plane matrix pressure gradients can arise in parts and cause fiber disorientation. Experimental verification demonstrates that fiber disorientation arises at the predicted location, and that defect size is proportional to matrix pressure gradient. This novel consolidation model provides new insights, enables part and process optimization, and paves the way for high-quality composite part production. Highlights: A consolidation model for press molding of hybrid textiles is presented. A method to extend consolidation models for complex geometry is presented. The origin of defect formation in complex geometries is explained.","consolidation; defects; fiber-reinforced thermoplastic; finite element analysis (FEA); press molding","en","journal article","","","","","","","","","","","Group Dransfeld","","",""
"uuid:d92ada99-8261-46c1-ac6f-de2e3feb3520","http://resolver.tudelft.nl/uuid:d92ada99-8261-46c1-ac6f-de2e3feb3520","Using sky-classification to improve the short-term prediction of irradiance with sky images and convolutional neural networks","Martinez Lopez, V.A. (TU Delft Photovoltaic Materials and Devices; TU Delft Electrical Engineering, Mathematics and Computer Science); van Urk, G.A. (TU Delft Photovoltaic Materials and Devices; TU Delft Electrical Engineering, Mathematics and Computer Science); Doodkorte, P.J.F. (TU Delft Photovoltaic Materials and Devices; TU Delft Electrical Engineering, Mathematics and Computer Science); Zeman, M. (TU Delft Photovoltaic Materials and Devices); Isabella, O. (TU Delft Photovoltaic Materials and Devices); Ziar, H. (TU Delft Photovoltaic Materials and Devices)","","2024","Clouds moving in front or away from the sun are the leading cause of irradiance variability. These variations have a repercussion on the electricity production of photovoltaic systems. Predicting such changes is essential for proper control of these systems and for maintaining grid stability. Images from the sky have proven to help with short-term solar irradiance forecasting, especially when combined with artificial intelligence. Nevertheless, these models tend to smooth the irradiance fluctuations. We propose a forecasting model to predict the clear-sky index in a forecast horizon of 20 min with a 1-minute resolution. Our model, based on a classifier to determine the sky conditions and, on an optical flow, applies an artificial intelligence model explicitly trained on each class of sky conditions. This strategy has an equivalent performance to an unclassified model and a forecast skill between 5 and 20% with respect to the smart persistence model for most classes of sky conditions while requiring considerably less training data. Although our model reduces the overall predicting error, it still has difficulties predicting irradiance changes and mainly overcast days. Our classifying strategy can be applied to other models targeting different objectives to predict sudden changes in either irradiance or power related to photovoltaic systems.","All-sky images; Deep learning; Irradiance nowcasting; Sky-image processing","en","journal article","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","","Photovoltaic Materials and Devices","","",""
"uuid:cdad38c1-baa3-4a0b-94b1-7ef694565f27","http://resolver.tudelft.nl/uuid:cdad38c1-baa3-4a0b-94b1-7ef694565f27","Risk-based optimization of emergency response systems for accidental gas leakage in utility tunnels","Cai, Jitao (China University of Mining and Technology (Beijing)); Wu, Jiansong (China University of Mining and Technology (Beijing)); Yuan, S. (TU Delft Safety and Security Science); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science); Bai, Yiping (China University of Mining and Technology (Beijing))","","2024","Focusing on the effective configuration of emergency response systems in utility tunnels, this study proposes an innovative approach to optimize existing emergency response systems based on a consequence rapid prediction model and genetic algorithm. In the proposed approach, the interactions between different emergency response components are considered to perform a rapid gas dispersion prediction. Furthermore, the predicted gas concentration distribution is employed to estimate the quantitative explosion risks by combining the equivalent cloud method and the Baker-Strehlow model. Finally, the cumulative and cascading risk index are proposed and combined for systematic optimization by using a genetic algorithm. A case study is performed to demonstrate the feasibility of the proposed approach. The results indicate that the optimized emergency response systems effectively reduce both the cumulative and cascading risk level. This study provides technical support for emergency response system design and helps to improve the safety-risk-control capabilities of utility tunnels.","Emergency response system; Natural gas leakage; Quantitative risk assessment; Safety barrier optimization; Utility tunnel","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-21","","","Safety and Security Science","","",""
"uuid:27967d24-6e72-43f1-a4f5-b4ed1d5ea239","http://resolver.tudelft.nl/uuid:27967d24-6e72-43f1-a4f5-b4ed1d5ea239","Coastal adaptation and migration dynamics under future shoreline changes","Tierolf, Lars (Vrije Universiteit Amsterdam); Haer, Toon (Vrije Universiteit Amsterdam); Athanasiou, Panagiotis (Deltares); Luijendijk, Arjen (TU Delft Coastal Engineering; Deltares); Botzen, W. J. Wouter (Vrije Universiteit Amsterdam; Universiteit Utrecht); Aerts, Jeroen C.J.H. (Vrije Universiteit Amsterdam)","","2024","In this study, we present a novel modeling framework that provides a stylized representation of coastal adaptation and migration dynamics under sea level rise (SLR). We develop an agent-based model that simulates household and government agents adapting to shoreline change and increasing coastal flood risk. This model is coupled to a gravity-based model of migration to simulate coastward migration. Household characteristics are derived from local census data from 2015, and household decisions are calibrated based on empirical survey data on household adaptation in France. We integrate projections of shoreline retreat and flood inundation levels under two Representative Concentration Pathways (RCPs) and account for socioeconomic development under two Shared Socioeconomic Pathways (SSPs). The model is then applied to simulate coastal adaptation and migration between 2015 and 2080. Our results indicate that without coastal adaptation, SLR could drive the cumulative net outmigration of 13,100 up to as many as 21,700 coastal inhabitants between 2015 and 2080 under SSP2–RCP4.5 and SSP5–RCP8.5, respectively. This amounts to between 3.0 %–3.7 % of the coastal population residing in the 1/100-year flood zone in 2080 under a scenario of SLR. We find that SLR-induced migration is largely dependent on the adaptation strategies pursued by households and governments. Household implementation of floodproofing measures combined with beach renourishment reduces the projected SLR-induced migration by 31 %–36 % when compared to a migration under a scenario of no adaptation. A sensitivity analysis indicates that the effect of beach renourishment on SLR-induced migration largely depends on the level of coastal flood protection offered by sandy beaches. By explicitly modeling household behavior combined with governmental protection strategies under increasing coastal risks, the framework presented in this study allows for a comparison of climate change impacts on coastal communities under different adaptation strategies.","Agent-based modeling; Climate migration; Coastal adaptation; Coastal erosion; Flood risk; Sea level rise","en","journal article","","","","","","","","","","","Coastal Engineering","","",""
"uuid:301e837c-8a16-4eb5-bc57-5ef61c28dc81","http://resolver.tudelft.nl/uuid:301e837c-8a16-4eb5-bc57-5ef61c28dc81","The impact of metal centers in the M-MOF-74 series on carbon dioxide and hydrogen separation","Wasik, Dominika O. (Eindhoven University of Technology); Vicent-Luna, José Manuel (Eindhoven University of Technology); Luna-Triguero, Azahara (Eindhoven University of Technology); Dubbeldam, David (Universiteit van Amsterdam); Vlugt, T.J.H. (TU Delft Engineering Thermodynamics); Calero, Sofía (Eindhoven University of Technology)","","2024","The series of metal–organic frameworks M-MOF-74 gained popularity in the field of capture and separation of CO2 due to the presence of numerous, highly reactive open-metal sites. The description of effective interactions between guest molecules and open-metal sites without accounting for polarization effects is challenging but it can significantly reduce the computational cost of simulations. In this study, we propose a non-polarizable force field for CO2, and H2 adsorption in M-MOF-74 (M = Ni, Cu, Co, Fe, Mn, Zn) by scaling the Coulombic interactions of M-MOF-74 atoms, and Lennard-Jones interaction potentials between the center of mass of H2 and the open-metal centers. The presented force field is based on UFF and DREIDING parameters, characterized by high transferability and efficiency. The quantum behavior of H2 at cryogenic temperatures is considered by incorporating Feynman–Hibbs quantum corrections. To validate the force field, the experimental isotherms of CO2 at 298 K and 10−1 – 102kPa, the isotherms of H2 at 77 K and 10−5 – 102kPa, the corresponding enthalpy of adsorption, and the binding geometries in the M-MOF-74 series were reproduced using Monte Carlo simulations in the grand-canonical ensemble. The computed loadings, heats of CO2 and H2 adsorption, and binding geometries in M-MOF-74 are in very good agreement with the experimental values. The temperature transferability of the force field from 77 K to 87 K, and 298 K was shown for adsorption of H2. The validated force field was used to study the adsorption and separation of CO2/H2 mixtures at 298 K. The adsorption of H2 practically does not occur when CO2 is present in the mixture. As indicated from simulated breakthrough curves, the breakthrough time of CO2 in M-MOF-74 follows the same order as the uptake and the heat of CO2 adsorption: Ni ¿ Co ¿ Fe ¿ Mn ¿ Zn ¿ Cu. Increasing the feed mole fraction of CO2 in the breakthrough simulations from 0.1 to 0.9 speeds up the saturation of the adsorbent, leading to a faster exit of CO2 with the column effluent. The application of the non-polarizable force field allows full investigation of the capture and separation of CO2 in M-MOF-74, and can be expanded to study multi-component mixtures or industrial reactions in future research.","Adsorption; Force field; Metal-organic frameworks; Molecular simulations; Monte Carlo","en","journal article","","","","","","","","","","","Engineering Thermodynamics","","",""
"uuid:3cb1ec38-2e11-4947-aafe-f17c8e66740e","http://resolver.tudelft.nl/uuid:3cb1ec38-2e11-4947-aafe-f17c8e66740e","A combined experimental and computational approach to unravel degradation mechanisms in electrochemical wastewater treatment","Feijoo, Sara (Katholieke Universiteit Leuven); Baluchová, S. (TU Delft Micro and Nano Engineering); Kamali, Mohammadreza (Katholieke Universiteit Leuven); Buijnsters, J.G. (TU Delft Micro and Nano Engineering); Dewil, Raf (Katholieke Universiteit Leuven; University of Oxford)","","2024","Electrochemical wastewater treatment is a promising technique to remove recalcitrant pollutants from wastewater. However, the complexity of elucidating the underlying degradation mechanisms hinders its optimisation not only from a techno-economic perspective, as it is desirable to maximise removal efficiencies at low energy and chemical requirements, but also in environmental terms, as the generation of toxic by-products is an ongoing challenge. In this work, we propose a novel combined experimental and computational approach to (i) estimate the contribution of radical and non-radical mechanisms as well as their synergistic effects during electrochemical oxidation and (ii) identify the optimal conditions that promote specific degradation pathways. As a case study, the distribution of the degradation mechanisms involved in the removal of benzoic acid (BA) via boron-doped diamond (BDD) anodes was elucidated and analysed as a function of several operating parameters, i.e., the initial sulfate and nitrate content of the wastewater and the current applied. Subsequently, a multivariate optimisation study was conducted, where the influence of the electrode nature was investigated for two commercial BDD electrodes and a customised silver-decorated BDD electrode. Optimal conditions were identified for each degradation mechanism as well as for the overall BA degradation rate constant. BDD selection was found to be the most influential factor favouring any mechanism (i.e., 52-85% contribution), given that properties such as its boron doping and the presence of electrodeposited silver could dramatically affect the reactions taking place. In particular, decorating the BDD surface with silver microparticles significantly enhanced BA degradation via sulfate radicals, whereas direct oxidation, reactive oxygen species and radical synergistic effects were promoted when using a commercial BDD material with higher boron content and on a silicon substrate. Consequently, by simplifying the identification and quantification of underlying mechanisms, our approach facilitates the elucidation of the most suitable degradation route for a given electrochemical wastewater treatment together with its optimal operating conditions.","","en","journal article","","","","","","","","","","","Micro and Nano Engineering","","",""
"uuid:2f557067-9e37-4271-a499-ce469d51d8fc","http://resolver.tudelft.nl/uuid:2f557067-9e37-4271-a499-ce469d51d8fc","Where the White Continent Is Blue: Deep Learning Locates Bare Ice in Antarctica","Tollenaar, Veronica (Vrije Universiteit Brussel; Swiss Federal Institute of Technology); Zekollari, Harry (Vrije Universiteit Brussel; ETH Zürich; Swiss Federal Institute for Forest, Snow and Landscape Research); Pattyn, Frank (Vrije Universiteit Brussel); Rußwurm, Marc (Swiss Federal Institute of Technology; Wageningen University & Research); Kellenberger, Benjamin (Yale University); Lhermitte, S.L.M. (TU Delft Mathematical Geodesy and Positioning; Katholieke Universiteit Leuven); Izeboud, M. (TU Delft Civil Engineering & Geosciences); Tuia, Devis (Swiss Federal Institute of Technology)","","2024","In some areas of Antarctica, blue-colored bare ice is exposed at the surface. These blue ice areas (BIAs) can trap meteorites or old ice and are vital for understanding the climatic history. By combining multi-sensor remote sensing data (MODIS, RADARSAT-2, and TanDEM-X) in a deep learning framework, we map blue ice across the continent at 200-m resolution. We use a novel methodology for image segmentation with “noisy” labels to learn an underlying “clean” pattern with a neural network. In total, BIAs cover ca. 140,000 km2 (∼1%) of Antarctica, of which nearly 50% located within 20 km of the grounding line. There, the low albedo of blue ice enhances melt-water production and its mapping is crucial for mass balance studies that determine the stability of the ice sheet. Moreover, the map provides input for fieldwork missions and can act as constraint for other geophysical mapping efforts.","Antarctica; blue ice; deep learning; noisy labels","en","journal article","","","","","","","","","Civil Engineering & Geosciences","","Mathematical Geodesy and Positioning","","",""
"uuid:e41eda02-200e-4cdb-9f08-66f4043e4bfe","http://resolver.tudelft.nl/uuid:e41eda02-200e-4cdb-9f08-66f4043e4bfe","Market-orientated solutions to increase thermal conductivity in latent thermal energy storage systems","Righetti, Giulia (Università degli Studi di Padova); Zilio, Claudio (Università degli Studi di Padova); Hooman, K. (TU Delft Heat Transformation Technology); Mancin, Simone (Università degli Studi di Padova)","","2024","Among experts, it is well-known that the thermal conductivity of PCMs (phase change materials) is low hence a major limitation for their commercial application. This work proposes alternative, inexpensive, but nevertheless effective solutions to increase the average thermal conductivity of a PCM system (a commercial paraffin wax, having a phase change temperature of about 40 °C) used for thermal energy storage. 600 g of PCM fills an annulus wrapping an inner tube used to either charge or discharge heat to the PCM. The effect of the flow rate and temperature of the water used as heat transfer fluid was experimentally analysed. The flow rate was set to vary between 2 and 8 l min-1 and the temperature between 45 and 55 °C. We tested three different aluminum-based thermal enhancers: a commercially available metal foam sample, a wire mesh, and irregular flakes (chips) obtained as waste product of machining operations. The PCM-only sample exhibited the longest charging and discharging times, while the PCM + foam sample shortened them the most. The two cost-effective solutions (chip and wire mesh) resulted in intermediate phase change times. A performance indicator, in terms of cost per phase change rate, is proposed to compare different enhancers. It demonstrated that these two cost-effective thermal conductivity enhancing solutions can become a key enabling method to widely deploy latent thermal energy technology widely in many different applications.","Economic solution; Latent thermal energy storage; Metallic chip; Metallic foam; PCM; Thermal conductivity","en","journal article","","","","","","","","","","","Heat Transformation Technology","","",""
"uuid:9ad4f1f3-97df-4c57-a3ce-5a2baa9fff40","http://resolver.tudelft.nl/uuid:9ad4f1f3-97df-4c57-a3ce-5a2baa9fff40","Local Reaction Environment Deviations within Gas Diffusion Electrode Pores for CO2 Electrolysis","Butt, E.N. (TU Delft Complex Fluid Processing); Padding, J.T. (TU Delft Complex Fluid Processing); Hartkamp, Remco (TU Delft Complex Fluid Processing)","","2024","The local conditions inside a gas diffusion electrode (GDE) pore, especially in the electrical double layer (EDL) region, influence the charge transfer reactions and the selectivity of desired CO2ER products. Most GDE computational models ignore the EDL or are limited in their applicability at high potentials. In this work, we present a continuum model to describe the local environment inside a catalytic pore at varying potentials, electrolyte concentrations and pore diameters. The systems studied in this work are based on an Ag catalyst in contact with KHCO3 solution. Our study shows that steric effects dominate the local environment at high cathodic potentials (≪−25 mV vs pzc at the OHP), leading to a radial drop of CO2 concentration. We also observe a drop in pH value within 1 nm of the reaction plane due to electrostatic repulsion and attraction of OH− and H+ ions, respectively. We studied the influence of pore radii (1-10 nm) on electric field and concentrations. Pores with a radius smaller than 5 nm show a higher mean potential, which lowers the mean CO2 concentration. Pores with a favourable local environment can be designed by regulating the ratio between the pore radius and Debye length.","CO electrochemical reduction; gas diffusion electrode; lectric double layer; modelling; steric effects","en","journal article","","","","","","","","","","","Complex Fluid Processing","","",""
"uuid:48e0620e-2ac4-47e5-8a83-3d0d356f2c71","http://resolver.tudelft.nl/uuid:48e0620e-2ac4-47e5-8a83-3d0d356f2c71","Characteristics of ice-structure-soil interaction of an offshore wind turbine","Zou, Pengxu (University of Michigan; CCCC Guangdong-Hong Kong-Macao Greater Bay Area Innovation Research Institute Ltd.); Bricker, J.D. (TU Delft Hydraulic Structures and Flood Risk; University of Michigan); Fujisaki-Manome, Ayumi (University of Michigan); Garcia, Fernando E. (University of Michigan)","","2024","This research investigates the dynamic response of offshore wind turbine (OWT) systems subject to ice-structure-soil interaction (ISSI). To simulate the behavior of level ice sheets, a coupled approach involving the cohesive element method (CEM) and the finite element method (FEM) is applied. For soil-structure interaction (SSI), the Mohr–Coulomb (M-C) model is employed to accommodate glacial soils. A three-dimensional model for ice-OWT-soil interactions is established using LS-DYNA, focusing on the North American Great Lakes region. The impact of factors, including conical structure geometry, ice loading conditions, and soil characteristics, on the actions of ice and the displacement of the OWT structure, is systematically assessed. The results show a notable reduction in horizontal ice forces when a conical structure is used, underscoring its potential to enhance the stability of an OWT. Additionally, lower ice loading height results in increased ice force and reduced structural displacement. Furthermore, variations in soil properties, specifically elastic shear modulus, cohesion and angle of internal friction, exert a significant influence on OWT dynamics. The elastic shear modulus of glacial soils impacts the displacement of the OWT structure, posing a threat to structural stability. In addition, reduced cohesion and friction angle contribute to greater structural displacement.","Conical structures; Dynamic response; Glacial soils; Ice loads; Ice-structure-soil interaction; Offshore wind turbine","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-03","","","Hydraulic Structures and Flood Risk","","",""
"uuid:de7d9d1d-24db-47c5-810d-47b812ea6ee4","http://resolver.tudelft.nl/uuid:de7d9d1d-24db-47c5-810d-47b812ea6ee4","Multi-criteria design methods in façade engineering: State-of-the-art and future trends","Bianchi, S. (TU Delft Architectural Technology); Andriotis, C. (TU Delft Architectural Technology); Klein, T. (TU Delft Architectural Technology); Overend, M. (TU Delft Architectural Technology)","","2024","Façade engineering is facing an era of extraordinary challenge to meet the surge in demand for buildings that are environmentally sustainable and enhance occupant wellbeing. Facades, also known as building envelopes, play a major role in the resource-efficiency of buildings and the quality of its indoor environment. Consequently, the development of effective design approaches is crucial for generating appropriate façade solutions. Façade design is complex and multi-disciplinary involving several and oftentimes conflicting performance criteria. Systematic and holistic design procedures are, therefore, required to achieve optimal trade-offs. Over the last decades, researchers in this field have used computational tools and power to address this challenging problem within the context of multi-criteria design approaches. This paper reviews the existing research in this field, and presents the state-of-the-art review from simple to advanced decision-making procedures currently used at the early design stages, where decisions have a disproportionally large impact on the façade performance. The paper provides a complete description of the design variables and objectives typically involved. Alternative multi-criteria design methodologies regarding discrete decisions and automated optimization are reviewed, each with salient pros/cons, and overall conclusions are drawn. Finally, the paper discusses ongoing trends and research needs, namely, the development of uncertainty-based procedures to enable more informed decision-making; the inclusion of structural/seismic safety considerations in the design process to achieve higher socio-economic benefits; the integration of smart building information modeling and processing technologies to facilitate smarter design decisions; and the adoption of integrated design approaches to promote climate-adaptive solutions that enhance resilience.","Decision-making; Façade design; Multi-criteria; Multi-objective; Optimization; Performance-based","en","review","","","","","","","","","","","Architectural Technology","","",""
"uuid:2f49b47d-d366-420a-8267-6ec3d0ea11e8","http://resolver.tudelft.nl/uuid:2f49b47d-d366-420a-8267-6ec3d0ea11e8","Fifty years after the Schelling's Models of Segregation: Bibliometric analysis of the legacy of Schelling and the future directions of segregation research","Ubareviciene, Ruta (TU Delft Urban Studies; University of Tartu; Lithuanian Centre for Social Sciences); van Ham, M. (TU Delft Urbanism); Tammaru, Tiit (University of Tartu; Estonian Academy of Sciences)","","2024","In 1969 Thomas C. Schelling published his paper “Models of Segregation” and in 1971 he published a follow-up paper introducing “Dynamic Models of Segregation”. Schelling's papers developed the theoretical models of interactive dynamics of individual residential choices, resulting in pronounced patterns of residential segregation at the city level. Even after 50+ years, the topic of residential segregation and sorting remains as relevant as when Schelling published his papers. The two Schelling papers have been cited more than 8000 times together, and have made a strong impact on the residential segregation literature and beyond. In this paper, we examine how Schelling's ideas have impacted empirical research on residential segregation, and thus contributed to a greater understanding of urban processes. We find that few empirical papers explicitly test the Schelling models in residential segregation studies, and there are a growing number of influential papers in the field of segregation that do not reference Schelling. However, the papers by Schelling have served as a source of inspiration for a diverse set of empirical studies, new ways of defining neighbourhoods and developing more comprehensive theories of segregation.","Dynamic models; Preferences; Residential mobility; Schelling; Segregation","en","journal article","","","","","","","","","","Urbanism","Urban Studies","","",""
"uuid:e2ef35ae-6901-4f31-b3bc-c21060e49442","http://resolver.tudelft.nl/uuid:e2ef35ae-6901-4f31-b3bc-c21060e49442","Coupling extracellular glycan composition with metagenomic data in papermill and brewery anaerobic granular sludges","Doloman, Anna (Wageningen University & Research); de Bruin, S. (TU Delft BT/Environmental Biotechnology); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology; Aalborg University); Sousa, Diana Z. (Wageningen University & Research; EWUU Alliance); Lin, Y. (TU Delft BT/Environmental Biotechnology)","","2024","Glycans are crucial for the structure and function of anaerobic granular sludge in wastewater treatment. Yet, there is limited knowledge regarding the microorganisms and biosynthesis pathways responsible for glycan production. In this study, we analysed samples from anaerobic granular sludges treating papermill and brewery wastewater, examining glycans composition and using metagenome-assembled genomes (MAGs) to explore potential biochemical pathways associated with their production. Uronic acids were the predominant constituents of the glycans in extracellular polymeric substances (EPS) produced by the anaerobic granular sludges, comprising up to 60 % of the total polysaccharide content. MAGs affiliated with Anaerolineacae, Methanobacteriaceae and Methanosaetaceae represented the majority of the microbial community (30–50 % of total reads per MAG). Based on the analysis of MAGs, it appears that Anaerolinea sp. and members of the Methanobacteria class are involved in the production of exopolysaccharides within the analysed granular sludges. These findings shed light on the functional roles of microorganisms in glycan production in industrial anaerobic wastewater treatment systems.","Anaerobic granular sludge; EPS biosynthesis pathways; Extracellular polymeric substances; Glycans; Metagenomics","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:ef092c48-9ec2-4657-991b-b51de71f4de8","http://resolver.tudelft.nl/uuid:ef092c48-9ec2-4657-991b-b51de71f4de8","Biosorption of Lead and Copper by Epiphytic Rhizobacterial Species Isolated from Lepironia articulata and Scirpus grossus","Al-Ajalin, Fayeq Abdelhafez (Universiti Kebangsaan Malaysia); Idris, Mushrifah (Universiti Kebangsaan Malaysia); Sheikh Abdullah, Siti Rozaimah (Universiti Kebangsaan Malaysia); Kurniawan, Setyo Budi (Institute of Microbiology of the Academy of Sciences of the Czech Republic); Imron, M.F. (TU Delft Sanitary Engineering; Airlangga University)","","2024","In this study, biosorption potential of nine epiphytic bacteria isolated from the rhizosphere of Lepironia articulata and Scirpus grossus were assessed. Identification of the isolated epiphytic rhizobacteria using 16S rRNA analysis showed species belonging to the four genera of Bacillus, Enterobacter, Aeromonas, and Chromobacterium. Batch biosorption studies were carried out to assess the capacity of the isolated bacteria to act as Pb and Cu biosorbents. Different initial concentrations of the two heavy metals (50, 100, 200, 300, and 400 ppm) were used to determine the ability of the biosorbent to reach a tolerance level and then calculate the percentage of biosorption with respect to 0.1 g dry weight. Initial concentration of Pb and Cu exposed showed that the isolated bacteria have high tolerance up to 400 ppm. Bacteria prefer Pb ions over Cu, which is indicated by higher removal of Pb in all tested reactors. Bacillus sp. (coded Sc1) showed the highest biosorption capacity with 100% Pb and 97% Cu removal.","biosorption; Cu; epiphytic bacteria; Lepironia articulata; Pb; Scirpus grossus","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:2583f9d9-bb42-4a8c-9a3b-5cd47fd5fbd0","http://resolver.tudelft.nl/uuid:2583f9d9-bb42-4a8c-9a3b-5cd47fd5fbd0","DNS and RANS for core-annular flow with a turbulent annulus","Li, H. (TU Delft Fluid Mechanics); Pourquie, M.J.B.M. (TU Delft Fluid Mechanics); Ooms, G. (TU Delft Fluid Mechanics); Henkes, R.A.W.M. (TU Delft Fluid Mechanics)","","2024","DNS and RANS simulations were carried out for core-annular flow in a horizontal pipe and results were compared with experiments carried out with water and oil in our lab. In contrast to most existing studies for core-annular flow available in the literature, the flow annulus is not laminar but turbulent. This makes the simulations more challenging. As DNS does not contain any closure correlations, this approach should give the best representation of the flow (provided a sufficiently accurate numerical mesh and numerical method is used). Various flow configurations were considered, such as without gravity (to enforce an on-average concentric oil core) and with gravity (to allow for eccentricity in the oil core location). Both single-phase and two-phase conditions were considered; single-phase flow refers to the water annulus with imposed wavy wall, whereas two-phase flow includes the determination of the wavy interface. Mesh refinement was carried out to assess the numerical accuracy of the simulation results.","Core-annular flow; Turbulent annulus flow; Two-phase DNS; Wavy interface","en","journal article","","","","","","","","","","","Fluid Mechanics","","",""
"uuid:22824b37-1333-4610-9072-46bb06a172c2","http://resolver.tudelft.nl/uuid:22824b37-1333-4610-9072-46bb06a172c2","A critical assessment and summary on the low carbon energy pile technologies based on the life-cycle perspective: Challenges and prospects","Zhang, Linfeng (Southeast University); Han, Haozhe (The Hong Kong Polytechnic University); Li, Wenxin (Southeast University); Guo, Kewei (Southeast University); Yuan, Minglu (Southeast University); Liu, Zhengxuan (TU Delft Design & Construction Management; Hunan University)","","2024","Energy piles, a technology integrating the heat exchange component within building pile foundations for shallow geothermal energy utilization, have proven economically efficient. They outperform conventional ground source heat pumps by mitigating additional borehole costs and space requirements. This paper systematically examines low-carbon considerations and optimization measures throughout the planning, design, construction, and operation stages of energy piles, considering the entire lifecycle. Furthermore, this paper discusses potential challenges associated with decarbonizing energy piles, offering solutions based on case studies and environmental impact assessments. Through a comprehensive critical review and analysis of existing knowledge, this paper presents a systematic theory and methodology for optimal decarbonization of energy piles, serving as a valuable resource for building practitioners and researchers in this field. The findings not only contribute to a solid theoretical foundation but also provide technical support for the advancement and application of energy pile systems.","Building carbon emissions; Energy piles; Full life-cycle; Low carbonization; Optimize design; Shallow geothermal energy","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-01","","","Design & Construction Management","","",""
"uuid:a4fb1d7a-7ad7-4a7f-a8ad-3a3dd7e20d44","http://resolver.tudelft.nl/uuid:a4fb1d7a-7ad7-4a7f-a8ad-3a3dd7e20d44","Synergetic-informed deep reinforcement learning for sustainable management of transportation networks with large action spaces","Lai, Li (The Hong Kong Polytechnic University); Dong, You (The Hong Kong Polytechnic University); Andriotis, C. (TU Delft Architectural Technology); Wang, Aijun (Wuhan University of Technology); Lei, Xiaoming (The Hong Kong Polytechnic University)","","2024","Effective transportation network management systems should consider safety and sustainability objectives. Existing research on large-scale transportation network management often employs the assumption that bridges can be considered individually under these objectives. However, this simplification misses accurate system-level representations, induced by multiple components, network topology, and global maintenance actions. To address these limitations, this paper presents a deep reinforcement learning (DRL) framework that draws inspiration from biological learning behaviors to determine optimal life-cycle management policies. It incorporates synergetic branches and hierarchical rewards, factorizing the action space and, thereby, diminishing system complexity from exponential to linear with respect to the number of bridges. Extensive experiments based on a realistic case study demonstrate that the proposed method outperforms expert maintenance strategies and state-of-the-art decision-making methods. Overall, the proposed DRL framework can assist engineers by offering adaptive solutions to maintenance planning. It also provides solutions that address large action spaces within complex systems.","deep reinforcement learning; infrastructure management; maintenance optimization; hierarchical reward; life-cycle analysis; large discrete action spaces","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-06","","","Architectural Technology","","",""
"uuid:1cdcb884-5936-41cc-a213-60985b962e3a","http://resolver.tudelft.nl/uuid:1cdcb884-5936-41cc-a213-60985b962e3a","Effect of temperature on the healing capacity and mechanical properties of Ti2AlC MAX phase ceramics","Suh, Minji (Kookmin University); Lee, Dong Heon (Kookmin University); Sloof, W.G. (TU Delft Team Joris Dik); Lee, Kee Sung (Kookmin University)","","2024","In this study, the self-healing capacity of Titanium Aluminum Carbide (Ti2AlC, MAX phase) was investigated. Bulk coin samples were fabricated to evaluate the self-healing capacity at different temperatures (1000, 1200, and 1400°C). The extensive self-healing capacity of Ti2AlC was confirmed on larger quasiplastic damage (diameter ≥1 mm) and radial cracks by covering and filling of oxides such as titanium oxide, aluminum oxide, and aluminum titanate oxide. Although the mechanical properties of Ti2AlC after healing are similar or improved relative to the Ti2AlC before healing at the microscale, some properties of Ti2AlC after introducing larger damage and healing at 1400°C showed reduced values due to excessive oxide formation on the surface. For example, the strength of Ti2AlC healed at 1400°C exhibited 151.4 MPa, which is relative to the original strength of 298.3 MPa. Alternatively, the mechanical properties such as strength, hardness, toughness, and relative modulus of elasticity of Ti2AlC healed at 1000 or 1200°C and were restored to their original strength after healing. These findings suggest that Ti2AlC can be used as a healing agent for high-temperature applications, such as environmental barrier coating for gas turbine hot-gas components.","cracks/cracking; damage healing; indentation; MAX phase; mechanical properties","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-07","","","Team Joris Dik","","",""
"uuid:bb8babdc-8861-4257-8185-6ad4b50287b0","http://resolver.tudelft.nl/uuid:bb8babdc-8861-4257-8185-6ad4b50287b0","4D printed shape-shifting biomaterials for tissue engineering and regenerative medicine applications","Kalogeropoulou, Maria (Student TU Delft); Diaz Payno, P.J. (TU Delft Biomaterials & Tissue Biomechanics; Erasmus MC); Mirzaali, Mohammad J. (TU Delft Biomaterials & Tissue Biomechanics); van Osch, G.J.V.M. (TU Delft Biomaterials & Tissue Biomechanics; Erasmus MC); Fratila-Apachitei, E.L. (TU Delft Biomaterials & Tissue Biomechanics); Zadpoor, A.A. (TU Delft Biomaterials & Tissue Biomechanics; Leiden University Medical Center)","","2024","The existing 3D printing methods exhibit certain fabrication-dependent limitations for printing curved constructs that are relevant for many tissues. Four-dimensional (4D) printing is an emerging technology that is expected to revolutionize the field of tissue engineering and regenerative medicine (TERM). 4D printing is based on 3D printing, featuring the introduction of time as the fourth dimension, in which there is a transition from a 3D printed scaffold to a new, distinct, and stable state, upon the application of one or more stimuli. Here, we present an overview of the current developments of the 4D printing technology for TERM, with a focus on approaches to achieve temporal changes of the shape of the printed constructs that would enable biofabrication of highly complex structures. To this aim, the printing methods, types of stimuli, shape-shifting mechanisms, and cell-incorporation strategies are critically reviewed. Furthermore, the challenges of this very recent biofabrication technology as well as the future research directions are discussed. Our findings show that the most common printing methods so far are stereolithography (SLA) and extrusion bioprinting, followed by fused deposition modelling, while the shape-shifting mechanisms used for TERM applications are shape-memory and differential swelling for 4D printing and 4D bioprinting, respectively. For shape-memory mechanism, there is a high prevalence of synthetic materials, such as polylactic acid (PLA), poly(glycerol dodecanoate) acrylate (PGDA), or polyurethanes. On the other hand, different acrylate combinations of alginate, hyaluronan, or gelatin have been used for differential swelling-based 4D transformations. TERM applications include bone, vascular, and cardiac tissues as the main target of the 4D (bio)printing technology. The field has great potential for further development by considering the combination of multiple stimuli, the use of a wider range of 4D techniques, and the implementation of computational-assisted strategies.","3D printing; regenerative medicine; shape-change transformation; shape-shifting; smart materials; stimuli-responsive","en","review","","","","","","","","","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:a8fceeff-cc9c-4159-b1e8-51fbc9f776c0","http://resolver.tudelft.nl/uuid:a8fceeff-cc9c-4159-b1e8-51fbc9f776c0","School-Based Interventions for Increasing Autistic Pupils’ Social Inclusion in Mainstream Schools: A Systematic Review","Tsou, Yung-Ting (Universiteit Leiden; Vrije Universiteit Amsterdam); Kovács, Lilla Veronika (Universiteit Leiden); Louloumari, Angeliki (Universiteit Leiden); Stockmann, Lex (Universiteit Leiden); Blijd-Hoogewys, Els M.A. (INTER-PSY; Rijksuniversiteit Groningen); Koutamanis, A. (TU Delft Design & Construction Management); Rieffe, Carolien (Universiteit Leiden; University of Twente; University College London (UCL))","","2024","School-based interventions for socially including autistic pupils in mainstream schools were systematically reviewed. Included interventions targeted at least one level of the school environment: the autistic children, the peers, the staff, and/or the physical environment, and assessed autistic pupils’ quantity and/or quality of social participation as outcome measures. Findings from 56 studies showed increased accessibility of school activities to autistic pupils, but the reciprocity and friendship between the autistic pupils and the peers were not necessarily improved. Moreover, limited interventions were available for modifying the physical environment. A more holistic strategy that moves the focus from individual children’s social skills to the larger context surrounding children, should be considered for a better inclusion of autistic children in school routine.","Autism; Mainstream Schools; School-Based Intervention; Social Inclusion; Systematic Review","en","review","","","","","","","","","","","Design & Construction Management","","",""
"uuid:cc82e749-c767-4199-8939-91cfba1d553c","http://resolver.tudelft.nl/uuid:cc82e749-c767-4199-8939-91cfba1d553c","The challenges of high-quality development in Chinese secondary cities: A typological exploration","Du, Y. (TU Delft Spatial Planning and Strategy); Viseu Cardoso, Rodrigo (TU Delft Spatial Planning and Strategy); Rocco, Roberto (TU Delft Spatial Planning and Strategy)","","2024","The governmental initiative of high-quality development (HQD) marks a shift in the Chinese development paradigm from prioritizing speed to prioritizing quality towards comprehensive goals of economic growth, social vitality, innovation capacity, industrial upgrading, regional cooperation, and green transformation. This initiative is increasingly discussed within the framework of mega-regions, with prior studies demonstrating that they are critical arenas for promoting HQD visions. However, unevenness within mega-regions has become an important limitation to this vision. Namely, significant disparities exist between mega-regional core cities and the smaller neighboring cities in most HQD indicators. This paper conceptualizes these smaller players as secondary cities. Based on this, this paper aims to understand and differentiate the specific challenges of secondary cities facing intra-regional unevenness in the context of HQD. We build an evaluation framework and employ the TOPSIS method to evaluate 34 core cities and 180 secondary cities. Then, we introduce typological thinking to develop a meaningful classification of secondary cities based on the results of these evaluations. K-means clustering analysis identifies five secondary city types with similar profiles. The analysis supports the discussion of the characteristics and challenges of each type and may contribute to policy recommendations for a balanced HQD in mega-regional secondary cities.","High-quality development; Intra-regional unevenness; K-means clustering analysis; Mega-regional secondary city; TOPSIS; Typological analysis","en","journal article","","","","","","","","","","","Spatial Planning and Strategy","","",""
"uuid:50589613-835a-457b-8219-84b04f77e5eb","http://resolver.tudelft.nl/uuid:50589613-835a-457b-8219-84b04f77e5eb","Spike sorting in the presence of stimulation artifacts: a dynamical control systems approach","Shokri, M. (TU Delft Team Tamas Keviczky); Gogliettino, Alex R. (Stanford University); Hottowy, Paweł (AGH University of Science and Technology); Sher, Alexander (University of California); Litke, Alan M. (University of California); Chichilnisky, E. J. (Stanford University); Pequito, Sérgio (Uppsala University); Muratore, D.G. (TU Delft Bio-Electronics)","","2024","Objective. Bi-directional electronic neural interfaces, capable of both electrical recording and stimulation, communicate with the nervous system to permit precise calibration of electrical inputs by capturing the evoked neural responses. However, one significant challenge is that stimulation artifacts often mask the actual neural signals. To address this issue, we introduce a novel approach that employs dynamical control systems to detect and decipher electrically evoked neural activity despite the presence of electrical artifacts. Approach. Our proposed method leverages the unique spatiotemporal patterns of neural activity and electrical artifacts to distinguish and identify individual neural spikes. We designed distinctive dynamical models for both the stimulation artifact and each neuron observed during spontaneous neural activity. We can estimate which neurons were active by analyzing the recorded voltage responses across multiple electrodes post-stimulation. This technique also allows us to exclude signals from electrodes heavily affected by stimulation artifacts, such as the stimulating electrode itself, yet still accurately differentiate between evoked spikes and electrical artifacts. Main results. We applied our method to high-density multi-electrode recordings from the primate retina in an ex vivo setup, using a grid of 512 electrodes. Through repeated electrical stimulations at varying amplitudes, we were able to construct activation curves for each neuron. The curves obtained with our method closely resembled those derived from manual spike sorting. Additionally, the stimulation thresholds we estimated strongly agreed with those determined through manual analysis, demonstrating high reliability ( R 2 = 0.951 for human 1 and R 2 = 0.944 for human 2). Significance. Our method can effectively separate evoked neural spikes from stimulation artifacts by exploiting the distinct spatiotemporal propagation patterns captured by a dense, large-scale multi-electrode array. This technique holds promise for future applications in real-time closed-loop stimulation systems and for managing multi-channel stimulation strategies.","Bi-directional neural interface; dynamical control systems; spike sorting; stimulation artifact","en","journal article","","","","","","","","","","","Team Tamas Keviczky","","",""
"uuid:678c1b29-f517-4918-9980-75cbb9677548","http://resolver.tudelft.nl/uuid:678c1b29-f517-4918-9980-75cbb9677548","Aortic valve neocuspidization and bioprosthetic valves: Evaluating turbulence haemodynamics","Manchester, Emily Louise (Imperial College London; The University of Manchester); Pirola, S. (TU Delft Medical Instruments & Bio-Inspired Technology; Imperial College London); Pirola, Sergio (IRCCS Centro Cardiologico Monzino); Mastroiacovo, Giorgio (IRCCS Centro Cardiologico Monzino); Polvani, Gianluca (IRCCS Centro Cardiologico Monzino; University of Milan); Pontone, Gianluca (University of Milan; IRCCS Centro Cardiologico Monzino); Xu, Xiao Yun (Imperial College London)","","2024","Aortic valve disease is often treated with bioprosthetic valves. An alternative treatment is aortic valve neocuspidization which is a relatively new reparative procedure whereby the three aortic cusps are replaced with patient pericardium or bovine tissues. Recent research indicates that aortic blood flow is disturbed, and turbulence effects have yet to be evaluated in either bioprosthetic or aortic valve neocuspidization valve types in patient-specific settings. The aim of this study is to better understand turbulence production in the aorta and evaluate its effects on laminar and turbulent wall shear stress. Four patients with aortic valve disease were treated with either bioprosthetic valves (n=2) or aortic valve neocuspidization valvular repair (n=2). Aortic geometries were segmented from magnetic resonance images (MRI), and 4D flow MRI was used to derive physiological inlet and outlet boundary conditions. Pulsatile large-eddy simulations were performed to capture the full range of laminar, transitional and turbulence characteristics in the aorta. Turbulence was produced in all aortas with highest levels occurring during systolic deceleration. In the ascending aorta, turbulence production is attributed to a combination of valvular skew, valvular eccentricity, and ascending aortic dilation. In the proximal descending thoracic aorta, turbulence production is dependent on the type of arch-descending aorta connection (e.g., a narrowing or sharp bend) which induces flow separation. Laminar and turbulent wall shear stresses are of similar magnitude throughout late systolic deceleration and diastole, although turbulent wall shear stress magnitudes exceed laminar wall shear stresses between 27.3% and 61.1% of the cardiac cycle. This emphasises the significance of including turbulent wall shear stress to improve our comprehension of progressive arterial wall diseases. The findings of this study recommend that aortic valve treatments should prioritise minimising valvular eccentricity and skew in order to mitigate turbulence generation.","Aortic valve neocuspidization; Aortic valve replacement; Bioprosthesis; Blood flow; Computational fluid dynamics; Haemodynamics; Large-eddy simulation; Ozaki procedure; Turbulence; Wall shear stress","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:267d8ed2-4aa9-4ecc-b250-7b6321136419","http://resolver.tudelft.nl/uuid:267d8ed2-4aa9-4ecc-b250-7b6321136419","Incorporating Safety-II in future gas systems","Riemersma, B. (TU Delft Economics of Technology and Innovation); Correljé, A. (TU Delft Economics of Technology and Innovation); Kunneke, R.W. (TU Delft Economics of Technology and Innovation)","","2024","This article studies safety management in future gas systems. It is structured around the compatibility of its technological and institutional coordination. We identify how the current mode of safety management is not in harmony with increasingly complex technological and institutional arrangements, and combine safety science with institutional analysis to improve safety management. For our case study of biogas quality monitoring in the Netherlands, we offer structured recommendations for the reallocation of monitoring and enforcement mechanisms based on Safety-II. This article provides insights for users of gas systems and other infrastructures alike, and it offers safety scholars an approach to safety management that incorporates a novel focus on institutions.","Alignment Framework; Complex Systems; Institutional Analysis; Renewable Energy Systems; Safety Management; Safety-II","en","journal article","","","","","","","","","","","Economics of Technology and Innovation","","",""
"uuid:00a22e9b-308f-4b83-8cbd-213529211d58","http://resolver.tudelft.nl/uuid:00a22e9b-308f-4b83-8cbd-213529211d58","Lower limb biomechanics of fully trained exoskeleton users reveal complex mechanisms behind the reductions in energy cost with human-in-the-loop optimization","Poggensee, K. (TU Delft Human-Robot Interaction; Erasmus MC; Stanford University); Collins, Steven H. (Stanford University)","","2024","Exoskeletons that assist in ankle plantarflexion can improve energy economy in locomotion. Characterizing the joint-level mechanisms behind these reductions in energy cost can lead to a better understanding of how people interact with these devices, as well as to improved device design and training protocols. We examined the biomechanical responses to exoskeleton assistance in exoskeleton users trained with a lengthened protocol. Kinematics at unassisted joints were generally unchanged by assistance, which has been observed in other ankle exoskeleton studies. Peak plantarflexion angle increased with plantarflexion assistance, which led to increased total and biological mechanical power despite decreases in biological joint torque and whole-body net metabolic energy cost. Ankle plantarflexor activity also decreased with assistance. Muscles that act about unassisted joints also increased activity for large levels of assistance, and this response should be investigated over long-term use to prevent overuse injuries.","biomechanics; exoskeletons; gait; human-in-the-loop optimization; plantarflexion assistance","en","journal article","","","","","","","","","","","Human-Robot Interaction","","",""
"uuid:e4badb9a-3515-45eb-8b31-6b7d0a4f1a29","http://resolver.tudelft.nl/uuid:e4badb9a-3515-45eb-8b31-6b7d0a4f1a29","Depth estimation in SPAD-based LIDAR sensors","Chen, Mingzhe (Student TU Delft; Silicon Integrated B.V.); Ramachandra Rao, P. (TU Delft Electronic Instrumentation); Venialgo Araujo, E. (TU Delft Optical Technologies)","","2024","In direct time-of-flight (D-TOF) light detection and ranging (LIDAR), accuracy and full-scale range (FSR) are the main performance parameters to consider. Particularly, in single-photon avalanche diodes (SPAD) based systems, the photon-counting statistics plays a fundamental role in determining the LIDAR performance. Also, the intrinsic performance ultimately depends on the system parameters and constraints, which are set by the application. However, the best-achievable performance directly depends on the selected depth estimation method and is not necessarily equal to intrinsic performance. We evaluate a D-TOF LIDAR system, in the particular context of smartphone applications, in terms of parameter trade-offs and estimation efficiency. First, we develop a simulation model by combining radiometry and photon-counting statistics. Next, we perform a trade-off analysis to study dependencies between system parameters and application constraints, as well as non-linearities caused by the detection method. Further, we derive an analytical model to calculate the Cramér–Rao lower bound (CRLB) of the LIDAR system, which analytically accounts for the shot noise. Finally, we evaluate a depth estimation method based on artificial intelligence (AI) and compare its performance to the CRLB. We demonstrate that the AI-based estimator fully compensates the non-linearity in depth estimation, which varies depending on application conditions such as target reflectivity.","","en","journal article","","","","","","","","","","","Electronic Instrumentation","","",""
"uuid:b5cdcf7f-b665-4806-b1e2-69c7d4420bdc","http://resolver.tudelft.nl/uuid:b5cdcf7f-b665-4806-b1e2-69c7d4420bdc","Snapshot GNSS receivers for low-effort, high-gain space situational awareness","Gill, E.K.A. (TU Delft Space Systems Egineering); Akos, D. M. (University of Colorado)","","2024","This paper proposes a novel concept of using highly efficient Snapshot Global Navigation Satellite Systems (GNSS) receivers to provide precise position fixes of single or multiple satellites in Low-Earth Orbit (LEO) to improve upper atmospheric modeling and thus contribute to superior space situational awareness (SSA). While tracking of LEO satellites and the use of onboard GNSS receivers for drag measurements and upper atmosphere modeling are well-established techniques, the expected advent of snapshot GNSS receivers for spaceborne scientific applications will allow massive improvements on the GNSS sensor's Size, Weight, Power and Cost (SWaP-C). With chip-size dimensions of 4x4 mm2, a mass of less than 5 gr, an average power level below 0.1 mW, snapshot receiver technology is expected to provide position fixes in space with an accuracy of ∼19 m (3D r.m.s.), which will surpass the accuracy of Two-Line Elements (TLE) provided by the US Joint Space Operations Center (JSpOC) by at least two orders of magnitude. Equally important to their SWaP-C benefits, Snapshot GNSS receivers will allow mission and spacecraft designers to trade onboard-processing requirements versus payload downlink requirements, leading to either minimum onboard processing or a minimum amount of downlinked data. In this research, we establish the concept and architectural overview of using snapshot GNSS receivers for SSA, including the role of using them in a Distributed Space System (DSS), and detail their characterization and performance in terms of the required GNSS hardware and the impact of these payload on the power budget, the link budget and the OnBoard Data Handling (OBDH) budget of a satellite. It will be shown that these receivers lend themselves especially to their use on femto-, pico- and nano-satellites, although integrated snapshot modules may be flown as auxiliary payloads on micro- or mini-satellites as well. While this work focuses on the implications of the use of snapshot GNSS receivers on spacecraft design for the use of upper atmosphere modeling and SSA, their use may open up other science applications which avoid the need for expensive high-grade GNSS receivers.","Atmospheric drag; GNSS receiver; Snapshot receiver; Space Situational Awareness; Upper atmosphere density modeling","en","journal article","","","","","","","","","","","Space Systems Egineering","","",""
"uuid:b0b138da-8ca2-411c-9648-be5f6dde6a6f","http://resolver.tudelft.nl/uuid:b0b138da-8ca2-411c-9648-be5f6dde6a6f","Predictive simulations identify potential neuromuscular contributors to idiopathic toe walking","Veerkamp, Kirsten (Vrije Universiteit Amsterdam; Griffith University; Amsterdam Movement Sciences, Rehabilitation & Development); van der Krogt, Marjolein M. (Vrije Universiteit Amsterdam; Amsterdam Movement Sciences, Rehabilitation & Development); Waterval, Niels F.J. (Vrije Universiteit Amsterdam; Universiteit van Amsterdam; Amsterdam Movement Sciences, Rehabilitation & Development); Geijtenbeek, T. (TU Delft Biomechatronics & Human-Machine Control); Walsh, H. P.John (Griffith University; Queensland Children's Hospital); Harlaar, J. (TU Delft Biomechatronics & Human-Machine Control; Erasmus MC); Buizer, Annemieke I. (Vrije Universiteit Amsterdam; Emma Children's Hospital Academic Medical Center, University of Amsterdam; Amsterdam Movement Sciences, Rehabilitation & Development); Lloyd, David G. (Griffith University); Carty, Christopher P. (Griffith University; Queensland Children's Hospital)","","2024","Background: Most cases of toe walking in children are idiopathic. We used pathology-specific neuromusculoskeletal predictive simulations to identify potential underlying neural and muscular mechanisms contributing to idiopathic toe walking. Methods: A musculotendon contracture was added to the ankle plantarflexors of a generic musculoskeletal model to represent a pathology-specific contracture model, matching the reduced ankle dorsiflexion range-of-motion in a cohort of children with idiopathic toe walking. This model was employed in a forward dynamic simulation controlled by reflexes and supraspinal drive, governed by a multi-objective cost function to predict gait patterns with the contracture model. We validated the predicted gait using experimental gait data from children with idiopathic toe walking with ankle contracture, by calculating the root mean square errors averaged over all biomechanical variables. Findings: A predictive simulation with the pathology-specific model with contracture approached experimental ITW data (root mean square error = 1.37SD). Gastrocnemius activation was doubled from typical gait simulations, but lacked a peak in early stance as present in electromyography. This synthesised idiopathic toe walking was more costly for all cost function criteria than typical gait simulation. Also, it employed a different neural control strategy, with increased length- and velocity-based reflex gains to the plantarflexors in early stance and swing than typical gait simulations. Interpretation: The simulations provide insights into how a musculotendon contracture combined with altered neural control could contribute to idiopathic toe walking. Insights into these neuromuscular mechanisms could guide future computational and experimental studies to gain improved insight into the cause of idiopathic toe walking.","Forward dynamics; Neural control; Neuromusculoskeletal modelling; OpenSim; Paediatrics","en","journal article","","","","","","","","","","","Biomechatronics & Human-Machine Control","","",""
"uuid:1f6349f3-4447-46b4-bcd5-9e1dea1d3fb3","http://resolver.tudelft.nl/uuid:1f6349f3-4447-46b4-bcd5-9e1dea1d3fb3","Characterizing nature-based living labs from their seeds in the past","Bhatta, A. (TU Delft Multi Actor Systems; TU Delft Policy Analysis; Deltares); Vreugdenhil, H.S.I. (TU Delft Multi Actor Systems; TU Delft Policy Analysis; Deltares); Slinger, J (TU Delft Multi Actor Systems; TU Delft Policy Analysis; Rhodes University)","","2024","Nature-based living labs combine the elements of nature-based solution design with a living lab context to address social and environmental resilience challenges. There is a need to deepen insights on the characteristics of the emergent phenomenon of nature-based living labs, with respect to their predecessors. Accordingly, the paper first develops an outline of how living labs evolved into nature-based living labs, informed by bibliometric analysis. Second, the unique characteristics of nature-based living labs are identified using a systematic literature review. Finally, the core characteristics of living labs are determined, and nature-based living labs are placed within this context. Initial living labs had a strong technological focus, which proliferated into diverse application domains and regions after the European Network of Living Labs was established and expanded. Urban living labs emerged as a significant multidisciplinary and geographically specific domain, while nature-based living labs are inherently sustainability-oriented and consider ecosystem processes, interactions, and natural materials. Next, the paper identifies nine characteristics of nature-based living labs, five of which are always present, namely: (i) real-life spatial context and multi-scale, (ii) innovation and learning, (iii) user-centric, (iv) multi-actor involvement and (v) sustainability-oriented multiple benefits. Then, the four core characteristics of living labs, the variation within these characteristics, and how these align with the characteristics of nature-based living labs are clarified. Finally, the need for research on living labs across application domains and regions is highlighted, so that the global applicability of these local, user-centric, innovative approaches can be established.","Living labs; Nature-based solutions; Real-life setting; Stakeholder participation; User-centric innovation","en","review","","","","","","","","","","Multi Actor Systems","Policy Analysis","","",""
"uuid:1867c1ac-4b45-4b08-b909-74f85f903556","http://resolver.tudelft.nl/uuid:1867c1ac-4b45-4b08-b909-74f85f903556","Assessment of immersed boundary methods for hypersonic flows with gas–surface interactions","Başkaya, A.O. (TU Delft Aerodynamics); Capriati, Michele (von Karman Institute for Fluid Dynamics; Institut National de Recherche en Informatique et en Automatique (INRIA)); Turchi, Alessandro (von Karman Institute for Fluid Dynamics; Italian Space Agency); Magin, Thierry (von Karman Institute for Fluid Dynamics); Hickel, S. (TU Delft Aerodynamics)","","2024","The efficacy of immersed boundary (IB) methods with adaptive mesh refinement (AMR) techniques is assessed in the context of atmospheric entry applications, including effects of chemical nonequilibrium (CNE) and gas–surface interactions (GSI). We scrutinize a conservative cut-cell IB method and two non-conservative IB methods, comparing their results with analytical solutions, data from the literature, and results obtained with a reference solver that operates on body-fitted grids. All solvers employ the same external thermochemistry library, ensuring that all observed differences can be attributed solely to differences in the underlying numerical methodologies. We present results for eight benchmark cases. Four verification cases verify the implementation of chemistry, transport properties, catalytic boundary conditions, and shock capturing. Four validation cases encompass blunt geometries with adiabatic and isothermal, as well as inert, catalytic and ablative boundary conditions. Overall, the results obtained with the IB solvers are in very good agreement with the reference data. Discrepancies arise in cases with large temperature or concentration gradients at the wall, and these are linked to conservation errors inherent to ghost-cell and interpolation-based IB methods. Only a strictly conservative cut-cell IB method is on par with body-fitted grid methods.","Ablation; Atmospheric entry; Gas–surface interaction; Hypersonic flow; Immersed boundary method; Mass conservation","en","journal article","","","","","","","","","","","Aerodynamics","","",""
"uuid:757846e0-68e3-4a52-a8c9-0b9a842af5a4","http://resolver.tudelft.nl/uuid:757846e0-68e3-4a52-a8c9-0b9a842af5a4","Photoactivity of amorphous and crystalline TiO2 nanotube arrays (TNA) films in gas phase CO2 reduction to methane with simultaneous H2 production","Santos, Janaina S. (Chulalongkorn University); Fereidooni, Mohammad (Chulalongkorn University); Márquez, Victor (Chulalongkorn University); Paz-López, Christian V. (Chulalongkorn University); Villanueva, Martin S. (Benemerita Universidad Autonoma de Puebla); Buijnsters, J.G. (TU Delft Micro and Nano Engineering); Praserthdam, Supareak (Chulalongkorn University); Praserthdam, Piyasan (Chulalongkorn University)","","2024","This study assessed the photoactivity of amorphous and crystalline TiO2 nanotube arrays (TNA) films in gas phase CO2 reduction. The TNA photocatalysts were fabricated by titanium anodization and submitted to an annealing treatment for crystallization and/or cathodic reduction to introduce Ti3+ and oxygen vacancies into the TiO2 structure. The cathodic reduction demonstrated a significant effect on the generated photocurrent. The photoactivity of the four TNA catalysts in CO2 reduction with water vapor was evaluated under UV irradiation for 3 h, where CH4 and H2 were detected as products. The annealed sample exhibited the best performance towards methane with a production rate of 78 μmol gcat−1 h−1, followed by the amorphous film, which also exhibited an impressive formation rate of 64 μmol gcat−1 h−1. The amorphous and reduced-amorphous films exhibited outstanding photoactivity regarding H2 production (142 and 144 μmol gcat−1 h−1, respectively). The annealed catalyst also revealed a good performance for H2 production (132 μmol gcat−1 h−1) and high stability up to five reaction cycles. Molecular dynamic simulations demonstrated the changes in the band structure by introducing oxygen vacancies. The topics covered in this study contribute to the Sustainable Development Goals (SDG), involving affordable and clean energy (SDG#7) and industry, innovation, and infrastructure (SDG#9).","Amorphous titania; Anatase; CO photoreduction; Hydrogen generation; Methane; TiO nanotubes","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-15","","","Micro and Nano Engineering","","",""
"uuid:6c694458-9dc3-4af8-a7fb-84fac856cd78","http://resolver.tudelft.nl/uuid:6c694458-9dc3-4af8-a7fb-84fac856cd78","Performance assessment of an eco-friendly tandem solar cell based on double perovskite Cs2AgBiBr6","Chrafih, Younes (Mohammed V University); Al-Hattab, Mohamed (Sultan Moulay Slimane University); El Boubekri, A. (Sultan Moulay Slimane University); Rahmani, Khalid (Ecole Normale Supérieure (ENS), Mohammed V University); Bajjou, Omar (Sultan Moulay Slimane University); Basyooni, Mohamed A. (TU Delft Dynamics of Micro and Nano Systems; Selçuk University)","","2024","Tandem solar cells have a wider photon absorption range, allowing them to provide better efficiency than single-junction SC. The upper cell absorbs high-energy photons, while the lower cell absorbs low-energy filtered photons. However, in order to obtain affordable, efficient, and long-lasting SC, the absorber layers of the top and bottom cells must be integrated with an adequate bandgap. This research suggests tandem perovskite solar cells as upper band active materials in this setting. The Si homojunction solar cell's performance was improved by investigating the thicknesses of the p−type and n−type layers, doping concentrations, and defect densities. The thickness variation of the perovskite solar cell (100−400nm) is then optimized. To precisely replicate the tandem devices, the estimated spectra of the perovskite SC are optically filtered onto the lower cells. Current matching was achieved by adjusting the thickness of the perovskite sub-cell with different bottom layer thicknesses, and the optimized efficiency of 36.26% for the perovskite/Si tandem device was shown. The discoveries will open the door for the upcoming creation of high−efficiency, low-energy solar cells.","CsAgBiBr; DFT; Scaps-1d; Solar cell; Tandem solar cell","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-15","","","Dynamics of Micro and Nano Systems","","",""
"uuid:a516a861-d8b0-47c6-979a-235378ed0587","http://resolver.tudelft.nl/uuid:a516a861-d8b0-47c6-979a-235378ed0587","A directional coupler for partial discharge measurements in gas-insulated substations","Mier Escurra, C. (TU Delft High Voltage Technology Group); Mor, A. R. (Universitat Politécnica de Valencia); Vaessen, P.T.M. (TU Delft High Voltage Technology Group)","","2024","This paper introduces a directional coupler for partial discharge (PD) measurements in gas-insulated substations (GIS). The sensor comprises a combination of magnetic and electric couplers, effectively segregating forward and backward pulses to enhance PD charge estimation and defect location. The sensor's design was supported with finite element method simulations and measurements conducted in a transverse electromagnetic test bench. Comparative analyses were performed against independent magnetic and electric couplers. The charge estimation and the directional coupler's directivity were evaluated in both the test bench and a full-scale GIS with different PD defects. Initially, the combined magnetic and the electric couplers exhibited undesired interactions, prompting corrective measures. Subsequent adjustments included changes to the electric coupler material and modifications to the magnetic coupler construction. The resulting high-voltage directional coupler performed better than the separated couplers in a GIS with discontinuities. This partial discharge sensor emerges as a candidate for future SF6-free alternative GIS.","Calibration; Directional coupler; Gas-insulated; Partial discharges; PD sensors","en","journal article","","","","","","","","","","","High Voltage Technology Group","","",""
"uuid:e2fbd8b6-2a09-4eba-afaa-f5a3126b59f5","http://resolver.tudelft.nl/uuid:e2fbd8b6-2a09-4eba-afaa-f5a3126b59f5","High-resolution turbofan intake flow characterization by automated stereoscopic-PIV in an industrial wind tunnel environment","Ujjaini Kempaiah, K. (TU Delft Aerodynamics; LaVision); Piovesan, Tommaso (Cranfield University); Zachos, Pavlos K. (Cranfield University); Michaelis, Dirk (LaVision); Gebbink, Roy (German-Dutch Wind Tunnels); van Rooijen, Bart (German-Dutch Wind Tunnels); Prieto, Daniel Gil (Rolls-Royce plc); MacManus, David (Cranfield University); Sciacchitano, A. (TU Delft Aerodynamics); Sheaf, Christopher (Rolls-Royce plc)","","2024","Unsteady inlet flow distortion can influence the stability and performance of any propulsion system, in particular for more novel, short and slim intakes of future aero-engine configurations. As such, the requirement for measurement methods able to provide high spatial resolution data is important to aid the understanding of these flow fields. This work presents flow field characterisations at a crossflow plane within a short aeroengine intake using stereoscopic particle image velocimetry (SPIV). A series of tests were conducted across a range of crosswind and high angle of attack conditions for a representative short and slim aspirated intake configuration at two operating points in terms of mass flow rate. The velocity maps were measured at a crossflow plane within the intake at an axial position L/D = 0.058 from where a fan is expected to be installed. The diameter of the measurement plane was 250 mm, and the final spatial resolution of the velocity fields had a vector pitch of 1.5 mm which is at least two orders of magnitude richer than conventional pressure-based distortion measurements. The work demonstrates the ability to perform robust non-intrusive flow measurements within modern intake systems in an industrial wind tunnel environment across a wide range of operating conditions; hence, it is suggested that SPIV can potentially become part of standard industrial testing. The results provide rich datasets that can notably improve our understanding of unsteady distortions and influence the design of novel, closely coupled engine-intake systems.","industrial wind tunnel testing; inlet distortion; inlet testing; non-intrusive measurements; particle image velocimetry; turbofan; ultra high bypass ration engines","en","journal article","","","","","","","","","","","Aerodynamics","","",""
"uuid:39016597-6bf1-4636-89c2-96cf9cf1707e","http://resolver.tudelft.nl/uuid:39016597-6bf1-4636-89c2-96cf9cf1707e","An integrated approach to quantitative resilience assessment in process systems","Sun, H. (TU Delft Safety and Security Science; Anhui University of Technology; China University of Petroleum (East China)); Yang, M. (TU Delft Safety and Security Science); Wang, Haiqing (China University of Petroleum (East China))","","2024","Chemical process systems are becoming more automated and complex, which leads to increased interaction and interdependence between the human and technical elements of process systems. This urges the need for updating the safety assessment method by treating “safety” as an emergent property of a system. Uncertainty comes together with complexity. To enhance system ability of dealing with uncertain disruptions, this paper proposes a quantitative resilience assessment method by modeling the failure propagation (initiated by a disruption) across the functional units of a system. The Functional Resonance Analysis Method (FRAM) is utilized to model the system operation to represent the relationship among its function units and to consider the interactions among human-technical factors. Then, a Cascading Failure Propagation Model (CFPM) is developed to quantify the fault propagation process and reflect the system functionality changes over time for resilience assessment. The proposed method is applied to a propane-feeding control system. The results show that it can help practitioners understand the process of fault propagation and risk increase, identify potential ways to design a more resilient system to respond to uncertain disruptions/attacks, and provide a real-time dynamic resilience profile to support decision-making.","Human-technical systems, FRAM, resilience; Process safety","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-13","","","Safety and Security Science","","",""
"uuid:d7b524bf-ebcb-4b06-8fe8-6841e00e78cd","http://resolver.tudelft.nl/uuid:d7b524bf-ebcb-4b06-8fe8-6841e00e78cd","X-ray tomography for fully-3D time-resolved reconstruction of bubbling fluidized beds","Graas, Adriaan B.M. (Centrum Wiskunde & Informatica (CWI)); Wagner, E.C. (TU Delft ChemE/O&O groep); van Leeuwen, Tristan (Centrum Wiskunde & Informatica (CWI)); van Ommen, J.R. (TU Delft ChemE/Product and Process Engineering); Batenburg, K. Joost (Universiteit Leiden); Lucka, Felix (Centrum Wiskunde & Informatica (CWI)); Portela, L. (TU Delft ChemE/Transport Phenomena)","","2024","A new X-ray computed tomography technique for the purpose of imaging fluidized beds is presented. It consists of an experimental set-up with three stationary X-ray source and flat panel detector pairs, a geometric calibration and data processing workflow, and an image reconstruction algorithm. The technique enables sparse-angular tomographic reconstruction in large 3D regions of fluidized beds at framerates up to 200 Hz, and therefore images bubbles along their whole trajectories through the volume. It allows for a unique analysis of bubble dynamics in fluidized beds, including bubble velocities, bubble transformations, i.e., time evolution of the bubble distributions in space, and bubble–bubble interactions. In this article, we first analyze the main limitation of the technique, the sparse angular resolution, through numerical simulations. We then test the experimental set-up through imaging a series of phantoms. Lastly, we demonstrate results from a Geldart B bubbling fluidized bed.","Computed Tomography; Dynamic imaging; Fluidized beds; Gas–solids; Iterative image reconstruction; X-ray imaging set-up","en","journal article","","","","","","","","","","","ChemE/O&O groep","","",""
"uuid:9f779f6b-bf1a-42cd-8610-8bf9b565f44e","http://resolver.tudelft.nl/uuid:9f779f6b-bf1a-42cd-8610-8bf9b565f44e","From metagenomes to metabolism: Systematically assessing the metabolic flux feasibilities for “Candidatus Accumulibacter” species during anaerobic substrate uptake","Páez Watson, T.W. (TU Delft BT/Environmental Biotechnology); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology); Wahl, S.A. (TU Delft BT/Industriele Microbiologie)","","2024","With the rapid growing availability of metagenome assembled genomes (MAGs) and associated metabolic models, the identification of metabolic potential in individual community members has become possible. However, the field still lacks an unbiassed systematic evaluation of the generated metagenomic information to uncover not only metabolic potential, but also feasibilities of these models under specific environmental conditions. In this study, we present a systematic analysis of the metabolic potential in species of ""Candidatus Accumulibacter"", a group of polyphosphate-accumulating organisms (PAOs). We constructed a metabolic model of the central carbon metabolism and compared the metabolic potential among available MAGs for “Ca. Accumulibacter” species. By combining Elementary Flux Modes Analysis (EFMA) with max-min driving force (MDF) optimization, we obtained all possible flux distributions of the metabolic network and calculated their individual thermodynamic feasibility. Our findings reveal significant variations in the metabolic potential among “Ca. Accumulibacter” MAGs, particularly in the presence of anaplerotic reactions. EFMA revealed 700 unique flux distributions in the complete metabolic model that enable the anaerobic uptake of acetate and its conversion into polyhydroxyalkanoates (PHAs), a well-known phenotype of “Ca. Accumulibacter”. However, thermodynamic constraints narrowed down this solution space to 146 models that were stoichiometrically and thermodynamically feasible (MDF > 0 kJ/mol), of which only 8 were strongly feasible (MDF > 7 kJ/mol). Notably, several novel flux distributions for the metabolic model were identified, suggesting putative, yet unreported, functions within the PAO communities. Overall, this work provides valuable insights into the metabolic variability among ""Ca. Accumulibacter"" species and redefines the anaerobic metabolic potential in the context of phosphate removal. More generally, the integrated workflow presented in this paper can be applied to any metabolic model obtained from a MAG generated from microbial communities to objectively narrow the expected phenotypes from community members.","Elementary flux modes; Metabolic predictions; Metagenome-assembled genomes; Pathway thermodynamics","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:29167aa8-16b8-4564-bb4e-cd5d44e1829f","http://resolver.tudelft.nl/uuid:29167aa8-16b8-4564-bb4e-cd5d44e1829f","Estimating the effect of assumed initial damage to the hydraulic stability of pattern-placed revetments on dikes using finite element modeling","van der Vegt, N. (TU Delft Hydraulic Structures and Flood Risk; HKV Consultants); Klerk, W.J. (TU Delft Hydraulic Structures and Flood Risk; Deltares); Peters, D.J. (TU Delft Hydraulic Structures and Flood Risk); van Gent, M.R.A. (TU Delft Coastal Engineering; Deltares); Hofland, Bas (TU Delft Hydraulic Structures and Flood Risk)","","2024","Initial damage, caused by previous wave loading or other events, might affect the hydraulic stability of pattern-placed revetments. Three common types of damage are considered in this study. The effect of this assumed initial damage on the hydraulic stability and failure probability of revetments is quantified using a FEM model. This model is developed using data from large-scale flume and field experiments. Using results from the FEM model, surrogate models are created to predict the effect of each type of initial damage on the hydraulic stability and failure probability. Through the use of these surrogate models, it is demonstrated that S-shaped deformation caused by filter migration around the wave impact zone has the largest effect on the hydraulic stability decreasing up to 30%, and failure probability per year increasing up to 10,000 times. When the granular filling between the joints of the columns is washed-out, the stability decreases up to 29% and the failure probability increases up to 700 times. A missing column has a limited effect on the hydraulic stability and failure probability when there is no other (structural) damage. However, if it originates from underlying damage, it might be an initial sign of total failure of the revetment. This study demonstrates the effectiveness of finite element modeling for studying (damaged) revetments, which can be used to complement flume experiments. The results can be used to prioritize maintenance efforts in risk-based maintenance of pattern-placed revetments.","Dikes; Finite element modeling; Flood defenses; Pattern-placed revetment; Reliability analysis; Risk-based maintenance; Surrogate modeling; Vulnerability; Wave impact","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:c4a44233-af1b-479d-830f-d0bef8f10fb9","http://resolver.tudelft.nl/uuid:c4a44233-af1b-479d-830f-d0bef8f10fb9","Role of the composition of humic substances formed during thermal hydrolysis process on struvite precipitation in reject water from anaerobic digestion","Pavez Jara, J.A. (TU Delft Sanitary Engineering); Iswarani, W.P. (TU Delft Water Resources; TU Delft Support Water Management; Wetsus, Centre for Sustainable Water Technology); van Lier, J.B. (TU Delft Sanitary Engineering); de Kreuk, M.K. (TU Delft Water Management)","","2024","Thermal hydrolysis process (THP) is a widely used pre-treatment method in the anaerobic digestion (AD) of waste municipal sewage sludge. A post AD dewatering step of the digestate produces a liquid stream called reject water. THP increases the concentration of humic substances (HSs) and nutrients in the produced reject water. Struvite precipitation is a widely used technique to remove and (potentially) recover PO43− -P and the corresponding amount of total ammoniacal nitrogen from reject water. The chemical characteristics of the THP-produced HSs influence reaction yields and morphology of struvite. In our current study, struvite batch precipitation experiments were conducted at different pHs, and different concentrations of HSs, consisting of either melanoidins or humic acids. Our results showed that at pH 6.5 struvite precipitation was severely retarded. However, increased concentrations of melanoidins at pH 6.5 enhanced struvite precipitation. Batch experiments conducted at pH 7.25 and 8 with increased melanoidins concentrations showed PO43−-P precipitation yields over 86 %. Humic acids negatively impacted struvite precipitation at all analysed pH values, presumably because of Mg2+ complexation. Morphological analysis showed that the presence of both HSs affected Feret diameters, aspect ratio, and cleavage pattern of struvite. Also, HSs rendered coloured crystals. Overall, our results showed that struvite precipitation is affected by HSs intrinsic characteristics, affecting yield, morphology, and colour of the formed precipitates.","Humic acid; Melanoidins; Phosphate recovery; Struvite; Thermal hydrolysis process","en","journal article","","","","","","","","","","Water Management","Sanitary Engineering","","",""
"uuid:d51ff694-d672-4d8c-b7dd-7e60fbd38bb6","http://resolver.tudelft.nl/uuid:d51ff694-d672-4d8c-b7dd-7e60fbd38bb6","Repeatability of beach morphology change under identical wave forcing","Blenkinsopp, Chris E. (University of Bath); Hunter, Alan J. (University of Bath); Baldock, Tom E. (University of Queensland); Bayle, P.B. (TU Delft Environmental Fluid Mechanics; University of Bath); Bosboom, J. (TU Delft Coastal Engineering); Conley, Daniel (Plymouth University); Masselink, Gerd (Plymouth University)","","2024","Laboratory investigations of beach morphology change under wave action are undertaken to gain insight into coastal processes, design coastal structures and validate the predictions of numerical models. For the results of such experiments to be reliable, it is necessary that they are repeatable. The equilibrium beach concept, that beach morphology will evolve to a quasi-static equilibrium shape for a given forcing suggests that experiments should be repeatable to some degree. However, sediment transport in turbulent breaking and broken waves is complex and highly variable and the level of repeatability at different temporal and spatial scales is challenging to measure, as such, previous work has restricted comparisons to small numbers of waves. Here we use the results of two identical, 20-h large-scale wave flume experiments to investigate the repeatability of sediment transport and beach morphology change under waves at timescales down to individual swash events. It is shown that while flow characteristics from identical swash events are very repeatable, the sediment transported can be very different in both magnitude and direction due to differences in turbulence, sediment advection and morphological feedback. Over longer periods containing multiple matching swash events however, the beach responds in a very similar manner, with the level of morphological repeatability increasing with time. The results also demonstrate that gross swash zone sediment transport remains high even as a beach profile approaches quasi-equilibrium, but the proportion of individual swash events that cause large sediment fluxes (>±7.5 kg/event/m) reduces with time. The results of this laboratory study indicate that beach morphology change has a level of determinism over timescales of several minutes and longer, giving confidence in the results from physical modelling studies. However, the large differences in sediment transport from apparently identical swash events questions the value in pursuing numerical predictions of sediment transport at the wave-by-wave timescale unless the reversals in sediment transport between apparently near identical swash events can also be predicted.","","en","journal article","","","","","","","","","","","Environmental Fluid Mechanics","","",""
"uuid:d485dc1e-2235-44f3-8429-c01a03404dbd","http://resolver.tudelft.nl/uuid:d485dc1e-2235-44f3-8429-c01a03404dbd","Alkali-Activated Foams Coated with Colloidal Ag for Point-of-Use Water Disinfection","Bhuyan, Mohammad Amzad Hossain (University of Oulu); Karkman, Antti (University of Helsinki); Prokkola, Hanna (University of Oulu); Chen, B. (TU Delft Materials and Environment); Perumal, Priyadharshini (University of Oulu); Luukkonen, Tero (University of Oulu)","","2024","Alkali-activated foams are ceramic-like materials prepared at near-ambient temperature. This study investigates them for point-of-use water disinfection, thus providing an alternative to ceramic filters fired at a high temperature. Alkali-activated foams with different compositions were characterized for the porosity, mechanical strength, shrinkage, and microstructure. The optimized foam, employing metakaolin as the raw material, was coated with a colloidal Ag solution. The disinfection performance and leaching behavior of the foams was followed in a continuous 10 week experiment, where clean water with a weekly pulse of contaminated water was distributed through the foam. The average inactivation of Escherichia coli with the Ag-coated foam was 2.84 log10, which was 1.27 units higher compared to foam without Ag. A quantitative polymerase chain reaction analysis and metagenomic sequencing verified that foams with and without Ag were both capable of reducing the microbial load. Furthermore, the changes induced by the foam with Ag on the microbial community composition, antibiotic resistome, and metal and biocide resistomes were significant. The leached concentrations of Ag, Na, Si, and Al were in accordance with the drinking water guidelines. Finally, a life cycle assessment indicated the possibility of reducing the global warming potential and the total embodied energy in comparison with a conventional ceramic filter.","alkali-activated materials; geopolymers; point-of-use water treatment; water disinfection; water supply","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:668f4221-3a32-4176-89d0-dc1c2830a851","http://resolver.tudelft.nl/uuid:668f4221-3a32-4176-89d0-dc1c2830a851","Air entrapment modelling during pipe filling based on SWMM","Ferreira, J.P. (TU Delft Sanitary Engineering); Ferràs, David (IHE Delft Institute for Water Education); Covas, Dídia I.C. (University of Lisbon); van der Werf, Job (TU Delft Sanitary Engineering); Kapelan, Z. (TU Delft Sanitary Engineering)","","2024","The paper proposes a novel methodology to locate and quantify entrapped air pockets created during pipe-filling events often found in intermittent water supply systems. Different filling conditions were tested in an experimental pipe with a high point. Measurements were taken and video recordings were carried out to assess air pocket volumes for different air release conditions at the downstream end of the pipe. The stochastic nature of air pocket creation resulted in varying air volumes. A new numerical model capable of simulating the air pocket creation, dragging and entrainment has been proposed. The new model, AirSWMM, was implemented as an extension of the Stormwater Management Model (SWMM) with stochasticity of air pocket formation reproduced by simulations with different air entrainment rates. The obtained numerical results show that the proposed model, even though based on a single-phase one-dimensional flow, can accurately locate and approximately quantify the entrapped air pocket volumes.","air pocket entrapment; Air–water interface interaction; flow visualization and imaging; intermittent water supply; SWMM; water pipelines","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:361ebc5f-5249-43e0-a8a5-ae38cddbec68","http://resolver.tudelft.nl/uuid:361ebc5f-5249-43e0-a8a5-ae38cddbec68","The importance of time-varying, non-tidal currents in modelling in-situ sand wave dynamics","Overes, P.H.P. (TU Delft Applied Mechanics; University of Twente); Borsje, B. W. (University of Twente); Luijendijk, Arjen (TU Delft Coastal Engineering); Hulscher, S. J.M.H. (University of Twente)","","2024","Sand waves are found on shallow, sandy seabeds throughout the world and their dynamics may pose an imminent threat to offshore construction. Therefore, there is a pressing need to understand bed level dynamics in sand wave areas. These bed level dynamics lead to variations in sand wave shape and migration rate over time. However, these variations cannot be explained with the present-day process-based sand wave models, which all include a purely periodic tidal forcing. To explain these fluctuations a more intricate description of the hydrodynamics is necessary. The aim of this study is to explore the importance of time-varying, non-tidal currents for sand wave dynamics in the North Sea. We adopted the three-dimensional Delft3D-Flexible Mesh model, and were able to reconstruct time-varying, non-tidal currents on top of the periodic tidal forcing, while significantly reducing computation times. The simulated currents and water levels showed a good agreement with in-situ measurements. Compared to the situation with only tidal forcing, the simulated sedimentation and erosion rates were amplified up to 15 times due to time-varying, non-tidal currents. Additionally, periods of net erosion were found at locations in the sand wave transect where tidally forced models only showed net-sedimentation. It is therefore important to consider time-varying, non-tidal currents when predicting future sand wave dynamics in the field.","ADCP field measurements; Delft3D Flexible Mesh; Hydrodynamic modelling; Marine dunes; Sediment transport modelling; Tidal sand waves","en","journal article","","","","","","","","","","","Applied Mechanics","","",""
"uuid:179cab11-2b3a-4d78-b841-7ccb54345d96","http://resolver.tudelft.nl/uuid:179cab11-2b3a-4d78-b841-7ccb54345d96","Transfer learning for improved generalizability in causal physics-informed neural networks for beam simulations","Kapoor, T. (TU Delft Railway Engineering); Wang, H. (TU Delft Railway Engineering); Nunez, Alfredo (TU Delft Railway Engineering); Dollevoet, R.P.B.J. (TU Delft Railway Engineering)","","2024","This paper proposes a novel framework for simulating the dynamics of beams on elastic foundations. Specifically, partial differential equations modeling Euler–Bernoulli and Timoshenko beams on the Winkler foundation are simulated using a causal physics-informed neural network (PINN) coupled with transfer learning. Conventional PINNs encounter challenges in handling large space–time domains, even for problems with closed-form analytical solutions. A causality-respecting PINN loss function is employed to overcome this limitation, effectively capturing the underlying physics. However, it is observed that the causality-respecting PINN lacks generalizability. We propose using solutions to similar problems instead of training from scratch by employing transfer learning while adhering to causality to accelerate convergence and ensure accurate results across diverse scenarios. The primary contribution of this paper lies in introducing a causality-respecting PINN loss function in the context of structural engineering and coupling it with transfer learning to enhance the generalizability of PINNs in simulating the dynamics of beams on elastic foundations. Numerical experiments on the Euler–Bernoulli beam highlight the efficacy of the proposed approach for various initial conditions, including those with noise in the initial data. Furthermore, the potential of the proposed method is demonstrated for the Timoshenko beam in an extended spatial and temporal domain. Several comparisons suggest that the proposed method accurately captures the inherent dynamics, outperforming the state-of-the-art physics-informed methods under standard L2-norm metric and accelerating convergence.","Biharmonic equations; Causality; Elastic foundation; Euler–Bernoulli beam; Physics-informed neural networks (PINNs); Timoshenko beam; Transfer learning","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:95ba2a4b-52d9-4aa5-a41a-6c608a13e176","http://resolver.tudelft.nl/uuid:95ba2a4b-52d9-4aa5-a41a-6c608a13e176","Measuring the impacts of human and organizational factors on human errors in the Dutch construction industry using structured expert judgement","Ren, X. (TU Delft Safety and Security Science); Nane, G.F. (TU Delft Applied Probability); Terwel, K.C. (TU Delft Applied Mechanics); van Gelder, P.H.A.J.M. (TU Delft Safety and Security Science)","","2024","This study focuses on measuring the influence of critical Human and Organizational Factors (HOFs) on human error occurrence in structural design and construction tasks within the context of the Dutch construction industry. The primary research question addressed in this paper concerns the extent of HOFs’ contribution to human error occurrence. To answer this question, the Classical Model for Structured Expert Judgement (SEJ) is employed, enabling experts to provide their judgments on task Human Error Probability (HEP) influenced by different HOFs, which are subsequently aggregated mathematically. SEJ is chosen as a suitable approach due to the limited availability of applicable data in the construction sector. As a result, the impacts of HOFs are quantified as multipliers, representing the ratio between the observed or evaluated task HEP and its baseline value. These multipliers are then compared with corresponding multipliers from existing Human Reliability Analysis methods and studies. The findings reveal that fitness-for-duty, organizational characteristics and fragmentation exhibit the most pronounced negative effects, whereas complexity, attitude and fitness-for-duty demonstrate the most significant positive impacts on task performance. These results offer valuable insights that can be applied to enhance structural safety assurance practices.","Classical model; Human and organizational factors; Human error probability; Structural safety; Structured expert judgement","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-24","","","Safety and Security Science","","",""
"uuid:7bacb3b9-e1bc-4dab-b6a1-9069f6a03221","http://resolver.tudelft.nl/uuid:7bacb3b9-e1bc-4dab-b6a1-9069f6a03221","Transport of Magma in Granitic Mush Systems; an Example From the Götemar Pluton, Sweden","Mattsson, Tobias (Stockholm University; University of St Andrews); McCarthy, William (University of St Andrews); Schmiedel, T. (TU Delft Resource Engineering; Uppsala University)","","2024","Granitic magma bodies form in the ephemeral part of magma mush systems and are emplaced by a variety of mechanisms in different tectonic settings. This study investigates how granitic magma emplacement processes and tectonomagmatic interactions assert control over the architecture of mush state pluton-scale magma transport pathways. The 1.45 Ga shallow-crustal Götemar pluton is a 4.5 km diameter circular pluton that consists of three granite units: a coarse-grained red granite, a medium-grained pale to red granite, and fine-grained pale microgranite sheets. We employed geological mapping supported by Anisotropy of Magnetic Susceptibility (AMS) to examine the magmatic and regional tectonic controls on late-stage magma transport in the Götemar granitic magma mush system. Multiple parallel arcuate subhorizontal microgranite and medium-grained granite sheets (from 0.1 to 10s of meters thick) were mapped within the pluton. The arcuate sheets pinch out from the northern part of the pluton toward the SE inferring magma propagation direction. A dominant set of vertical granitic sheets within the granite body strikes NW-SE. The AMS fabrics are contact-parallel in the main medium-grained granite body and indicate inflation. Within the microgranite sheets, the AMS fabrics are parallel to the sheet strike and support a sheet propagation direction to the SE. The Götemar pluton displays a clear link between arcuate (concentric) magma-transporting sheets and concentric strain-partitioning related to the intrusion of medium-grained granite magma. The vertical magma sheet orientations are consistent with an NE-SW extensional stress field that is associated with the extensional back-arc stress regime of the contemporary Hallandian Orogen.","granite; magma mush; magma sheets; melt migration; pluton emplacement","en","journal article","","","","","","","","","","","Resource Engineering","","",""
"uuid:52df0c69-3208-4d29-a461-c6afaf3213e0","http://resolver.tudelft.nl/uuid:52df0c69-3208-4d29-a461-c6afaf3213e0","Hamiltonian Monte Carlo to Characterize Induced Earthquakes: Application to a ML 3.4 Event in the Groningen Gas Field and the Role of Prior","Masfara, La ODE Marzujriban (TU Delft Applied Geophysics and Petrophysics; Royal Netherlands Meteorological Institute (KNMI)); Weemstra, C. (TU Delft Applied Geophysics and Petrophysics; Royal Netherlands Meteorological Institute (KNMI))","","2024","The Hamiltonian Monte Carlo algorithm is known to be highly efficient when sampling high-dimensional model spaces due to Hamilton's equations guiding the sampling process. For weakly non-linear problems, linearizing the forward problem enhances this efficiency. This study integrates this linearization with geological prior knowledge for optimal results. We test this approach to estimate the source parameters of a 3.4 magnitude induced event that originated in the Groningen gas field in 2019. The source parameters are the event's centroid (three components), its moment tensor (six components), and its origin time. In terms of prior knowledge, we tested two sets of centroid priors. The first set exploits the known fault geometry of the Groningen gas field, whereas the second set is generated by placing initial centroid priors on a uniform horizontal grid at a depth of 3 km (the approximate depth of the gas reservoir). As for the forward problem linearization, we use an approach in which the linearization is run iteratively in tandem with updates of the centroid prior. We demonstrate that, in the absence of a sufficiently accurate initial centroid prior, the linearization of the forward model necessitates multiple initial centroid priors. Eventually, both prior sets yield similar posteriors. Most importantly, however, they agree with the geological knowledge of the area: the posterior peaks for model vectors containing a centroid near a major fault and a moment tensor that corresponds to normal faulting along a plane with a strike almost aligning with that of the major fault.","Bayesian; Groningen; induced seismicity; inversion; moment tensor; probabilistic","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:6da9cf1d-1a6e-4ca7-8253-d809acf56066","http://resolver.tudelft.nl/uuid:6da9cf1d-1a6e-4ca7-8253-d809acf56066","Mode I fracture behavior of glass fiber composite-steel bonded interface: Experiments and CZM","He, P. (TU Delft Steel & Composite Structures); Moreira Arouche, M. (TU Delft Steel & Composite Structures); Koetsier, M. (TU Delft Steel & Composite Structures); Pavlovic, M. (TU Delft Steel & Composite Structures)","","2024","Debonding is characterized as the governing failure mode in the innovative wrapped composite joints made with glass fiber composite material wrapped around steel hollow sections without welding. The prerequisite for predicting debonding failure of wrapped composite joints is to obtain fracture behavior of the composite-steel bonded interface. The mode I fracture behavior of the bonded interface was experimentally investigated using glass fiber composite-steel double cantilever beam (DCB) specimens. The crack length a and the crack tip opening displacement (CTOD) during the test were accurately measured by analyzing the digital image correlation (DIC) data while the strain energy release rate (SERR) was calculated through the extended global method (EGM). The cohesive zone modeling (CZM) was utilized in the finite element model with the proposal of a four-linear traction-separation law to simulate the mode I fracture process. An approach is introduced to determine the critical stages of the proposed four-linear cohesive law by combining accurate measurements of crack length a and CTOD, along with SERR values. The validity of the four-linear cohesive law and the introduced approach to determine the critical stages were confirmed by good agreement in both global and local behavior between the testing and the FEA results.","Composite-steel bonded interface; CZM; DCB; DIC; Four-linear traction-separation law; mode I fracture behavior","en","journal article","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:d466d4b0-2c3b-4257-afd9-234ecfeec0e6","http://resolver.tudelft.nl/uuid:d466d4b0-2c3b-4257-afd9-234ecfeec0e6","A global analysis of how human infrastructure squeezes sandy coasts","Lansu, Eva M. (NIOZ Royal Netherlands Institute for Sea Research; University Medical Center Groningen); Reijers, Valérie C. (Universiteit Utrecht); Höfer, Solveig (NIOZ Royal Netherlands Institute for Sea Research; University Medical Center Groningen); Luijendijk, Arjen (TU Delft Coastal Engineering; Deltares); Rietkerk, Max (Universiteit Utrecht); Wassen, Martin J. (Universiteit Utrecht); Lammerts, Evert Jan (Staatsbosbeheer); van der Heide, Tjisse (NIOZ Royal Netherlands Institute for Sea Research; University Medical Center Groningen)","","2024","Coastal ecosystems provide vital services, but human disturbance causes massive losses. Remaining ecosystems are squeezed between rising seas and human infrastructure development. While shoreline retreat is intensively studied, coastal congestion through infrastructure remains unquantified. Here we analyse 235,469 transects worldwide to show that infrastructure occurs at a median distance of 392 meter from sandy shorelines. Moreover, we find that 33% of sandy shores harbour less than 100 m of infrastructure-free space, and that 23–30% of this space may be lost by 2100 due to rising sea levels. Further analyses show that population density and gross domestic product explain 35–39% of observed squeeze variation, emphasizing the intensifying pressure imposed as countries develop and populations grow. Encouragingly, we find that nature reserves relieve squeezing by 4–7 times. Yet, at present only 16% of world’s sandy shores have a protected status. We therefore advocate the incorporation of nature protection into spatial planning policies.","","en","journal article","","","","","","","","","","","Coastal Engineering","","",""
"uuid:ba125b1b-145c-4d70-a678-c921d0e2a800","http://resolver.tudelft.nl/uuid:ba125b1b-145c-4d70-a678-c921d0e2a800","Catalytic pre-coat on ceramic nanofiltration membranes for segregation and Fenton cleaning of high-resistance colloids in direct surface water treatment","Lin, B. (TU Delft Sanitary Engineering; Nanjing Tech University); Heijman, Sebastiaan (TU Delft Sanitary Engineering); Rietveld, L.C. (TU Delft Sanitary Engineering)","","2024","Ceramic nanofiltration (NF) is a promising alternative for direct surface water treatment, but is hampered for full-scale applications by fouling and a lack of eco-friendly cleaning regimes. In this work, an innovative reactive pre-coat layer, consisting of an iron oxychloride catalyst, was constructed on top of commercial ceramic NF membranes, for segregating a large-sized colloid fraction in canal water and Fenton cleaning with a hydrogen peroxide (H2O2) solution. The large-sized colloids (3−30 μm) were identified as dominant substances fouling the TiO2 separation layer of the pristine membranes, leading to a fast increase in their filtration resistance, in contrast to the small-sized colloids (<0.04 μm) and natural organic matter (NOM). As a consequence, the catalyst pre-coat layer with a pore size of 0.1–0.5 μm was able to segregate the large-sized colloids from the TiO2 separation layer during direct filtration of the raw water. Moreover, filtration under an acceptable flux of around 23 L m−2 h−1 did not cause pore clogging in the catalyst pre-coat. In addition, Fenton oxidation initiated by the catalytic pre-coat efficiently restored the filtration resistance, whereas sole H2O2 flush of the pristine membrane was not effective. In the meantime, the TiO2 separation layer of the membrane exerted a high NOM rejection of approximately 90%, measured as dissolved organic carbon, while the catalyst pre-coat on the membrane remained active in Fenton cleaning, over five one-day cycles. The findings of this work may provide guidance on the structural and functional design of a catalytic pre-coat layer for a dual purpose of foulant segregation and oxidative removal, particularly in response to key fouling-causing substances, during membrane-based treatment of real water matrices.","Catalytic pre-coat; Ceramic nanofiltration membrane; Fenton cleaning; Membrane fouling; Surface water","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:d4911200-ed9b-42fd-abfd-6f923fddc871","http://resolver.tudelft.nl/uuid:d4911200-ed9b-42fd-abfd-6f923fddc871","Two-phase flow metering of maldistribution inside a header by means of venturi flowmeter solely","Lecardonnel, A. (TU Delft Flight Performance and Propulsion; von Karman Institute for Fluid Dynamics); de Servi, C.M. (TU Delft Flight Performance and Propulsion); Colonna, Piero (TU Delft Flight Performance and Propulsion); Laboureur, D. (von Karman Institute for Fluid Dynamics)","","2024","In evaporators, the distribution of the liquid and vapor phases among the channels is a convoluted problem, depending on a wide range of parameters. However, maldistribution causes important losses of performance. Due to their complexity, the accurate modeling of such two-phase flows is difficult to handle. Hence, experimental studies are still of great importance to help the understanding of maldistribution behaviors inside evaporators. Most of the experimental investigations of two-phase flow distribution are measuring the liquid and vapor quantities in the channels through a phase separation process, increasing the test duration and complexity. As a consequence, the number of parameters investigated is usually limited. Therefore, a new inline instrumentation method would allow for a more complete study by simplifying the measurement process. In the present work, an isothermal air/water mixture was used as fluid. The distribution of the two phases in eight channels of 10-mm I.D. connected to a simplified header was investigated. The inlet mass flow rates considered ranged from 0 to 0.025 kg/s for the water, and from 0 to 0.022 kg/s for the air. Consequently, qualities x up to 0.7 and void fractions ® up to 0.9 were reached. All the tests were carried at a pressure condition of 7 bar to reach a liquid to vapor density ratio similar to what is encountered for traditional refrigerant. Finally, to allow a continuous measurement process, the mass flow rates in each of the 10-mm I.D. channel were measured using a flowmeter calibrated on a separate line. Since no void fraction meter was coupled, a new iterative methodology, based on the Venturi pressure drops measurement solely, was developed and is proposed here. It proved to successfully predict the vapor and liquid phase flow rates in each channel.","evaporators; experimental; heat exchanger; liquid-gas two-phase flow; maldistribution; metering instrumentation; Venturi","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-01","","","Flight Performance and Propulsion","","",""
"uuid:2568936b-2f64-4f89-8d08-41b38e949bc0","http://resolver.tudelft.nl/uuid:2568936b-2f64-4f89-8d08-41b38e949bc0","Investigation of the first quasi-rectangular metro tunnel constructed by the 0−θ method","Li, Peinan (Donghua University); Liu, Xue (Donghua University); Jiang, Xi (University of Tennessee); Zhang, X. (TU Delft Geo-engineering); Wu, Jun (Shanghai University of Engineering Science); Chen, Peixin (Shanghai Tunnel Engineering Co. Ltd.)","","2024","Quasi-rectangular shield tunneling is a cutting-edge trenchless method for constructing metro tunnels with double tubes, owing to its advantages in saving underground space and reducing ground disturbance. However, the conventional quasi-rectangular shield tunneling method is not applicable when constructing a tunnel without a center pillar, such as a scissor crossover section of a metro line. Therefore, the 0−θ tunneling method, which combines the quasi-rectangular shield and pipe jacking methods, was investigated in this study to solve the aforementioned construction challenges. This study presents a case study of the Sijiqing Station of the Hangzhou Metro Line 9 in China, in which the 0−θ method was first proposed and applied. Key techniques such as switching between two types of tunneling modes and the tunneling process control in complex construction environments were investigated. The results demonstrated that the 0−θ method can address the technical challenges presented by the post-transition line with a high curvature and a scissors crossover line. In addition, the adoption of the 0−θ method ensured that the transformation between shield tunneling and pipe jacking was safe and efficient. The ground settlement monitoring results demonstrated that the disturbance to the surrounding environment can be limited to a safe level. This case study contributes to the construction technology for a metro tunnel containing both post-transition lines with a small turning radius and a scissors crossover line. A practical construction experience and theoretical guidance were provided in this study, which are of significance for both the industry and academia.","0−θ method; pipe jacking; quasi-rectangular tunnel; shield tunneling; underground space","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-11","","","Geo-engineering","","",""
"uuid:12acb63e-81f0-4df1-8095-a767ad8b46ee","http://resolver.tudelft.nl/uuid:12acb63e-81f0-4df1-8095-a767ad8b46ee","Corrigendum to “Estimating bridge criticality due to extreme traffic loads in highway networks” [Eng. Struct. vol. 300, 1 February 2024, 117172] (Engineering Structures (2024) 300, (S0141029623015870), (10.1016/j.engstruct.2023.117172))","Mendoza Lugo, M.A. (TU Delft Hydraulic Structures and Flood Risk); Nogal Macho, M. (TU Delft Integral Design & Management); Morales Napoles, O. (TU Delft Hydraulic Structures and Flood Risk)","","2024","The authors regret the acknowledgments in the published article are incomplete. The complete acknowledgments are as follows: “This research was supported by the Mexican National Council for Science and Technology (CONACYT) under project number 2019-000021-01EXTF-00564 CVU 784544. The authors would like to thank Dr. Andrés Antonio Torres Acosta, Research Professor at the Department of Sustainable and Civil Technologies, School of Engineering and Sciences, Tecnológico de Monterrey for his contributions to this research.” The authors would like to apologise for any inconvenience caused. ____________________________.","","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:180bcc96-99e1-4d0a-83bf-e04a527bd8ad","http://resolver.tudelft.nl/uuid:180bcc96-99e1-4d0a-83bf-e04a527bd8ad","Microwave spectroscopy of interacting Andreev spins","Wesdorp, J.J. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Vaartjes, A. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Grünhaupt, L. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Roelofs, S.R. (TU Delft QRD/Goswami Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Splitthoff, L.J. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Pita-Vidal, Marta (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Bargerbos, A. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Kouwenhoven, Leo P. (TU Delft QN/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Andersen, C.K. (TU Delft Andersen Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft)","","2024","Andreev bound states are fermionic states localized in weak links between superconductors which can be occupied with spinful quasiparticles. Microwave experiments using superconducting circuits with InAs/Al nanowire Josephson junctions have recently enabled probing and coherent manipulation of Andreev states but have remained limited to zero or small magnetic fields. Here, we use a flux-tunable superconducting circuit compatible in magnetic fields up to 1T to perform spectroscopy of spin-polarized Andreev states up to ∼250mT, beyond which the spectrum becomes gapless. We identify singlet and triplet states of two quasiparticles occupying different Andreev states through their dispersion in magnetic field. These states are split by exchange interaction and couple via spin-orbit coupling, analogously to two-electron states in quantum dots. We also show that the magnetic field allows to drive a direct spin-flip transition of a single quasiparticle trapped in the junction. Finally, we measure a gate- and field-dependent anomalous phase shift of the Andreev spectrum, of magnitude up to ∼0.7π. Our observations demonstrate alternative ways to manipulate Andreev states in a magnetic field and reveal spin-polarized triplet states that carry supercurrent.","","en","journal article","","","","","","","","","","","QRD/Kouwenhoven Lab","","",""
"uuid:a439dbf6-4244-4181-b411-030505028d5d","http://resolver.tudelft.nl/uuid:a439dbf6-4244-4181-b411-030505028d5d","Efficient and robust estimation of many-qubit Hamiltonians","Stilck França, Daniel (University of Copenhagen; Ecole Normale Supérieure de Lyon); Markovich, L. (TU Delft QID/Borregaard Group; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft; Universiteit Leiden); Dobrovitski, V.V. (TU Delft QID/Dobrovitski Group; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Werner, Albert H. (University of Copenhagen); Borregaard, J. (TU Delft QN/Borregaard groep; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft; Harvard University)","","2024","Characterizing the interactions and dynamics of quantum mechanical systems is an essential task in developing quantum technologies. We propose an efficient protocol based on the estimation of the time-derivatives of few qubit observables using polynomial interpolation for characterizing the underlying Hamiltonian dynamics and Markovian noise of a multi-qubit device. For finite range dynamics, our protocol exponentially relaxes the necessary time-resolution of the measurements and quadratically reduces the overall sample complexity compared to previous approaches. Furthermore, we show that our protocol can characterize the dynamics of systems with algebraically decaying interactions. The implementation of the protocol requires only the preparation of product states and single-qubit measurements. Furthermore, we improve a shadow tomography method for quantum channels that is of independent interest and discuss the robustness of the protocol to various errors. This protocol can be used to parallelize the learning of the Hamiltonian, rendering it applicable for the characterization of both current and future quantum devices.","","en","journal article","","","","","","","","","","","QID/Borregaard Group","","",""
"uuid:16f0ede3-390a-4e7f-bb2d-cc873d6a4062","http://resolver.tudelft.nl/uuid:16f0ede3-390a-4e7f-bb2d-cc873d6a4062","Radiologic Assessment of Interbody Fusion: A Systematic Review on the Use, Reliability, and Accuracy of Current Fusion Criteria","Duits, Anneli A.A. (University Medical Center Utrecht; Diakonessehuis Utrecht); Van Urk, Paul R. (University Medical Center Utrecht); Lehr, A. Mechteld (University Medical Center Utrecht); Nutzinger, Don (University Medical Center Utrecht); Reijnders, Maarten R.L. (University Medical Center Utrecht); Weinans, Harrie (TU Delft Biomaterials & Tissue Biomechanics; University Medical Center Utrecht); Foppen, Wouter (University Medical Center Utrecht); Oner, F. Cuhmur (University Medical Center Utrecht); Van Gaalen, Steven M. (University Medical Center Utrecht; Acibadem International Medical Center); Kruyt, Moyo C. (University Medical Center Utrecht; University of Twente)","","2024","Background: Lumbar interbody fusion (IF) is a common procedure to fuse the anterior spine. However, a lack of consensus on image-based fusion assessment limits the validity and comparison of IF studies. This systematic review aims to (1) report on IF assessment strategies and definitions and (2) summarize available literature on the diagnostic reliability and accuracy of these assessments. Methods: Two searches were performed according to the Preferred Reporting Items for Systematic Reviews and Meta-analyses guidelines. Search 1 identified studies on adult lumbar IF that provided a detailed description of image-based fusion assessment. Search 2 analyzed studies on the reliability of specific fusion criteria/classifications and the accuracy assessed with surgical exploration. Results: A total of 442 studies were included for search 1 and 8 studies for search 2. Fusion assessment throughout the literature was highly variable. Eighteen definitions and more than 250 unique fusion assessment methods were identified. The criteria that showed most consistent use were continuity of bony bridging, radiolucency around the cage, and angular motion <5°. However, reliability and accuracy studies were scarce. Conclusion: This review highlights the challenges in reaching consensus on IF assessment. The variability in IF assessment is very high, which limits the translatability of studies. Accuracy studies are needed to guide innovations of assessment. Future IF assessment strategies should focus on the standardization of computed tomography-based continuity of bony bridging. Knowledge from preclinical and imaging studies can add valuable information to this ongoing discussion.","","en","review","","","","","","","","","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:525f7406-6a57-4981-80bd-4f41a94e684f","http://resolver.tudelft.nl/uuid:525f7406-6a57-4981-80bd-4f41a94e684f","Magnetic hybrid Pd/Fe-oxide nanoparticles meet the demands for ablative thermo-brachytherapy","van Oossanen, R. (TU Delft RST/Medical Physics & Technology; Erasmus MC); Maier, A. (TU Delft BT/Biocatalysis); Godart, Jérémy (Erasmus MC); Pignol, Jean Philippe (Erasmus MC); Denkova, A.G. (TU Delft RST/Applied Radiation & Isotopes); van Rhoon, Gerard C. (Erasmus MC); Djanashvili, K. (TU Delft BT/Biocatalysis)","","2024","Objective: To investigate the potential of hybrid Pd/Fe-oxide magnetic nanoparticles designed for thermo-brachytherapy of breast cancer, considering their specific loss power (SLP) and clinical constraints in the applied magnetic field. Methods: Hybrid nanoparticles consisting of palladium-core and iron oxide shell of increasing thickness, were suspended in water and their SLPs were measured at varying magnetic fields (12–26 mT peak) and frequencies (50–730 kHz) with a commercial alternating magnetic field generator (magneTherm™ Digital, nanoTherics Ltd.). Results: Validation of the heating device used in this study with commercial HyperMag-C nanoparticles showed a small deviation (±4%) over a period of 1 year, confirming the reliability of the method. The integration of dual thermometers, one in the center and one at the bottom of the sample vial, allowed monitoring of homogeneity of the sample suspensions. SLPs measurements on a series of nanoparticles of increasing sizes showed the highest heating for the diameter of 21 nm (SLP = 225 W/g) at the applied frequencies of 346 and 730 kHz. No heating was observed for the nanoparticles with the size <14 nm, confirming the importance of the size-parameter. The heating ability of the best performing Pd/Fe-oxide-21 was calculated to be sufficient to ablate tumors with a radius ±4 and 12 mm using 10 and 1 mg/mL nanoparticle concentration, respectively. Conclusions: Nanoparticles consisting of non-magnetic palladium-core and magnetic iron oxide shell are suitable for magnetic hyperthermia/thermal ablation under clinically safe conditions of 346 kHz and 19.1 mT, with minimal eddy current effects in combination with maximum SLP.","breast cancer; magnetic hyperthermia; Palladium iron/oxide nanoparticles; thermal ablation; thermo-brachytherapy","en","journal article","","","","","","","","","","","RST/Medical Physics & Technology","","",""
"uuid:e581d782-eaaf-421f-8633-524a0fa9fbf0","http://resolver.tudelft.nl/uuid:e581d782-eaaf-421f-8633-524a0fa9fbf0","Stability of rock-armoured mild slopes","Jumelet, Daan (DEME); van Gent, M.R.A. (TU Delft Coastal Engineering; Deltares); Hofland, Bas (TU Delft Hydraulic Structures and Flood Risk); Kuiper, C. (TU Delft Coastal Engineering; Witteveen+Bos)","","2024","Physical model tests have been performed to study static stability of rock-armoured mild slopes. Current stability design formulae for steeper rock-armoured slopes focus on plunging and surging waves. Slopes of 1:6 and milder usually have more spilling breakers which decreases the load. Also, on mild slopes displaced rocks more often remain present in the wave attack zone, which increases the strength. These aspects lead to an overdesigned structure when existing formulae for steep rock-armoured slopes are used. The present wave flume tests were used to understand the processes and develop a design formula for rock-armoured mild slopes with an impermeable core. These tests were performed for statically stable rock-armoured slopes of 1:6 to 1:10. The tests confirmed that not all existing damage parameters are able to accurately describe the static stability on milder slopes. For mild slopes it is more accurate to describe the damage based on the eroded depth rather than on the eroded area or number of moved stones. In this study, a design formula and guidelines are provided for practicing engineers that design or evaluate the stability of mild rock-armoured slopes.","Armour stability; Coastal structures; Damage characterisation; Design guidelines; Erosion; Mild slopes; Physical model tests; Rock slopes; Slope protection; Wave loading","en","journal article","","","","","","","","","","","Coastal Engineering","","",""
"uuid:1e058c45-670b-48bb-8645-9ad735386b97","http://resolver.tudelft.nl/uuid:1e058c45-670b-48bb-8645-9ad735386b97","Quantifying the heterogeneous impacts of the urban built environment on traffic carbon emissions: New insights from machine learning techniques","Zhi, Danyue (Beijing Jiaotong University; Technische Universität München); Zhao, Hepeng (China Mobile Group Design Institute Co., Ltd.); Chen, Yan (Beijing Jiaotong University); Song, Weize (Tsinghua University); Song, Dongdong (Beijing Jiaotong University); Yang, Y. (TU Delft Transport and Planning; Beijing Jiaotong University)","","2024","The configuration of the urban built environment is critical for promoting sustainability and achieving carbon neutrality. However, existing studies mostly use linear and spatial econometric models to investigate the relationship between urban built environments and traffic carbon dioxide (CO2) emissions, in-depth studies exploring the heterogeneous impacts of related features on traffic CO2 emission by interpretive machine learning models are scarce. Hence, we extract four dimensionless features to depict the size, compactness, irregularity, and isolation of built-up areas, and road network-related features (i.e., average cluster coefficient, road topological density, and road geometric density), respectively. Subsequently, we develop an interpretive machine learning framework based on the extracted features related to the urban built-up areas and road networks. The interpretive results of the proposed framework uncover that urban morphological features, especially population density (POP), GDP per capita (GDPpc), and urban physical compactness (UPC), have a heterogeneous impact on the per capita traffic emission (PCCE) across different cities. GDPpc is more like a linear relationship with PCCE, and UPC has a significant influence on PCCE when its value is between 62% and 78%. Our results also reveal the nonlinear relationships and interactive effects between these features, providing the implications of urban morphological planning and carbon emission reduction.","Heterogeneous impact; Machine learning; Traffic carbon; Urban built environment","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-24","","","Transport and Planning","","",""
"uuid:e9a46f61-c1f3-49b1-b9e4-de5633921265","http://resolver.tudelft.nl/uuid:e9a46f61-c1f3-49b1-b9e4-de5633921265","Connecting the dots: key insights on ParB for chromosome segregation from single-molecule studies","Tišma, M. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); Kaljević, Jovana (John Innes Centre); Gruber, Stephan (University of Lausanne); Le, Tung B.K. (John Innes Centre); Dekker, C. (TU Delft BN/Cees Dekker Lab)","","2024","Bacterial cells require DNA segregation machinery to properly distribute a genome to both daughter cells upon division. The most common system involved in chromosome and plasmid segregation in bacteria is the ParABS system. A core protein of this system - partition protein B (ParB) - regulates chromosome organization and chromosome segregation during the bacterial cell cycle. Over the past decades, research has greatly advanced our knowledge of the ParABS system. However, many intricate details of the mechanism of ParB proteins were only recently uncovered using in vitro single-molecule techniques. These approaches allowed the exploration of ParB proteins in precisely controlled environments, free from the complexities of the cellular milieu. This review covers the early developments of this field but emphasizes recent advances in our knowledge of the mechanistic understanding of ParB proteins as revealed by in vitro single-molecule methods. Furthermore, we provide an outlook on future endeavors in investigating ParB, ParB-like proteins, and their interaction partners.","atomic force microscopy; magnetic tweezers; optical tweezers; ParABS system; ParB; single-molecule studies","en","review","","","","","","","","","","","BN/Cees Dekker Lab","","",""
"uuid:591a60f3-f137-419c-9642-1de3fa2861f3","http://resolver.tudelft.nl/uuid:591a60f3-f137-419c-9642-1de3fa2861f3","Modeling the effect of prior austenite grain size on bainite formation kinetics","dos Santos Avila, D. (TU Delft Team Maria Santofimia Navarro); Offerman, S.E. (TU Delft Team Erik Offerman); Santofimia, Maria Jesus (TU Delft Team Maria Santofimia Navarro)","","2024","While experiments show that refining the prior austenite grain size can either accelerate or decelerate bainite formation in steels, kinetic models based on the successive nucleation of bainitic ferrite subunits can only predict an acceleration. In this work we develop a physically-based model for bainite kinetics assuming a displacive growth mechanism which is able to reproduce both faster and slower bainite formation kinetics induced by austenite grain refinement. A theoretical analysis of the model and comparison against published experimental data show that slower kinetics for smaller grains is favored as the difference between the activation energy for grain boundary and autocatalytic nucleation of bainite increases, and as the austenite grain refinement results in finer bainite sub-units. We also theoretically analyze the density of initially present potential nucleation sites for bainite and show that the values of density used in other published bainite nucleation models are mostly underestimated. After using physically consistent values for the density of potential nucleation sites, we were able to calculate the apparent lengthening rate of bainite sheaves which were in line with experimentally measured lengthening rates.","Bainite; grain size; nucleation; phase transformation kinetics; theory and modeling","en","journal article","","","","","","","","","","","Team Maria Santofimia Navarro","","",""
"uuid:76603547-882b-4fd6-8249-d980d3860596","http://resolver.tudelft.nl/uuid:76603547-882b-4fd6-8249-d980d3860596","Control-relevant neural networks for feedforward control with preview: Applied to an industrial flatbed printer","Aarnoudse, Leontine (Eindhoven University of Technology); Kon, Johan (Eindhoven University of Technology); Ohnishi, Wataru (University of Tokyo); Poot, Maurice (Eindhoven University of Technology); Tacx, Paul (Eindhoven University of Technology); Strijbosch, Nard (Eindhoven University of Technology); Oomen, T.A.E. (TU Delft Team Jan-Willem van Wingerden; Eindhoven University of Technology)","","2024","The performance of feedforward control depends strongly on its ability to compensate for reproducible disturbances. The aim of this paper is to develop a systematic framework for artificial neural networks (ANN) for feedforward control. The method involves three aspects: a new criterion that emphasizes the closed-loop control objective, inclusion of preview to deal with delays and non-minimum phase dynamics, and enabling the use of an iterative learning algorithm to generate training data in view of addressing generalization errors. The approach is illustrated through simulations and experiments on an industrial flatbed printer.","Feedforward control; Iterative learning control; Neural networks","en","journal article","","","","","","","","","","","Team Jan-Willem van Wingerden","","",""
"uuid:6d851c18-203b-4c97-a56c-99ba178cbcbd","http://resolver.tudelft.nl/uuid:6d851c18-203b-4c97-a56c-99ba178cbcbd","Gas bubble removal from a zero-gap alkaline electrolyser with a pressure swing and why foam electrodes might not be suitable at high current densities","Bleeker, J.J. (TU Delft ChemE/Transport Phenomena); van Kasteren, Celine (Student TU Delft); van Ommen, J.R. (TU Delft ChemE/Product and Process Engineering); Vermaas, D.A. (TU Delft ChemE/Transport Phenomena)","","2024","To make green hydrogen more economically attractive, the energy losses in alkaline electrolysis need to be minimized while operating at high current densities (1 A cm−2). At these current densities the ohmic resistance and gas bubbles effects contribute largely to the energy losses. To mitigate the gas bubbles losses, we demonstrate, for the first time, a pressure swing to remove gas bubbles in a zero-gap alkaline water electrolyzer. The pressure swing leverages the ideal gas law to increase the volume of gas in the system periodically, for a short duration (<2 s). This temporal volume increase effectively removes bubbles from the electrolyzer. We show that pressure swing can be used to measure the effect of bubbles on the ohmic resistance (RBubbles). Our results reveal that foam electrodes have a significantly larger RBubbles than perforated plate electrodes (1.8 Ω cm2 vs 0.3 Ω cm2). The time-averaged cell voltage reduces by 170 mV when applying pressure swings to an electrolyzer operating at 200 mA cm−2 in 1 M KOH with foam electrodes. The bubble resistance further depends on the electrolyte conductivity (inversely proportional) and is only moderately affected by operating pressure (25 % lower when increasing pressure amplitude from 1–2 to 1–5 bar). By implementing these findings in a model, we estimate that the pressure swing could reduce the cell voltage by ∼0.1 V for an electrolyzer operating at industrial conditions (6 M KOH, 80 °C, 1 A cm−2) for foam electrodes. For perforated plate electrodes, however, the reduced cell voltage is lower and does not outweigh the additional compression energy.","Alkaline water electrolysis; Gas bubbles; Hydrogen; Pressurized; Zero-gap","en","journal article","","","","","","","","","","","ChemE/Transport Phenomena","","",""
"uuid:da4cbf15-21c8-474a-b79e-47e3a067778d","http://resolver.tudelft.nl/uuid:da4cbf15-21c8-474a-b79e-47e3a067778d","Effects of Grain Boundaries and Surfaces on Electronic and Mechanical Properties of Solid Electrolytes","Xie, Weihang (National University of Singapore); Deng, Zeyu (National University of Singapore); Liu, Zhengyu (National University of Singapore); Famprikis, T. (TU Delft RST/Storage of Electrochemical Energy); Butler, Keith T. (University College London (UCL)); Canepa, Pieremanuele (National University of Singapore; University of Houston)","","2024","Extended defects, including exposed surfaces and grain boundaries (GBs), are critical to the properties of polycrystalline solid electrolytes in all-solid-state batteries (ASSBs). These defects can alter the mechanical and electronic properties of solid electrolytes, with direct manifestations in the performance of ASSBs. Here, by building a library of 590 surfaces and grain boundaries of 11 relevant solid electrolytes—including halides, oxides, and sulfides— their electronic, mechanical, and thermodynamic characteristics are linked to the functional properties of polycrystalline solid electrolytes. It is found that the energy required to mechanically “separate” grain boundaries can be significantly lower than in the bulk region of materials, which can trigger preferential cracking of solid electrolyte particles in the grain boundary regions. The brittleness of ceramic solid electrolytes, inferred from the predicted low fracture toughness at the grain boundaries, contributes to their cracking under local pressure imparted by lithium (sodium) penetration in the grain boundaries. Extended defects of solid electrolytes introduce new electronic interfacial states within bandgaps of solid electrolytes. These states alter and possibly increase locally the availability of free electrons and holes in solid electrolytes. Factoring effects arising from extended defects appear crucial to explain electrochemical and mechanical observations in ASSBs.","electronic properties; first-principles calculations; grain boundaries; mechanical properties; solid electrolytes; surfaces","en","journal article","","","","","","","","","","","RST/Storage of Electrochemical Energy","","",""
"uuid:bd34c470-c2b0-40a3-bfbf-b3fdfa0c23b0","http://resolver.tudelft.nl/uuid:bd34c470-c2b0-40a3-bfbf-b3fdfa0c23b0","A retrofit sensing strategy for soft fluidic robots","Zou, Shibo (Institute for Atomic and Molecular Physics); Picella, Sergio (Institute for Atomic and Molecular Physics; Eindhoven University of Technology); de Vries, Jelle (Institute for Atomic and Molecular Physics); Kortman, V.G. (TU Delft Medical Instruments & Bio-Inspired Technology); Sakes, A. (TU Delft Medical Instruments & Bio-Inspired Technology); Overvelde, Johannes T.B. (Institute for Atomic and Molecular Physics; Eindhoven University of Technology)","","2024","Soft robots are intrinsically capable of adapting to different environments by changing their shape in response to interaction forces. However, sensory feedback is still required for higher level decisions. Most sensing technologies integrate separate sensing elements in soft actuators, which presents a considerable challenge for both the fabrication and robustness of soft robots. Here we present a versatile sensing strategy that can be retrofitted to existing soft fluidic devices without the need for design changes. We achieve this by measuring the fluidic input that is required to activate a soft actuator during interaction with the environment, and relating this input to its deformed state. We demonstrate the versatility of our strategy by tactile sensing of the size, shape, surface roughness and stiffness of objects. We furthermore retrofit sensing to a range of existing pneumatic soft actuators and grippers. Finally, we show the robustness of our fluidic sensing strategy in closed-loop control of a soft gripper for sorting, fruit picking and ripeness detection. We conclude that as long as the interaction of the actuator with the environment results in a shape change of the interval volume, soft fluidic actuators require no embedded sensors and design modifications to implement useful sensing.","","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:c450abb3-38bd-4f3b-9600-909b67c29d8a","http://resolver.tudelft.nl/uuid:c450abb3-38bd-4f3b-9600-909b67c29d8a","Anionic extracellular polymeric substances extracted from seawater-adapted aerobic granular sludge","Chen, L.M. (TU Delft BT/Environmental Biotechnology); Beck, Paula (Student TU Delft); van Ede, J.M. (TU Delft BT/Environmental Biotechnology); Pronk, M. (TU Delft BT/Environmental Biotechnology; Royal HaskoningDHV); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology); Lin, Y. (TU Delft Environmental Fluid Mechanics)","","2024","Abstract: Anionic polymers, such as heparin, have been widely applied in the chemical and medical fields, particularly for binding proteins (e.g., fibroblast growth factor 2 (FGF-2) and histones). However, the current animal-based production of heparin brings great risks, including resource shortages and product contamination. Recently, anionic compounds, nonulosonic acids (NulOs), and sulfated glycoconjugates were discovered in the extracellular polymeric substances (EPS) of aerobic granular sludge (AGS). Given the prevalence of anionic polymers, in marine biofilms, it was hypothesized that the EPS from AGS grown under seawater condition could serve as a raw material for producing the alternatives to heparin. This study aimed to isolate and enrich the anionic fractions of EPS and evaluate their potential application in the chemical and medical fields. The AGS was grown in a lab-scale reactor fed with acetate, under the seawater condition (35 g/L sea salt). The EPS was extracted with an alkaline solution at 80 °C and fractionated by size exclusion chromatography. Its protein binding capacity was evaluated by native gel electrophoresis. It was found that the two highest molecular weight fractions (438– > 14,320 kDa) were enriched with NulO and sulfate-containing glycoconjugates. The enriched fractions can strongly bind the two histones involved in sepsis and a model protein used for purification by heparin-column. These findings demonstrated possibilities for the application of the extracted EPS and open up a novel strategy for resource recovery. Key points: • High MW EPS from seawater-adapted AGS are dominant with sulfated groups and NulOs • Fifty-eight percent of the EPS is high MW of 68–14,320 kDa • EPS and its fractions can bind histones and fibroblast growth factor 2 Graphical Abstract: [Figure not available: see fulltext.]","EPS; Granular sludge; Nonulosonic acids; Protein binding; Sulfated glycoconjugates","en","journal article","","","","","","","","2024-07-17","","","BT/Environmental Biotechnology","","",""
"uuid:d2658035-ffdc-409f-a029-c64475a077ab","http://resolver.tudelft.nl/uuid:d2658035-ffdc-409f-a029-c64475a077ab","Towards interactive explanation-based nutrition virtual coaching systems","Buzcu, Berk (Özyeğin University; University of Applied Sciences and Arts Western Switzerland); Tessa, Melissa (High National School of Computer Science ESI ex-INI, Algiers); Tchappi, Igor (University of Luxembourg); Najjar, Amro (Luxembourg Institute of Science and Technology; University of Luxembourg); Hulstijn, Joris (University of Luxembourg); Calvaresi, Davide (University of Applied Sciences and Arts Western Switzerland); Aydoğan, Reyhan (TU Delft Interactive Intelligence; Özyeğin University; Universidad de Alcalá)","","2024","The awareness about healthy lifestyles is increasing, opening to personalized intelligent health coaching applications. A demand for more than mere suggestions and mechanistic interactions has driven attention to nutrition virtual coaching systems (NVC) as a bridge between human–machine interaction and recommender, informative, persuasive, and argumentation systems. NVC can rely on data-driven opaque mechanisms. Therefore, it is crucial to enable NVC to explain their doing (i.e., engaging the user in discussions (via arguments) about dietary solutions/alternatives). By doing so, transparency, user acceptance, and engagement are expected to be boosted. This study focuses on NVC agents generating personalized food recommendations based on user-specific factors such as allergies, eating habits, lifestyles, and ingredient preferences. In particular, we propose a user-agent negotiation process entailing run-time feedback mechanisms to react to both recommendations and related explanations. Lastly, the study presents the findings obtained by the experiments conducted with multi-background participants to evaluate the acceptability and effectiveness of the proposed system. The results indicate that most participants value the opportunity to provide feedback and receive explanations for recommendations. Additionally, the users are fond of receiving information tailored to their needs. Furthermore, our interactive recommendation system performed better than the corresponding traditional recommendation system in terms of effectiveness regarding the number of agreements and rounds.","Explainable AI; Interactive; Nutrition virtual coach; Recommender systems","en","journal article","","","","","","","","","","","Interactive Intelligence","","",""
"uuid:3178d527-9b0f-4996-8fcb-e324c74f9925","http://resolver.tudelft.nl/uuid:3178d527-9b0f-4996-8fcb-e324c74f9925","A real-world dataset of group emotion experiences based on physiological data","Bota, Patrícia (Instituto de Telecomunicações); Brito, Joana (Instituto de Telecomunicações); Fred, Ana (Instituto de Telecomunicações; Lisbon Technical University); Cesar, Pablo (TU Delft Multimedia Computing; Centrum Wiskunde & Informatica (CWI)); Silva, Hugo (Instituto de Telecomunicações)","","2024","Affective computing has experienced substantial advancements in recognizing emotions through image and facial expression analysis. However, the incorporation of physiological data remains constrained. Emotion recognition with physiological data shows promising results in controlled experiments but lacks generalization to real-world settings. To address this, we present G-REx, a dataset for real-world affective computing. We collected physiological data (photoplethysmography and electrodermal activity) using a wrist-worn device during long-duration movie sessions. Emotion annotations were retrospectively performed on segments with elevated physiological responses. The dataset includes over 31 movie sessions, totaling 380 h+ of data from 190+ subjects. The data were collected in a group setting, which can give further context to emotion recognition systems. Our setup aims to be easily replicable in any real-life scenario, facilitating the collection of large datasets for novel affective computing systems.","","en","journal article","","","","","","","","","","","Multimedia Computing","","",""
"uuid:0f97b7aa-151b-4060-97a0-c88849e9b3c2","http://resolver.tudelft.nl/uuid:0f97b7aa-151b-4060-97a0-c88849e9b3c2","Predicting willingness to pay and implement different rooftop strategies to characterize social perception of climate change mitigation and adaptation","Untereiner, Erin (Universitat Autònoma de Barcelona); Toboso Chavero, S.T.C.H. (TU Delft Integral Design & Management; Universitat Autònoma de Barcelona; Erasmus Universiteit Rotterdam); Fariñas, Ana Vázquez (Universitat Autònoma de Barcelona); Madrid-Lopez, Cristina (Universitat Autònoma de Barcelona); Villalba, Gara (Universitat Autònoma de Barcelona); Durany, Xavier Gabarrell (Universitat Autònoma de Barcelona)","","2024","With the latest IPCC report, dramatic global climate action must be taken immediately to limit global warming to 1.5 °C, or face more frequent and extreme weather events with catastrophic implications. Cities must invest in climate resilience development; however, government policies are only effective if they are supported by the society in which they serve. As such, this study aims to characterize the social perception of climate resilience development, in particular the implementation of sustainable urban rooftop strategies, to support policy makers and enable individual action. This was accomplished through the analysis of 1,100 answered surveys in Cerdanyola del Vallès (Spain), to assess one’s willingness to pay (WTP) and willingness to implement (WTI) rooftop strategies according to: 1. socio-demographical characteristics; 2. social perceptions and beliefs; and 3. surrounding land use and land cover, and vulnerabilities identified through temperature and normalized difference vegetation index (NDVI) maps. The results of this study found age played a significant role in predictability, with 18-39-year-olds being the most willing to pay and implement the various rooftop scenarios. However, our results uncovered societal inequality as those 85+ were the second group most interested in rooftop agriculture but the most financially restricted. Belief in the viability of rooftop strategies increased respondents WTP and WTI while having access to ones’ rooftop increased willingness to partake in rooftop food cultivation and enhance rooftop greenery. A new finding presented by this study is the quantifiable impact that urban greenery plays on increasing survey respondents WTP and WTI.","circular cities; public perception; socio-ecological systems; urban agriculture; urban ecology; urban green infrastructure","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:69f49e60-87d7-4f4e-aa8b-967c69a00277","http://resolver.tudelft.nl/uuid:69f49e60-87d7-4f4e-aa8b-967c69a00277","Dispersion and deformation of molecular patterns written in turbulent air","van de Water, W. (TU Delft Fluid Mechanics); Dam, Nico (Radboud Universiteit Nijmegen; Eindhoven University of Technology); Calzavarini, Enrico (Université de Lille)","","2024","Molecular tagging is used to study the dispersion and deformation of patterns written in turbulent air. The writing is done by fusing O2 and N2 molecules into NO in the focus of a strong ultraviolet laser beam. By crossing several of these laser beams, patterns that have both small and large scales can be painted. The patterns are visualized a while later by inducing fluorescence of the NO molecules with a second UV laser and registering the image. The width of the lines that make the pattern is approximately 50μm, a few times the Kolmogorov length η, the smallest length scale in turbulence, while the largest size of the patterns (≈4mm) is inside the inertial range of the used turbulent jet flow. At small scales molecular clouds disperse under the joint action of molecular diffusion and turbulence. The experiments reveal this highly nontrivial interaction. At inertial-range scales (≈200η) we verify the Batchelor dispersion of objects whose size is inside the inertial range. Patterns are compressible objects and spontaneously develop concentration fluctuations. We show for the first time the nontrivial statistical properties of these fluctuations. Finally, we use the information in written and deformed lines to quantify turbulent intermittency, obtaining results that agree with the established scaling anomaly of velocity structure functions.","","en","journal article","","","","","","","","","","","Fluid Mechanics","","",""
"uuid:8b123d4f-936e-41ca-b0a2-914262bc9df8","http://resolver.tudelft.nl/uuid:8b123d4f-936e-41ca-b0a2-914262bc9df8","Editorial: Smart condition assessment of railway infrastructure","Ribeiro, Diogo (Polytechnic of Porto); Calçada, Rui (Universidade do Porto); Roberts, Clive (University of Birmingham); Markine, V.L. (TU Delft Railway Engineering)","","2024","This editorial is referred to the Special Issue (SI) “Smart Condition Assessment of Railway Infrastructure” which aims to bring together the latest research studies, findings, and achievements regarding the smart condition assessment of railway infrastructure to prevent critical failure mechanisms. This SI counted with 20 high quality technical and scientific contributions involving 112 authors of 5 countries.","","en","contribution to periodical","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-11","","","Railway Engineering","","",""
"uuid:a30614f0-a4de-4403-b8be-2033add13679","http://resolver.tudelft.nl/uuid:a30614f0-a4de-4403-b8be-2033add13679","Development and experiment of an intelligent connected cooperative vehicle infrastructure system based on multiple V2I modes and BWM-IGR method","Li, Chunjie (Southeast University); Xu, Chengcheng (Southeast University); Chen, Y. (TU Delft Transport and Planning); Li, Zhibin (Southeast University)","","2024","To increase the efficiency and safety of expressway, this paper constructed a new intelligent connected cooperative vehicle infrastructure system and its effectiveness was verifid from both data and practical applications. Firstly, considering the convenience of using intelligent networking systems for public transportation, a new intelligent connected cooperative vehicle infrastructure system architecture was proposed by incorporating mobile communication methods. Then, the new system was illustrated from road side unit (RSU), on board unit (OBU) and data interaction. Additionally, to verify the effectiveness of the system, this paper proposes a two-stage model named Transformer Embedded Clustering- Hierarchical Density-Based Spatial Clustering of Applications with Noise (TEC-HDBSCAN) model to identify outliers in the trajectory data of vehicles collected by the system and obtain the speed sequence of the vehicle. Finally, data from actual testing scenarios was collected and a Best Worst Method-Improved Gray Relational (BWM-IGR) model was built to verify the effectiveness of the system. The results show that the established intelligent networked transportation system can effectively guide vehicles and collect data with high accuracy.","Abnormal data recognition; Comprehensive evaluation; Effectiveness testing; Intelligent connected cooperative vehicle infrastructure system","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-06","","","Transport and Planning","","",""
"uuid:76accbdf-6bc7-4841-ad12-39de813cdbb3","http://resolver.tudelft.nl/uuid:76accbdf-6bc7-4841-ad12-39de813cdbb3","Experimentally informed modeling of the early-age stress evolution in cementitious materials using exponential conversion from creep to relaxation","Liang, M. (TU Delft Materials and Environment); Luzio, Giovanni Di (Politecnico di Milano); Schlangen, E. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment)","","2024","This study presents comprehensive numerical modeling methods for simulating early-age stress (EAS) relaxation in cementitious materials, based on the autogenous deformation (AD), elastic modulus, creep, and stress continuously tested by a mini temperature stress testing machine (Mini-TSTM) and a mini AD testing machine from a very early age (i.e., from a few hours to a week). Four methods for converting creep compliance to relaxation modulus were discussed in detail and used for the one-dimensional (1D) and three-dimensional (3D) simulation of stress evolution in the Mini-TSTM test. Furthermore, virtual creep and relaxation tests were conducted using an exponential algorithm with either the Kelvin or Maxwell chains to show their applicability in simulating the viscoelastic behavior of early-age cementitious materials. The results showed that the exponential algorithm with the Maxwell chain using an exponential conversion function from creep to relaxation obtains good prediction accuracy of EAS in 3D analysis. The numerical solutions of the Volterra integral of creep compliance can lead to a negative relaxation modulus, thus introducing stress calculation errors in both 1D and 3D analysis.","","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:414f6c87-d627-4054-8023-bbb2fd5dc9b5","http://resolver.tudelft.nl/uuid:414f6c87-d627-4054-8023-bbb2fd5dc9b5","The use of additive manufacturing in self-healing cementitious materials: A state-of-the-art review","Wan, Z. (TU Delft Materials and Environment); Xu, Y. (TU Delft Materials and Environment); He, S. (TU Delft Materials and Environment); Schlangen, E. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment)","","2024","This paper presents a state-of-the-art review on the application of additive manufacturing (AM) in self-healing cementitious materials. AM has been utilized in self-healing cementitious materials in three ways: (1) concrete with 3D-printed capsules/vasculatures; (2) 3D concrete printing (3DCP) with fibers or supplementary cementitious materials (SCMs); and (3) a combination of (1) and (2). 3D-printed capsules/vascular systems are the most extensively investigated, which are capable of housing larger volumes of healing agents. However, due to the dimension restraints of printers, most of the printed vasculatures/capsules are in small scale, making them difficult for upscaling. Meanwhile, 3DCP shows great potential to lower the environmental footprint of concrete construction. Incorporation of fibers and SCMs helps improve the autogenous healing performance of 3DCP. Besides, 3D-printed concrete with hollow channels as the vasculature could further improve the autonomous healing and scalability of self-healing cementitious materials. Finally, possible directions for future research are discussed.","3D concrete printing; Additive manufacturing; Capsule; Self-healing cementitious materials; Vascular system","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:f06e8f3c-895d-4cf2-b4df-327543c98cb0","http://resolver.tudelft.nl/uuid:f06e8f3c-895d-4cf2-b4df-327543c98cb0","An adaptive route choice model for integrated fixed and flexible transit systems","Leffler, David (KTH Royal Institute of Technology); Burghout, Wilco (KTH Royal Institute of Technology); Cats, O. (TU Delft Transport and Planning; KTH Royal Institute of Technology); Jenelius, Erik (KTH Royal Institute of Technology)","","2024","Over the past decade, there has been a surge of interest in the application of agent-based simulation models to evaluate flexible transit solutions characterized by different degrees of short-term flexibility in routing and scheduling. A central modelling decision in the development is how one chooses to represent the mode- and route-choices of travellers. The real-time adaptive behaviour of travellers is important to model in the presence of a flexible transit service, where the routing and scheduling of vehicles is highly dependent on supply-demand dynamics at a near real-time temporal resolution. We propose a utility-based transit route-choice model with representation of within-day adaptive travel behaviour and between-day learning where station-based fixed-transit, flexible-transit, and active-mode alternatives may be dynamically combined in a single path. To enable experimentation, this route-choice model is implemented within an agent-based dynamic public transit simulation framework. We first explore model properties in a choice between fixed- and flexible-transit modes for a toy network. The adaptive route choice framework is then applied to a case study based on a real-life branched transit service in Stockholm, Sweden. This case study illustrates level-of-service trade-offs, in terms of waiting times and in-vehicle times, between passenger groups and analyzes traveller mode choices within a mixed fixed- and flexible transit system. Results show that the proposed framework is capable of capturing dynamic route choices in mixed flexible and fixed transit systems and that the day-to-day learning model leads to stable fixed-flexible mode choices.","agent-based simulation; flexible transit; Public transit; route choice; transit assignment","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:7673786b-4af7-4299-9339-cfc3a3657972","http://resolver.tudelft.nl/uuid:7673786b-4af7-4299-9339-cfc3a3657972","Investigation of non-ideal effects in compressible boundary layers of dense vapors through direct numerical simulations","Tosto, F. (TU Delft Flight Performance and Propulsion); Wheeler, Andrew (University of Cambridge); Pini, M. (TU Delft Flight Performance and Propulsion)","","2024","In this work, we present an investigation about the sources of dissipation in adiabatic boundary layers of non-ideal compressible fluid flows. Direct numerical simulations (DNS) of transitional, zero-pressure gradient boundary layer flows are performed for two fluids characterized by different complexity of the fluid molecules, namely, “air” and siloxane MM. Different sets of thermodynamic free-stream boundary conditions are selected to evaluate the influence of the fluid state on both the frictional loss and the dissipation mechanisms. The thermophysical properties of siloxane MM are calculated with a state-of-the-art equation of state. Results show that the dissipation due to both time-mean strain field, irreversible heat transfer, and turbulent dissipation differs significantly depending on both the molecular complexity of the fluid and its thermodynamic state. The dissipation coefficient calculated from the DNS results is then compared against the one obtained using a reduced-order model (ROM), which solves the two-dimensional boundary layer flow equations for an arbitrary fluid [M. Pini and C. De Servi, “Entropy generation in laminar boundary layers of non-ideal fluid flows,” in 2nd International Seminar on Non-Ideal Compressible Fluid Dynamics for Propulsion and Power (Springer, 2020), pp. 104-117]. Results from both the DNS and the ROM show that low values of the overall dissipation are observed in the case of fluids made of simple molecules, e.g., air, and if the fluid is at a thermodynamic state in the proximity of that of the vapor-liquid critical point.","","en","journal article","","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:74e721e7-c964-4100-9061-c7c3ddbfa873","http://resolver.tudelft.nl/uuid:74e721e7-c964-4100-9061-c7c3ddbfa873","Numerical modelling and quantification of coastal urban compound flooding","Yuan, Jiao (Zhejiang University); Zheng, Feifei (Zhejiang University); Duan, Huan Feng (The Hong Kong Polytechnic University); Deng, Zhengzhi (Zhejiang University); Kapelan, Z. (TU Delft Sanitary Engineering); Savic, Dragan (KWR Water Research Institute; University of Exeter; University of Belgrade); Shao, Tan (Guangdong Hydrological Bureau); Huang, Wei Min (Guangdong Hydrological Bureau); Zhao, Tongtiegang (Sun Yat-sen University); Chen, Xiaohong (Sun Yat-sen University)","","2024","Low-lying coastal cities are vulnerable to compound floods caused by many factors including river flows, tides and local rainfall. Many previous studies focus on the impacts of rainfall and tidal levels (two driving factors) on estuaries or regions near the main single river, while research about the three influencing factors on the floods for complex urban river networks remain relatively scarce. This paper proposes a framework to simulate the coastal compound flooding process, with a series of scenarios designed to explore the main flooding drivers and their worst possible combination. The approach is used to quantify the induced flooding consequences, in which a two-dimensional model is employed to conduct a case study for a city with complex river networks. The Qianshan River basin in Zhuhai City, located in the Guangdong-Hong Kong-Macao Greater Bay Area (GBA) of China is used as the case study. Application results show that: (i) the occurrence and properties of flooding in this coastal area are jointly affected by upstream river flows, downstream tidal levels and local rainfall intensity with different contribution levels, (ii) compared to tidal levels, local rainfall intensity has a larger impact on the flooding levels of the study region, and (iii) the worst scenario where a 50-year return period upstream river flow co-occurring with high tide and a 100-year return period rainfall can produce a flooding area up to 50 km2 for the study region, with an average of 1.3 m flooded depth. The proposed framework with its findings offers an in-depth understanding and quantification of coastal urban flood characteristics within the GBA of China.","Complex river network; Compound flooding; Numerical modelling; Scenario analysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-26","","","Sanitary Engineering","","",""
"uuid:a97d32fe-e891-4424-a238-4916a7254d5a","http://resolver.tudelft.nl/uuid:a97d32fe-e891-4424-a238-4916a7254d5a","Structural evolution of calcium sodium aluminosilicate hydrate (C-(N-)A-S-H) gels induced by water exposure: The impact of Na leaching","Liu, C. (TU Delft Materials and Environment); Li, Zhenming (Harbin Institute of Technology; University of Sheffield); Nie, Shuai (Aarhus University); Skibsted, Jørgen (Aarhus University); Ye, G. (TU Delft Materials and Environment)","","2024","Calcium sodium aluminosilicate hydrate C-(N-)A-S-H gels, formed through the alkali-activation of calcium silicate-based materials, may exhibit greater susceptibility to aqueous environments when compared to traditional C-(A-)S-H phases formed by hydration of blended Portland cements. This study investigates structural changes in synthesized C-(N-)A-S-H gels triggered by water immersion. Three gels have been examined, each with stoichiometrically controlled ratios of Ca/Si (0.8 and 1.2), Al/Si (0.1 and 0.3), and Na/Si (0.1, 0.2, and 0.3). The gel with a higher Ca/Si ratio demonstrated enhanced resistance to water leaching and only experienced marginal decalcification whereas the gels with lower Ca/Si ratios exhibited more pronounced effects including leaching losses of Si. Notably, all gels displayed rapid and substantial sodium leaching, contributing to an increased degree of polymerization for the aluminosilicate tetrahedra in the gels. A plausible mechanism for this change is that Na leaches out from the interlayer and Ca ions progressively take over the role of charge compensators in the interlayer of the C-(N-)A-S-H structure.","Na, Al and Si NMR; C-(N-)A-S-H gel; Leaching; Structural evolution; Water immersion","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-28","","","Materials and Environment","","",""
"uuid:6f94c28c-fbae-458e-a757-6331d1561b25","http://resolver.tudelft.nl/uuid:6f94c28c-fbae-458e-a757-6331d1561b25","Incorporating strontium enriched amorphous calcium phosphate granules in collagen/collagen-magnesium-hydroxyapatite osteochondral scaffolds improves subchondral bone repair","Xu, J. (Erasmus MC); Vecstaudza, Jana (Riga Technical University); Wesdorp, Marinus A. (Erasmus MC); Labberté, Margot (University College Dublin); Salerno, Manuela (Rizzoli Orthopaedic Institute); Kok, Joeri (Eindhoven University of Technology); van Rietbergen, Bert (Eindhoven University of Technology); van Osch, G.J.V.M. (TU Delft Biomaterials & Tissue Biomechanics; Erasmus MC); Locs, Janis (Riga Technical University); Brama, Pieter A.J. (University College Dublin)","","2024","Osteochondral defect repair with a collagen/collagen-magnesium-hydroxyapatite (Col/Col-Mg-HAp) scaffold has demonstrated good clinical results. However, subchondral bone repair remained suboptimal, potentially leading to damage to the regenerated overlying neocartilage. This study aimed to improve the bone repair potential of this scaffold by incorporating newly developed strontium (Sr) ion enriched amorphous calcium phosphate (Sr-ACP) granules (100–150 μm). Sr concentration of Sr-ACP was determined with ICP-MS at 2.49 ± 0.04 wt%. Then 30 wt% ACP or Sr-ACP granules were integrated into the scaffold prototypes. The ACP or Sr-ACP granules were well embedded and distributed in the collagen matrix demonstrated by micro-CT and scanning electron microscopy/energy dispersive x-ray spectrometry. Good cytocompatibility of ACP/Sr-ACP granules and ACP/Sr-ACP enriched scaffolds was confirmed with in vitro cytotoxicity assays. An overall promising early tissue response and good biocompatibility of ACP and Sr-ACP enriched scaffolds were demonstrated in a subcutaneous mouse model. In a goat osteochondral defect model, significantly more bone was observed at 6 months with the treatment of Sr-ACP enriched scaffolds compared to scaffold-only, in particular in the weight-bearing femoral condyle subchondral bone defect. Overall, the incorporation of osteogenic Sr-ACP granules in Col/Col-Mg-HAp scaffolds showed to be a feasible and promising strategy to improve subchondral bone repair.","Amorphous calcium phosphate; Osteochondral defect; Regenerative medicine; Strontium; Tissue engineering","en","journal article","","","","","","","","","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:9d8a7d78-d2a6-4575-ba47-2d41516d4ff6","http://resolver.tudelft.nl/uuid:9d8a7d78-d2a6-4575-ba47-2d41516d4ff6","Handling uncertainty in train timetable rescheduling: A review of the literature and future research directions","Zhan, Shuguang (Hefei University of Technology); Xie, Jiemin (Sun Yat-sen University); Wong, S. C. (The University of Hong Kong); Zhu, Y. (TU Delft Transport and Planning; ETH Zürich); Corman, Francesco (ETH Zürich)","","2024","External and internal factors can cause disturbances or disruptions in daily train operations, leading to deviations from official timetables and passenger delays. As a result, efficient train timetable rescheduling (TTR) methods are necessary to restore disrupted train services. Although TTR has been a popular research topic in recent years, the uncertain characteristics of railways have not been sufficiently addressed. This review first identifies the primary uncertainties of TTR and examines their impacts on both TTR and passenger routing during disturbances or disruptions. It finds that only a few uncertainties have been investigated, and the existing solution methods do not adequately meet practical requirements, such as considering the dynamic nature of disturbances or disruptions, which is crucial for real-world applications. Therefore, the review highlights problems associated with TTR uncertainties that need urgent attention and suggests promising methodologies that could effectively address these issues as future research directions. This review aims to help practitioners develop improved automatic train-dispatching systems with better train-rescheduling performance under disturbances or disruptions compared to current systems.","Disruption management; Railways; Stochastic perturbation; Train timetable rescheduling","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:01775ed6-97c1-4264-9ec8-a686e195a771","http://resolver.tudelft.nl/uuid:01775ed6-97c1-4264-9ec8-a686e195a771","A versatile in situ cofactor enhancing system for meeting cellular demands for engineered metabolic pathways","Jaroensuk, Juthamas (Vidyasirimedhi Institute of Science and Technology); Sutthaphirom, Chalermroj (Vidyasirimedhi Institute of Science and Technology); Phonbuppha, Jittima (Vidyasirimedhi Institute of Science and Technology); Chinantuya, Wachirawit (Vidyasirimedhi Institute of Science and Technology; Mahidol University); Kesornpun, Chatchai (Vidyasirimedhi Institute of Science and Technology); Akeratchatapan, Nattanon (Vidyasirimedhi Institute of Science and Technology); Kittipanukul, Narongyot (Vidyasirimedhi Institute of Science and Technology); Phatinuwat, Kamonwan (Chulabhorn Graduate Institute, Bangkok); Hollmann, F. (TU Delft BT/Biocatalysis)","","2024","Cofactor imbalance obstructs the productivities of metabolically engineered cells. Herein, we employed a minimally perturbing system, xylose reductase and lactose (XR/lactose), to increase the levels of a pool of sugar phosphates which are connected to the biosynthesis of NAD(P)H, FAD, FMN, and ATP in Escherichia coli. The XR/lactose system could increase the amounts of the precursors of these cofactors and was tested with three different metabolically engineered cell systems (fatty alcohol biosynthesis, bioluminescence light generation, and alkane biosynthesis) with different cofactor demands. Productivities of these cells were increased 2-4-fold by the XR/lactose system. Untargeted metabolomic analysis revealed different metabolite patterns among these cells, demonstrating that only metabolites involved in relevant cofactor biosynthesis were altered. The results were also confirmed by transcriptomic analysis. Another sugar reducing system (glucose dehydrogenase) could also be used to increase fatty alcohol production but resulted in less yield enhancement than XR. This work demonstrates that the approach of increasing cellular sugar phosphates can be a generic tool to increase in vivo cofactor generation upon cellular demand for synthetic biology.","alkane; bioluminescence; fatty alcohol; metabolic engineering; sugar phosphate; synthetic biology; xylose reductase","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:a1fb547a-c028-405f-a402-47c0fd8a4273","http://resolver.tudelft.nl/uuid:a1fb547a-c028-405f-a402-47c0fd8a4273","Scaling ice-induced vibrations by combining replica modeling and preservation of kinematics","Hammer, T.C. (TU Delft Offshore Engineering); Puolakka, Otto (Aalto University); Hendrikse, H. (TU Delft Offshore Engineering)","","2024","A modeling approach to simulate ice-induced vibrations of vertically sided offshore structures in ice tank experiments is presented. The technique combines replica modeling with the preservation of kinematics during ice-structure interaction. The technique was chosen based on the theoretical understanding that ice-induced vibrations are caused by an energy exchange between the structure and the ice. The mechanism is controlled by primarily four aspects: the kinematics during ice-structure interaction, the degree to which the ice can resist higher loading at low velocities prior to failure (velocity effect), the existence of a transition speed from ductile-to-brittle failure, and the mean ice load level. A model ice type which resulted in a velocity effect and provided a transition speed comparable to that of sea ice was developed and used during ice tank experiments. A scaling factor, derived from the comparison between the mean brittle crushing ice load of the full-scale event and the in-situ measured mean brittle crushing model ice load, was applied to scale structure properties of a numerical model. This model was implemented during real-time hybrid simulations in model ice to preserve kinematics during the ice-structure interaction. To verify the proposed scaling approach, rigid indenter experiments covering velocities from 0.1 mm s−1 to 500 mm s−1 and dynamic ice-induced vibration experiments of structures with varying aspect ratios (8 and 12) and shapes (cylindrical and rectangular) were conducted. Neither the aspect ratio nor shape appeared to influence the development of ice-induced vibrations significantly. The approach was qualitatively validated by reproducing full-scale ice-induced vibrations as experienced by the Molikpaq platform and Norströmsgrund lighthouse.","Crushing; Hybrid test setup; Ice tank experiments; Model tests; Offshore structures","en","journal article","","","","","","","","","","","Offshore Engineering","","",""
"uuid:a59f944e-3bd9-47a8-a739-01cd9c97b757","http://resolver.tudelft.nl/uuid:a59f944e-3bd9-47a8-a739-01cd9c97b757","Limitations of a biokinetic model to predict the seasonal variations of nitrous oxide emissions from a full-scale wastewater treatment plant","Seshan, S. (TU Delft Sanitary Engineering; KWR Water Research Institute); Poinapen, Johann (KWR Water Research Institute); Zandvoort, Marcel H. (Waternet); van Lier, J.B. (TU Delft Sanitary Engineering); Kapelan, Z. (TU Delft Sanitary Engineering)","","2024","A biokinetic model based on BioWin's Activated Sludge Digestion Model (ASDM) coupled with a nitrous oxide (N2O) model was setup and calibrated for a full-scale wastewater treatment plant (WWTP) Amsterdam West, in the Netherlands. The model was calibrated using one year of continuous data to predict the seasonal variations of N2O emissions in the gaseous phase. This, according to our best knowledge, is the most complete full-scale data set used to date for this purpose. The results obtained suggest that the currently available biokinetic model predicted the winter, summer, and autumn N2O emissions well but failed to satisfactorily simulate the spring peak. During the calibration process, it was found that the nitrifier denitrification pathway could explain the observed emissions during all seasons while a combination of the nitrifier denitrification and incomplete heterotrophic denitrification pathways seemed to be dominant during the emissions peak observed during the spring season. Specifically, kinetic parameters related to free nitrous acid (FNA) displayed significant sensitivity leading to increased N2O production. The obtained values of two kinetic parameters, i.e., the FNA half-saturation during ammonia oxidising bacteria (AOB) denitrification and the FNA inhibition concentration related to heterotrophic denitrification, suggested a strong influence of the FNA bulk concentration on the N2O emissions and the observed seasonal variations. Based on the suboptimal performance and limitations of the biokinetic model, further research is needed to better understand the biochemical processes behind the seasonal peak and the influence of FNA.","Biokinetic modelling; Free nitrous acid; Nitrous oxide; Production pathways; Seasonal variations","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:40986389-2340-4a5f-b8e9-e74cf5e24ccd","http://resolver.tudelft.nl/uuid:40986389-2340-4a5f-b8e9-e74cf5e24ccd","Precursory Signals to Injection Induced Fault Reactivation in the Laboratory Using Active Ultrasonic Monitoring Methods","Veltmeijer, A.V. (TU Delft Applied Geophysics and Petrophysics); Naderloo, M. (TU Delft Reservoir Engineering); Pluymakers, Anne (TU Delft Applied Geophysics and Petrophysics); Barnhoorn, A. (TU Delft Applied Geophysics and Petrophysics)","","2024","Induced earthquakes are still highly unpredictable, and often caused by variations in pore fluid pressure. Monitoring and understanding the mechanisms of fluid-induced fault slip is essential for seismic risk mitigation and seismicity forecasting. Fluid-induced slip experiments were performed on critically stressed faulted sandstone samples, and the evolution of the actively sent ultrasonic waves throughout the experiment was measured. Two different fault types were used: smooth saw-cut fault samples at a 35° angle, and a rough fault created by in situ faulting of the samples. Variations in the seismic slip velocity and friction along the fault plane were identified by the coda of the ultrasonic waves. Additionally, ultrasonic amplitudes show precursory signals to laboratory fault reactivation. Our results show that small and local variations in stress before fault failure can be inferred using coda wave interferometry for time-lapse monitoring, as coda waves are more sensitive to small perturbations in a medium than direct waves. Hence, these signals can be used as precursors to laboratory fault slip and to give insight into reactivation mechanisms. Our results show that time-lapse monitoring of coda waves can be used to monitor local stress changes associated with fault reactivation in this laboratory setting of fluid-induced fault reactivation. This is a critical first step toward a method for continuous monitoring of natural fault zones, contributing to seismic risk mitigation of induced and natural earthquakes.","coda wave interferometry; fault mechanics; injection-induced seismicity; ultrasonic monitoring","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:ff86bb56-92b5-4ea4-ad79-aeb194dab0ce","http://resolver.tudelft.nl/uuid:ff86bb56-92b5-4ea4-ad79-aeb194dab0ce","Influence of Peripheral Alkyl Groups on Junction Configurations in Single-Molecule Electronics","Ornago, L. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Zwick, Patrick (University of Basel); van der Poel, S. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Brandl, Thomas (University of Basel); El Abbassi, M. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Perrin, Mickael L. (ETH Zürich); Dulić, Diana (Universidad de Chile); van der Zant, H.S.J. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Mayor, Marcel (University of Basel; Karlsruhe Institut für Technologie; Sun Yat-sen University)","","2024","The addition of a lateral alkyl chain is a well-known strategy to reduce π-stacked ensembles of molecules in solution, with the intention to minimize the interactions between the molecules’ backbones. In this paper, we study whether this concept generalizes to single-molecule junctions by using a combination of mechanically controllable break junction (MCBJ) measurements and clustering-based data analysis with two small series of model compounds decorated with various bulky groups. The systematic study suggests that introducing alkyl side chains also favors the formation of electrode-molecule configurations that are not observed in their absence, thereby inducing broadening of the conductance peak in the one-dimensional histograms. Thus, the introduction of alkyl chains in aromatic compounds for molecular electronics must be carefully designed and optimized for the specific purpose, balancing between increased solubility and the possibility of additional junction configurations.","","en","journal article","","","","","","","","","","","QN/van der Zant Lab","","",""
"uuid:d62eeec3-6eac-4465-9302-ecbf8af35f03","http://resolver.tudelft.nl/uuid:d62eeec3-6eac-4465-9302-ecbf8af35f03","Precision and bias in dynamic light scattering optical coherence tomography measurements of diffusion and flow","Cheishvili, K. (TU Delft ImPhys/Kalkman group); Rieger, B. (TU Delft ImPhys/Computational Imaging; TU Delft ImPhys/Rieger group); Kalkman, J. (TU Delft ImPhys/Computational Imaging; TU Delft ImPhys/Kalkman group)","","2024","We quantify the precision and bias of dynamic light scattering optical coherence tomography (DLS-OCT) measurements of the diffusion coefficient and flow speed for first and second-order normalized autocovariance functions. For both diffusion and flow, the measurement precision and accuracy are severely limited by correlations between the errors in the normalized autocovariance function. We demonstrate a method of mixing statistically independent normalized autocovariance functions at every time delay for removing these correlations. The mixing method reduces the uncertainty in the obtained parameters by a factor of two but has no effect on the standard error of the mean. We find that the precision in DLS-OCT is identical for different averaging techniques but that the lowest bias is obtained by averaging the measured correlation functions before fitting the model parameters. With our correlation mixing method, it is possible to quantify the precision in DLS-OCT and verify whether the Cramer-Rao bound is reached.","","en","journal article","","","","","","","","","","","ImPhys/Kalkman group","","",""
"uuid:a33618f1-35f0-4a33-8a2c-0e2657f5b40c","http://resolver.tudelft.nl/uuid:a33618f1-35f0-4a33-8a2c-0e2657f5b40c","A new Bayesian approach for managing bathing water quality at river bathing locations vulnerable to short-term pollution","Seis, W.A.A. (TU Delft Sanitary Engineering; Kompetenzzentrum Wasser Berlin); ten Veldhuis, Marie-claire (TU Delft Water Resources); Rouault, Pascale (Kompetenzzentrum Wasser Berlin); Steffelbauer, D.B. (Kompetenzzentrum Wasser Berlin); Medema, G.J. (TU Delft Sanitary Engineering; KWR Water Research Institute)","","2024","Short-term fecal pollution events are a major challenge for managing microbial safety at recreational waters. Long turn-over times of current laboratory methods for analyzing fecal indicator bacteria (FIB) delay water quality assessments. Data-driven models have been shown to be valuable approaches to enable fast water quality assessments. However, a major barrier towards the wider use of such models is the prevalent data scarcity at existing bathing waters, which questions the representativeness and thus usefulness of such datasets for model training. The present study explores the ability of five data-driven modelling approaches to predict short-term fecal pollution episodes at recreational bathing locations under data scarce situations and imbalanced datasets. The study explicitly focuses on the potential benefits of adopting an innovative modeling and risk-based assessment approach, based on state/cluster-based Bayesian updating of FIB distributions in relation to different hydrological states. The models are benchmarked against commonly applied supervised learning approaches, particularly linear regression, and random forests, as well as to a zero-model which closely resembles the current way of classifying bathing water quality in the European Union. For model-based clustering we apply a non-parametric Bayesian approach based on a Dirichlet Process Mixture Model. The study tests and demonstrates the proposed approaches at three river bathing locations in Germany, known to be influenced by short-term pollution events. At each river two modelling experiments (“longest dry period”, “sequential model training”) are performed to explore how the different modelling approaches react and adapt to scarce and uninformative training data, i.e., datasets that do not include event pollution information in terms of elevated FIB concentrations. We demonstrate that it is especially the proposed Bayesian approaches that are able to raise correct warnings in such situations (> 90 % true positive rate). The zero-model and random forest are shown to be unable to predict contamination episodes if pollution episodes are not present in the training data. Our research shows that the investigated Bayesian approaches reduce the risk of missed pollution events, thereby improving bathing water safety management. Additionally, the approaches provide a transparent solution for setting minimum data quality requirements under various conditions. The proposed approaches open the way for developing data-driven models for bathing water quality prediction against the reality that data scarcity is common problem at existing and prospective bathing waters.","Dirichlet Process Mixture Model; Probabilistic modelling; Recreational waters","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:4b85c22f-4ed0-4fe1-a137-b064a60b0768","http://resolver.tudelft.nl/uuid:4b85c22f-4ed0-4fe1-a137-b064a60b0768","Adsorption characteristics and mechanisms of water-soluble polymers (PVP and PEG) on kaolin and montmorillonite minerals","Wang, Xintu (Guilin University of Technology; Chinese Academy of Sciences); Xu, Yanghui (TU Delft Sanitary Engineering; Chinese Academy of Sciences); Ou, Q. (TU Delft Sanitary Engineering; Chinese Academy of Sciences); Chen, Wenwen (Guilin University of Technology); van der Meer, W.G.J. (University of Twente; Oasen); Liu, G. (TU Delft Sanitary Engineering; Chinese Academy of Sciences; University of Chinese Academy of Sciences)","","2024","The excessive use and accumulation of water-soluble polymers (WSPs, known as “liquid plastics”) in the environment can pose potential risks to both ecosystems and human health, but the environmental fate of WSPs remains unclear. Here, the adsorption behavior of WSPs with different molecular weight on kaolinite (Kaol) and montmorillonite (Mt) were examined. The results showed that the adsorption of PEG and PVP on minerals were controlled by hydrogen bond and van der Waals force. The Fourier transform infrared (FTIR) spectra and two-dimensional correlation spectroscopy (2D-COS) analysis revealed that there were interactions between the Al-O and Si-O groups of the minerals and the polar O- or N-containing functional groups as well as the alkyl groups of PEG and PVP. The adsorption characteristics of WSPs were closely related to their molecular weight and the pore size of minerals. Due to the relatively large mesopore size of Kaol, both PEG and PVP were absorbed into inner spaces, for which the adsorption capacity increased with molecular weight of the polymers. For Mt, all types of PEG could enter its micropores, while PVP with larger molecular weights appeared to be confined externally, leading to a decrease in the adsorption capacity of PVP with increasing molecular weight. The findings of this study provide a theoretical basis for scientific evaluation of environmental processes of WSPs.","Adsorption; Hydrogen bond; Minerals; Molecular weight; Water-soluble polymers","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:939f4600-e00b-49eb-9820-a96134b3f765","http://resolver.tudelft.nl/uuid:939f4600-e00b-49eb-9820-a96134b3f765","Experimental study on drag coefficient of flexible vegetation under non-breaking waves","Reis, Rui A. (Lisbon Technical University; National Laboratory of Civil Engineering (LNEC)); Fortes, Conceição J.E.M. (National Laboratory of Civil Engineering (LNEC)); Rodrigues, José A. (Instituto Politécnico de Lisboa; CIMA); Hu, Zhan (Sun Yat-sen University; Ministry of Education, Zhuhai; Southern Marine Science and Engineering Guangdong Laboratory (Zhuhai)); Suzuki, T. (TU Delft Environmental Fluid Mechanics; Flanders Hydraulics Research)","","2024","Laboratory experiments of wave propagation over rigid and flexible vegetation fields, with the same configurations, were conducted to understand the effect of vegetation flexibility on the drag coefficient (CD). The direct method and the least squares method (LSM), based on force and flow measurements, are applied to calculate the CD in the experimental conditions. The formulations of both methods are extended to estimate the CD for flexible vegetation cases. A video analysis was performed to account for the swaying motion. Typically, wave dissipation is lower for flexible than for rigid vegetation of the same configuration, under the same flow condition. Therefore, a proportional effect in the corresponding CD results, obtained from common CD calibration to wave dissipation without considering vegetation motion, is usually observed. However, the present results show that although the wave dissipation was 34% lower for flexible relative to rigid vegetation, the respective CD values were close. CD estimations considering vegetation motion and inertia suggest that CD of flexible vegetation was up to 13% higher relative to rigid vegetation. Accounting for inertia reduced the CD for rigid vegetation up to 7%, while raised the CD for flexible vegetation up to 13%.","Drag coefficient; Flexible vegetation; Inertia; Vegetation motion; Wave dissipation","en","journal article","","","","","","","","","","","Environmental Fluid Mechanics","","",""
"uuid:fe4e08a8-628d-422b-9c71-25d5c4366c17","http://resolver.tudelft.nl/uuid:fe4e08a8-628d-422b-9c71-25d5c4366c17","Bichromatic Rabi Control of Semiconductor Qubits","John, V. (TU Delft QCD/Veldhorst Lab; Kavli institute of nanoscience Delft; QuTech); Borsoi, F. (TU Delft QCD/Veldhorst Lab; Kavli institute of nanoscience Delft; QuTech); György, Zoltán (Eötvös University); Wang, C.A. (TU Delft QCD/Veldhorst Lab; Kavli institute of nanoscience Delft; QuTech); Széchenyi, Gábor (Eötvös University); van Riggelen, F. (TU Delft QCD/Veldhorst Lab; Kavli institute of nanoscience Delft; QuTech); Lawrie, W.I.L. (TU Delft QCD/Veldhorst Lab; Kavli institute of nanoscience Delft; QuTech); Hendrickx, N.W. (TU Delft QCD/Veldhorst Lab; Kavli institute of nanoscience Delft; QuTech); Sammak, A. (TU Delft BUS/TNO STAFF; QuTech); Scappucci, G. (TU Delft QCD/Scappucci Lab; Kavli institute of nanoscience Delft; QuTech); Pályi, András (Budapest University of Technology and Economics); Veldhorst, M. (TU Delft QN/Veldhorst Lab; Kavli institute of nanoscience Delft; QuTech)","","2024","Electrically driven spin resonance is a powerful technique for controlling semiconductor spin qubits. However, it faces challenges in qubit addressability and off-resonance driving in larger systems. We demonstrate coherent bichromatic Rabi control of quantum dot hole spin qubits, offering a spatially selective approach for large qubit arrays. By applying simultaneous microwave bursts to different gate electrodes, we observe multichromatic resonance lines and resonance anticrossings that are caused by the ac Stark shift. Our theoretical framework aligns with experimental data, highlighting interdot motion as the dominant mechanism for bichromatic driving.","","en","journal article","","","","","","","","","","","QCD/Veldhorst Lab","","",""
"uuid:fdc7c6ef-1cbf-4af0-84ff-6053b719b87a","http://resolver.tudelft.nl/uuid:fdc7c6ef-1cbf-4af0-84ff-6053b719b87a","State-of-the-art of Longitudinal Travel Surveys - A Comparison of the MOP and MPN","De Haas, Mathijs (KiM Netherlands Institute for Transport Policy Analysis); Ecke, Lisa (Karlsruhe Institut für Technologie); Chlond, Bastian (Karlsruhe Institut für Technologie); Hoogendoorn-Lanser, S. (TU Delft Corporate Innovations); Vortisch, Peter (Karlsruhe Institut für Technologie)","","2024","Longitudinal travel surveys are needed to capture individual travel behaviour changes. Only two longitudinal tavel surveys of national relevance are currently in operation, the German Mobility Panel (MOP) since 1994 and the Netherlands Mobility Panel (MPN) since 2013. This paper provides an overview of both panels' differences and similarities in design and data collection. Furthermore, representativeness, diary fatigue and non-random attrition are assessed in both panels to show the challenges panel surveys have to deal with. Overall, this paper shows important aspects of a panel survey that should be considered when designing a new longitudinal travel survey.","Data collection; German Mobility Panel (MOP); Longitudinal travel survey; Netherlands Mobility Panel (MPN); Panel data; Travel behaviour","en","journal article","","","","","","","","","","","Corporate Innovations","","",""
"uuid:916e8ee4-3025-4cb7-b80b-6e14f6b6a1ae","http://resolver.tudelft.nl/uuid:916e8ee4-3025-4cb7-b80b-6e14f6b6a1ae","The effect of micromechanical stresses on vacancy formation and stress-driven mass-transport in polycrystalline Fe–Au alloy","Hussein, Abdelrahman (Universiteit Gent; Korea University); van der Zwaag, S. (TU Delft Group Garcia Espallargas); Kim, Byungki (Korea University)","","2024","In recent years, a new class of super saturated binary and ternary alloys have demonstrated the ability for the self-healing of creep-induced voids formed at the grain boundaries. However, a clear understanding of the parameters affecting the self-healing mechanism is still not yet complete. One of the main challenges is understanding the effect of microstructure and micromechanical stresses on the redistribution of the healing-solute and vacancies. To this end, we address this issue using a CALPHAD-informed diffusion model coupled with crystal plasticity. In principle, the approach is general and can be used for any binary Fe–X alloy, but in this work Fe–Au binary system is used since it experimentally showed the best healing efficiency. First, we present a multicomponent diffusion model considering cross and stress-driven diffusion. The effect of stress was also considered on the equilibrium vacancy concentration. To investigate the effect of the micromechanical stresses, a representative volume element (RVE) was obtained using the phase-field method. The results showed that the maximum vacancy concentration is at the grain boundaries (GBs) with the highest hydrostatic tensile stresses. These were also the regions of the highest Au enrichment. A crucial factor to achieve this is the high diffusivity of Au compared to the Fe matrix. Increasing the stresses, lead to an increase both in vacancy and Au concentration. The accompanying increased stress triaxiality is suggested to be the reason for the reduced self-healing efficiency observed in previous experimental studies.","CALPHAD; Creep-voids; Cross diffusion; Crystal plasticity; Self-healing; Vacancies","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-01","","","Group Garcia Espallargas","","",""
"uuid:cb6307f0-68a4-49b4-82e6-cb63fa6d143c","http://resolver.tudelft.nl/uuid:cb6307f0-68a4-49b4-82e6-cb63fa6d143c","Functional grading of low alloy steel to 316 L by wire arc additive manufacturing – Microstructural and mechanical characterization of bi-metal interface","Galán Argumedo, J.L. (TU Delft Team Vera Popovich); Mahmoudiniya, M. (Universiteit Gent); Reinton, T.E. (TU Delft Team Vera Popovich); Kestens, L.A.I. (TU Delft Team Maria Santofimia Navarro; Universiteit Gent); Hermans, M.J.M. (TU Delft Team Marcel Hermans); Popovich, V. (TU Delft Team Vera Popovich)","","2024","This study examines the interface layer between a high-strength low-alloy steel and an overlaying austenitic stainless steel as deposited through wire arc additive manufacturing in a bi-metal block. By utilizing optical and electron microscopy techniques, and accompanied by phenomenological and thermodynamic modeling, the work elucidates on the nature of the distinct microstructural features at a new level of detail. Results showcase martensite in the form of a band along the fusion line of the first dissimilar layer, as well as in segregated islands. Within the same bead, yet away from the fusion line, an austenite matrix is identified alongside a large phase fraction of primary ferrite and sparse bainite. These findings enhance our understanding of the nature of the heterogeneous microstructure at the interface of a bi-metal build and establish empirical evidence for future modeling of microstructural development. Supplementary characterization reveals the impact of these microstructural heterogeneities on bulk mechanical performance. Hardness indents exhibit varied results along the interface, peaking at martensite islands with values up to 370HV0.2, surpassing the neighboring matrix by 50%. Under quasi-static tensile loading, bi-metallic specimens display strain partitioning along the fusion boundary, as confirmed by Digital Image Correlation. When compared to the adjoining stainless steel, the diluted interface layer exhibits superior strength (σy: 411 MPa) and comparable ductility (24%), leading to necking and failure away from this region. These results help predict the structural performance of bi-metal parts, and build a base for further research in more intricate loading scenarios, such as crack propagation processes.","Bi-metal interface; Functionally graded material; Microstructural characterization; Wire arc additive manufacturing","en","journal article","","","","","","","","","","","Team Vera Popovich","","",""
"uuid:3d56ecc0-51f7-44c2-82fb-93562942298b","http://resolver.tudelft.nl/uuid:3d56ecc0-51f7-44c2-82fb-93562942298b","Energy-stable discretization of the one-dimensional two-fluid model","Buist, J.F.H. (TU Delft Fluid Mechanics; Centrum Wiskunde & Informatica (CWI)); Sanderse, B. (Centrum Wiskunde & Informatica (CWI)); Dubinkina, S. (Vrije Universiteit Amsterdam); Oosterlee, C. W. (Universiteit Utrecht); Henkes, R.A.W.M. (TU Delft Fluid Mechanics)","","2024","In this paper we present a complete framework for the energy-stable simulation of stratified incompressible flow in channels, using the one-dimensional two-fluid model. Building on earlier energy-conserving work on the basic two-fluid model, our new framework includes diffusion, friction, and surface tension. We show that surface tension can be added in an energy-conserving manner, and that diffusion and friction have a strictly dissipative effect on the energy. We then propose spatial discretizations for these terms such that a semi-discrete model is obtained that has the same conservation properties as the continuous model. Additionally, we propose a new energy-stable advective flux scheme that is energy-conserving in smooth regions of the flow and strictly dissipative where sharp gradients appear. This is obtained by combining, using flux limiters, a previously developed energy-conserving advective flux with a novel first-order upwind scheme that is shown to be strictly dissipative. The complete framework, with diffusion, surface tension, and a bounded energy, is linearly stable to short wavelength perturbations, and exhibits nonlinear damping near shocks. The model yields smoothly converging numerical solutions, even under conditions for which the basic two-fluid model is ill-posed. With our explicit expressions for the dissipation rates, we are able to attribute the nonlinear damping to the different dissipation mechanisms, and compare their effects.","Dissipation; Energy conservation; Energy-stable scheme; Stability; Surface tension; Two-phase pipe flow","en","journal article","","","","","","","","","","","Fluid Mechanics","","",""
"uuid:36bef5f6-0b74-4d92-87af-519a06f42f43","http://resolver.tudelft.nl/uuid:36bef5f6-0b74-4d92-87af-519a06f42f43","Enhancing performance of polar InGaN-based thin film solar cells through intrinsic layer impact optimization: Numerical modeling","El Ghazi, Haddou (Hassan II University of Casablanca); Eker, Yasin Ramazan (Necmettin Erbakan University); En-nadir, Redouane (University of Sidi Mohamed Ben Abdullah); Ahmed, Shrouk Eid Zaki (TU Delft ImPhys/Esmaeil Zadeh group); Basyooni, Mohamed A. (TU Delft Dynamics of Micro and Nano Systems; Selçuk University; National Research Institute of Astronomy and Geophysics)","","2024","The paper deals with the conception and feasibility of the device structure based on the optimized PIN-(In, Ga)N homojunction solar cells. A new and efficient model combining the most realistic ones considering the impacts of band gap narrowing, collection efficiency, Shockley-Read-Hall recombination, and interface polarization is proposed to examine the solar cells' performance numerically. The functioning processes of n-In0.42Ga0.58N/i-(In, Ga)N/p-In0.42Ga0.58N solar cells at room temperature were investigated by calculating their characteristics for the AM1.5D, AM1.5G, and AM0 American Society for Testing and Materials experimental data. Our results show that the indium content, thickness, and defect density of the intrinsic layer strongly influence the characteristics of the InGaN solar cells. As the In-mole fraction increases, Voc, FF and efficiency diminish to reach an independent regime for high In-content. A higher-quality 2μm−In0.43Ga0.57N for 1014cm−3 defect concentration can exhibit as high an efficiency as ≅11.3%, dropping to ≅4.12% for 1016cm−3 one.","(In, Ga)N; Intrinsic layer; Performance; Photovoltaic; PIN; Solar cell","en","journal article","","","","","","","","","","","ImPhys/Esmaeil Zadeh group","","",""
"uuid:b9603c2c-ab8c-4120-8913-df209ca6a628","http://resolver.tudelft.nl/uuid:b9603c2c-ab8c-4120-8913-df209ca6a628","Pre-transplant kidney quality evaluation using photoacoustic imaging during normothermic machine perfusion","Nikolaev, Anton V. (Erasmus MC); Fang, Yitian (Erasmus MC); Essers, Jeroen (Erasmus MC); Panth, Kranthi M. (Erasmus MC); Ambagtsheer, Gisela (Erasmus MC); Clahsen-van Groningen, Marian C. (Erasmus MC); Minnee, Robert C. (Erasmus MC); van Soest, G. (TU Delft Biomechanical Engineering; Erasmus MC; Massachusetts General Hospital); de Bruin, Ron W.F. (Erasmus MC)","","2024","Due to the shortage of kidneys donated for transplantation, surgeons are forced to use the organs with an elevated risk of poor function or even failure. Although the existing methods for pre-transplant quality evaluation have been validated over decades in population cohort studies across the world, new methods are needed as long as delayed graft function or failure in a kidney transplant occurs. In this study, we explored the potential of utilizing photoacoustic (PA) imaging during normothermic machine perfusion (NMP) as a means of evaluating kidney quality. We closely monitored twenty-two porcine kidneys using 3D PA imaging during a two-hour NMP session. Based on biochemical analyses of perfusate and produced urine, the kidneys were categorized into ‘non-functional’ and ‘functional’ groups. Our primary focus was to quantify oxygenation (sO2) within the kidney cortical layer of depths 2 mm, 4 mm, and 6 mm using two-wavelength PA imaging. Next, receiver operating characteristic (ROC) analysis was performed to determine an optimal cortical layer depth and time point for the quantification of sO2 to discriminate between functional and non-functional organs. Finally, for each depth, we assessed the correlation between sO2 and creatinine clearance (CrCl), oxygen consumption (VO2), and renal blood flow (RBF). We found that hypoxia of the renal cortex is associated with poor renal function. In addition, the determination of sO2 within the 2 mm depth of the renal cortex after 30 min of NMP effectively distinguishes between functional and non-functional kidneys. The non-functional kidneys can be detected with the sensitivity and specificity of 80% and 85% respectively, using the cut-off point of sO2 < 39%. Oxygenation significantly correlates with RBF and VO2 in all kidneys. In functional kidneys, sO2 correlated with CrCl, which is not the case for non-functional kidneys. We conclude that the presented technique has a high potential for supporting organ selection for kidney transplantation.","Kidney; Normothermic machine perfusion; Oxygen saturation; Oxygenation; Photoacoustics; Pre-transplant kidney quality; Transplantation","en","journal article","","","","","","","","","","Biomechanical Engineering","","","",""
"uuid:2551cd3b-50f1-4ee4-b48a-2966629e4a51","http://resolver.tudelft.nl/uuid:2551cd3b-50f1-4ee4-b48a-2966629e4a51","Clouds dissipate quickly during solar eclipses as the land surface cools","Trees, V.J.H. (TU Delft Atmospheric Remote Sensing; Royal Netherlands Meteorological Institute (KNMI)); de Roode, S.R. (TU Delft Atmospheric Remote Sensing); Wiltink, Job I. (Royal Netherlands Meteorological Institute (KNMI); Wageningen University & Research); Meirink, Jan Fokke (Royal Netherlands Meteorological Institute (KNMI)); Wang, P. (TU Delft Atmospheric Remote Sensing; Royal Netherlands Meteorological Institute (KNMI)); Stammes, Piet (Royal Netherlands Meteorological Institute (KNMI)); Siebesma, A.P. (TU Delft Atmospheric Remote Sensing)","","2024","Clouds affected by solar eclipses could influence the reflection of sunlight back into space and might change local precipitation patterns. Satellite cloud retrievals have so far not taken into account the lunar shadow, hindering a reliable spaceborne assessment of the eclipse-induced cloud evolution. Here we use satellite cloud measurements during three solar eclipses between 2005 and 2016 that have been corrected for the partial lunar shadow together with large-eddy simulations to analyze the eclipse-induced cloud evolution. Our corrected data reveal that, over cooling land surfaces, shallow cumulus clouds start to disappear at very small solar obscurations (~15%). Our simulations explain that the cloud response was delayed and was initiated at even smaller solar obscurations. We demonstrate that neglecting the disappearance of clouds during a solar eclipse could lead to a considerable overestimation of the eclipse-related reduction of net incoming solar radiation. These findings should spur cloud model simulations of the direct consequences of sunlight-intercepting geoengineering proposals, for which our results serve as a unique benchmark.","","en","journal article","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:95734e12-75b6-49a9-8ecd-876845ce83f0","http://resolver.tudelft.nl/uuid:95734e12-75b6-49a9-8ecd-876845ce83f0","Strain engineering of nonlinear nanoresonators from hardening to softening","Li, Z. (TU Delft Dynamics of Micro and Nano Systems); Xu, M. (TU Delft QN/Otte Lab; Kavli institute of nanoscience Delft); Norte, R.A. (TU Delft Dynamics of Micro and Nano Systems; Kavli institute of nanoscience Delft); Aragon, A.M. (TU Delft Computational Design and Mechanics); Steeneken, P.G. (TU Delft Dynamics of Micro and Nano Systems; Kavli institute of nanoscience Delft); Alijani, F. (TU Delft Dynamics of Micro and Nano Systems)","","2024","Although strain engineering and soft-clamping techniques for attaining high Q-factors in nanoresonators have received much attention, their impact on nonlinear dynamics is not fully understood. In this study, we show that nonlinearity of high-Q Si3N4 nanomechanical string resonators can be substantially tuned by support design. Through careful engineering of support geometries, we control both stress and mechanical nonlinearities, effectively tuning nonlinear stiffness of two orders of magnitude. Our approach also allows control over the sign of the Duffing constant resulting in nonlinear softening of the mechanical mode that conventionally exhibits hardening behavior. We elucidate the influence of support design on the magnitude and trend of the nonlinearity using both analytical and finite element-based reduced-order models that validate our experimental findings. Our work provides evidence of the role of soft-clamping on the nonlinear dynamic response of nanoresonators, offering an alternative pathway for nullifying or enhancing nonlinearity in a reproducible and passive manner.","","en","journal article","","","","","","","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:6b3aa4e4-277b-41c5-b300-4e02114dee51","http://resolver.tudelft.nl/uuid:6b3aa4e4-277b-41c5-b300-4e02114dee51","Estimating Mean Profiles and Fluxes in High-Speed Turbulent Boundary Layers Using Inner/Outer-Layer Scalings","Hasan, A.M. (TU Delft Energy Technology); Larsson, Johan (University of Maryland); Pirozzoli, Sergio (Sapienza University of Rome); Pecnik, Rene (TU Delft Energy Technology)","","2024","","","en","journal article","","","","","","","","","","","Energy Technology","","",""
"uuid:bf965b32-ed0b-4e8a-8bca-10cb860a883b","http://resolver.tudelft.nl/uuid:bf965b32-ed0b-4e8a-8bca-10cb860a883b","Modelling Value Change: An Exploratory Approach","de Wildt, T.E. (TU Delft Ethics & Philosophy of Technology); van de Poel, I.R. (TU Delft Ethics & Philosophy of Technology)","","2024","Value and moral change have increasingly become topics of interest in the philosophical literature. Several theoretical accounts have been proposed. These are usually based on certain theoretical and conceptual assumptions. Their strengths and weaknesses are often difficult to determine and compare because they are based on limited empirical evidence. We propose agent-based modeling to build simulation models that can theoretically help us explore accounts of value change. We can investigate whether a simulation model based on a specific account of value change can reproduce relevant phenomena. To illustrate this approach, we build a model based on the pragmatist account of value change proposed by Van De Poel and Kudina (2022). We show that this model can reproduce four relevant phenomena, namely 1) the inevitability and stability of values, 2) societies differ in openness and resistance to change, 3) moral revolutions, and 4) lock-in. This makes this account promising, although more research is needed to see how well it can explain other relevant phenomena and compare its strengths and weaknesses to other accounts. On a more methodological level, our contribution suggests that simulation models might be useful to theoretically explore accounts of value change and make further progress in this area.","Value Change; Moral Change; Agent-Based Modelling; Exploratory Modelling","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:17a96343-c475-4228-840a-7e9f48f64577","http://resolver.tudelft.nl/uuid:17a96343-c475-4228-840a-7e9f48f64577","Editorial: Modelling Values in Social, Technical, and Ecological Systems","Melnyk, A. (TU Delft Ethics & Philosophy of Technology); Edmonds, Bruce (Manchester Metropolitan University); Ghorbani, Amineh (TU Delft System Engineering); van de Poel, I.R. (TU Delft Ethics & Philosophy of Technology)","","2024","This editorial paper for the special section on “Modelling Values in Socio/Technical/Ecological Systems” introduces interdisciplinary perspectives on values and reflects on growing appeals for modelling values. In public and academic discourses, values typically relate to matters of importance (e.g., beliefs, priorities) and principles about what is considered to be good (e.g., moral values) and are often seen as shaping individual and collective behaviour. As shown by eight contributions to this special section, it is relevant for social simulation modelling to dive deeper into embedding values in models in order to explore behavioural change on different levels and across contexts. Our goal with this special section is to stimulate interest in developing various approaches that study and operationalise values in agent-based models to investigate the complex problems raised in social, socio-technical and socio-ecological systems. We conclude with a call for future research to be explicit in their modelling assumptions, thus fostering a vigorous foundation for scientific discourse.","values; value change; simulation modelling","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:ba0940e6-e49a-4bf2-9b94-e5d174ac651f","http://resolver.tudelft.nl/uuid:ba0940e6-e49a-4bf2-9b94-e5d174ac651f","Polyhydroxyalkanoate production in a biofilm by mixed culture phototrophic bacteria","Hülsen, Tim (University of Queensland); Venturato, Daniel (University of Queensland; Urban Utilities, Brisbane); Chan, Clement (University of Queensland); Vandi, Luigi (University of Queensland); Laycock, Bronwyn (University of Queensland); Pratt, Steven (University of Queensland); Stegman, Samuel (University of Queensland); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology); Batstone, Damien J. (University of Queensland)","","2024","Anoxygenic purple phototrophic bacteria (PPB) were utilised in an 80 L biofilm flat plate photobioreactor to generate polyhydroxyalkanoate (PHA) over 44 cycles, with acetate as feed. Over the cycles, net PHA yield (growth + accumulation) averaged 21% while accumulation yield averaged 55%. Average PHA content was 35 wt% volatile solids (VS), with the majority (>80%) being harvested from the biofilm at 100 gTotal solids (TS) L−1. The PPB microbial population averaged 45% of total population. Detailed cycle studies indicated that PHA content (and yield) peaked at 0.5–1 d into the accumulation stage (peak of 53 wt% VS), suggesting that cycle time optimisation could improve both yield and selection of PHA accumulators. The resulting polymeric material was comprised of poly(3-hydroxybutyrate-co-3-hydroxyvalerate) with 95.5 mol% 3-hydroxybutyrate and 4.5 mol% 3-hydroxyvalerate content, but the molecular weight, at >1090 kDa, is unusually high for extracted, microbially produced PHA and a feature of this work.","Biofilm; Polyhydroxyalkanoates; Purple phototrophic bacteria; Resource recovery","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:099c731f-c2e1-41a6-95ae-5bf82639b07c","http://resolver.tudelft.nl/uuid:099c731f-c2e1-41a6-95ae-5bf82639b07c","The use of patient reported outcome measures in oncology clinical practice across Australia and New Zealand","Maharaj, A.D. (TU Delft Policy Analysis; Monash University); Roberts, Natasha (University of Queensland); Jefford, Michael (University of Melbourne); Ng, Jerome (The University of Auckland); Rutherford, Claudia (University of Sydney); Koczwara, Bogda (Flinders University of South Australia)","","2024","Background: While there is increasing evidence on the benefits of PROMs in cancer care, the extent of routine collection and use of PROMs in clinical cancer practice across Australia and New Zealand (ANZ) is unknown. This study examined the prevalence and characteristics of PROMs use in routine clinical cancer care in ANZ. Methods: An online survey was designed and disseminated via professional societies and organisations using a snowball sampling approach to clinical and health administration professionals managing cancer care in ANZ. A poster advertising the study was also circulated on professional social media networks via LinkedIn and Twitter inviting health professionals from ANZ to participate if they were using or intending to use PROMs in clinical cancer practice. Responders opted into the survey via the survey link. Results: From 132 survey views, 91(response rate, 69%) respondents from 56 clinical practices across ANZ agreed to participate in the survey, and of these 55 (n = 55/91, 60%) respondents reported collecting PROMs within their clinical practice. The majority of the respondents were from the State of New South Wales in Australia (n = 21/55, 38%), hospital (n = 35/55, 64%), and a public setting (n = 46/55, 83%). PROMs were collected in all cancer types (n = 21/36, 58%), in all stages of the disease (n = 31/36, 86%), in an adult population (n = 33/36, 92%), applied in English (n = 33/36, 92%), and used to facilitate communication with other reasons (27/36, 75%). A geospatial map analysis provided insights into the variation in PROMs uptake between the two countries and in certain jurisdictions within Australia. This study also highlights the limited resources for PROMs implementation, and a lack of systematic priority driven approach. Conclusion: PROM use across Australia and New Zealand seems variable and occurring predominantly in larger metropolitan centres with limited standardisation of approach and implementation. A greater focus on equitable adoption of PROMs in diverse cancer care settings is urgently needed.","Health policy; Health services research; Oncology; Patient-reported outcome measures; Quality of care","en","journal article","","","","","","","","","","","Policy Analysis","","",""
"uuid:163dbbc6-eba7-447c-b1a8-61b9ccaad875","http://resolver.tudelft.nl/uuid:163dbbc6-eba7-447c-b1a8-61b9ccaad875","Composition and thermal properties of Ganymede's surface from JWST/NIRSpec and MIRI observations","Bockelée-Morvan, D. (Observatoire de Paris); Lellouch, E. (Observatoire de Paris); Poch, O. (IPAG); Quirico, E. (IPAG); Cazaux, S.M. (TU Delft Planetary Exploration; Universiteit Leiden); de Pater, I. (TU Delft Astrodynamics & Space Missions; University of California); Fouchet, T. (Observatoire de Paris); Fry, P. M. (University of Wisconsin-Madison); Rodriguez-Ovalle, P. (Observatoire de Paris)","","2024","Context. We present the first spectroscopic observations of Ganymede by the James Webb Space Telescope undertaken in August 2022 as part of the proposal ""ERS observations of the Jovian system as a demonstration of JWST's capabilities for Solar System science"". Aims. We aimed to investigate the composition and thermal properties of the surface, and to study the relationships of ice and non-water-ice materials and their distribution. Methods. NIRSpec IFU (2.9-5.3 μm) and MIRI MRS (4.9-28.5 μm) observations were performed on both the leading and trailing hemispheres of Ganymede, with a spectral resolution of ∼2700 and a spatial sampling of 0.1 to 0.17″ (while the Ganymede size was ∼1.68″). We characterized the spectral signatures and their spatial distribution on the surface. The distribution of brightness temperatures was analyzed with standard thermophysical modeling including surface roughness. Results. Reflectance spectra show signatures of water ice, CO2, and H2O2. An absorption feature at 5.9 μm, with a shoulder at 6.5 μm, is revealed, and is tentatively assigned to sulfuric acid hydrates. The CO2 4.26-μm band shows latitudinal and longitudinal variations in depth, shape, and position over the two hemispheres, unveiling different CO2 physical states. In the ice-rich polar regions, which are the most exposed to Jupiter's plasma irradiation, the CO2 band is redshifted with respect to other terrains. In the boreal region of the leading hemisphere, the CO2 band is dominated by a high wavelength component at ∼4.27 μm, consistent with CO2 trapped in amorphous water ice. At equatorial latitudes (and especially on dark terrains), the observed band is broader and shifted toward the blue, suggesting CO2 adsorbed on non-icy materials, such as minerals or salts. Maps of the H2O Fresnel peak area correlate with Bond albedo maps and follow the distribution of water ice inferred from H2O absorption bands. Amorphous ice is detected in the ice-rich polar regions, and is especially abundant on the northern polar cap of the leading hemisphere. Leading and trailing polar regions exhibit different H2O, CO2, and H2O2 spectral properties. However, in both hemispheres the north polar cap ice appears to be more processed than the south polar cap. A longitudinal modification of the H2O ice molecular structure and/or nanometer- and micrometer-scale texture, of diurnal or geographic origin, is observed in both hemispheres. Ice frost is tentatively observed on the morning limb of the trailing hemisphere, which possibly formed during the night from the recondensation of water subliming from the warmer subsurface. Reflectance spectra of the dark terrains are compatible with the presence of Na- and Mg-sulfate salts, sulfuric acid hydrates, and possibly phyllosilicates mixed with fine-grained opaque minerals, with a highly porous texture. Latitude and local time variations of the brightness temperatures indicate a rough surface with mean slope angles of 15° - 25° and a low thermal inertia Γ = 20-40 J m-2 s-0.5 K-1, consistent with a porous surface, with no obvious difference between the leading and trailing sides.","Infrared: planetary systems; Planets and satellites: composition; Planets and satellites: individual: Ganymede","en","journal article","","","","","","","","","","","Planetary Exploration","","",""
"uuid:875f5379-560c-424d-8015-6c3f1dd8d52f","http://resolver.tudelft.nl/uuid:875f5379-560c-424d-8015-6c3f1dd8d52f","Using multi-stakeholder causal mapping to explore priorities for infrastructure resilience to flooding","Pregnolato, M. (TU Delft Hydraulic Structures and Flood Risk; University of Bristol); West, C. (Mott MacDonald Group Limited); Evans, B. (University of Exeter); Lam, Man Yue (Cardiff University); Chen, A. S. (University of Exeter); Ahmadian, R. (Cardiff University); Djordjević, S. (University of Exeter)","","2024","Urban resilience to natural hazards could make our cities less vulnerable to adverse weather events. However, the implementation of resilience actions is currently not effective, as mechanisms to facilitate collaboration among involved stakeholders are missing. This paper for the first time explores causal mapping as a method to disassemble major issues of urban resilience into a more manageable understanding, and thus identify key objectives, barriers and opportunities in thinking “resilient cities”. In this study, a cognitive-mapping-based workshop was held to elicit information from stakeholders in the remit of urban resilience to flooding. The statements and connections identified during the workshop led a consolidated map, analysed using the StrategyFinder software. This analysis highlighted barriers related to data availability, silo-based approaches and lack of funding; it also evidenced shared goals, such as the need to protect the built environment and minimise impact from flooding. Overall, causal mapping resulted a powerful analytical tool for improving understanding of the complex dynamics of urban resilience, identifying key variables and relationships, as well as eliciting information from stakeholders. Furthermore, this approach facilitated systems thinking, communication and collaboration. This enhanced understanding is fundamental for advancing strategies for future planning, contributing to urban sustainability and liveability.","","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:edaba1eb-4d33-4957-b21e-f3b66e584c90","http://resolver.tudelft.nl/uuid:edaba1eb-4d33-4957-b21e-f3b66e584c90","Substrate-Specific Evolution of Amine Dehydrogenases for Accessing Structurally Diverse Enantiopure (R)-β-Amino Alcohols","Yin, Xinjian (Sun Yat-sen University); Gong, Wenzhong (Sun Yat-sen University); Zeng, Yujing (Sun Yat-sen University); Qiu, Hulin (Sun Yat-sen University); Liu, Lan (Sun Yat-sen University); Hollmann, F. (TU Delft BT/Biocatalysis); Chen, Bishuang (Sun Yat-sen University)","","2024","The biocatalytic oxidative deamination of β-amino alcohols holds significant practical potential in kinetic resolution and/or deracemization process to access (R)-β-amino alcohols. This study exemplifies a notable instance of acquisition and utilization of this valuable oxidative deamination activity. Initially, the mutation N261M (M0) was identified to endow a native valine dehydrogenase with oxidative deamination activity toward a few (S)-β-amino alcohols. Subsequently, a phylogenetic analysis-guided, double-code saturation mutagenesis strategy was proposed to engineer M0's side-chain binding site. This strategy facilitated the substrate-specific evolution of M0, resulting in the creation of a panel of mutants (M1-M4) with noteworthy oxidative deamination activity toward structurally diverse (S)-β-amino alcohols. Using these engineered amine dehydrogenases, termed as β-amino alcohol dehydrogenases (β-AADHs), the complete kinetic resolution and even deracemization of a range of β-amino alcohols have been achieved. This work reports distinct biocatalysts and a synthetic strategy for the synthesis of enantiopure (R)-β-amino alcohols and offers an innovative approach for substrate-specificity engineering of enzymes.","amine dehydrogenases; biocatalysis; enantiopure β-amino alcohols; oxidative deamination; protein engineering","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:e80d9d37-309b-414b-83fb-7f37a8516842","http://resolver.tudelft.nl/uuid:e80d9d37-309b-414b-83fb-7f37a8516842","Influences of Nanostructures of Sn and Ir for the Oxygen Evolution Reaction in Polymer Electrolyte Membrane Water Electrolysis","Bunea, S. (TU Delft ChemE/Catalysis Engineering); Li, M. (TU Delft ChemE/Catalysis Engineering); Demiröz, E. (TU Delft ChemE/Catalysis Engineering); Zeng, Peng (ETH Zürich); Willinger, Marc Georg (ETH Zürich); Urakawa, A. (TU Delft ChemE/Catalysis Engineering)","","2024","The influence of nanostructures and interaction of Sn and Ir in oxygen evolution catalysts in a polymer electrolyte membrane electrolyzer were investigated. For this aim, two synthesis methods, namely, the one-step solution combustion method and the precipitation-deposition method with sodium borohydride reduction, were evaluated to prepare distinct nanostructures. Sn addition to Ir-based oxygen evolution reaction catalysts has been reported to yield materials with higher activity; however, in our case, this was observed only for Sn/Ir catalysts prepared by the precipitation-deposition method. The nanolayer of Sn/SnO2 deposited over metallic Ir particles was identified to enhance the interfacial contacts, resulting in synergistic interactions. By deconvolution of the polarization curves into constituting contributions, the performance improvement was attributed to the higher exchange current density of the Sn/Ir powder as a consequence of a higher number of surface reaction sites created by the Sn-Ir interactions.","","en","journal article","","","","","","","","","","","ChemE/Catalysis Engineering","","",""
"uuid:6b4ae14c-fdd7-46a3-93c1-a51f4c981738","http://resolver.tudelft.nl/uuid:6b4ae14c-fdd7-46a3-93c1-a51f4c981738","FedViT: Federated continual learning of vision transformer at edge","Zuo, Xiaojiang (Beijing Institute of Technology); Luopan, Yaxin (Beijing Institute of Technology); Han, Rui (Beijing Institute of Technology); Zhang, Qinglong (Beijing Institute of Technology); Liu, Chi Harold (Beijing Institute of Technology); Wang, Guoren (Beijing Institute of Technology); Chen, Lydia Y. (TU Delft Data-Intensive Systems)","","2024","Deep Neural Networks (DNNs) have been ubiquitously adopted in internet of things and are becoming an integral part of our daily life. When tackling the evolving learning tasks in real world, such as classifying different types of objects, DNNs face the challenge to continually retrain themselves according to the tasks on different edge devices. Federated continual learning (FCL) is a promising technique that offers partial solutions but yet to overcome the following difficulties: the significant accuracy loss due to the limited on-device processing, the negative knowledge transfer caused by the limited communication of non-IID (non-Independent and Identically Distributed) data, and the limited scalability on the tasks and edge devices. Moreover, existing FCL techniques are designed for convolutional neural networks (CNNs), which have not utilized the full potential of newly emerged powerful vision transformers (ViTs). Considering ViTs depend heavily on training data diversity and volume, we hypothesize ViTs are well-suited for FCL where data arrives continually. In this paper, we propose FedViT, an accurate and scalable federated continual learning framework for ViT models, via a novel concept of signature task knowledge. FedViT is a client-side solution that continuously extracts and integrates the knowledge of signature tasks which are highly influenced by the current task. Each client of FedViT is composed of a knowledge extractor, a gradient restorer and, most importantly, a gradient integrator. Upon training for a new task, the gradient integrator ensures the prevention of catastrophic forgetting and mitigation of negative knowledge transfer by effectively combining signature tasks identified from the past local tasks and other clients’ current tasks through the global model. We implement FedViT in PyTorch and extensively evaluate it against state-of-the-art techniques using popular federated continual learning benchmarks. Extensive evaluation results on heterogeneous edge devices show that FedViT improves model accuracy by 88.61% without increasing model training time, reduces communication cost by 61.55%, and achieves more improvements under difficult scenarios such as large numbers of tasks or clients, and training different complex ViT models.","Catastrophic forgetting; Continual learning; Edge computing; Federated learning; Knowledge transfer negative; Vision transformer","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-22","","","Data-Intensive Systems","","",""
"uuid:9da7e0ad-e8ce-40ed-a915-32ae9749046d","http://resolver.tudelft.nl/uuid:9da7e0ad-e8ce-40ed-a915-32ae9749046d","Multibody dynamic modeling of the behavior of flexible instruments used in cervical cancer brachytherapy","Straathof, R. (TU Delft Medical Instruments & Bio-Inspired Technology; Erasmus MC); Meijaard, J.P. (TU Delft Mechatronic Systems Design); Perez, S.M. (TU Delft Medical Instruments & Bio-Inspired Technology; Erasmus MC); Kolkman-Deurloo, Inger Karine K. (Erasmus MC); Nout, Remi A. (Erasmus MC); Heijmen, Ben J.M. (Erasmus MC); Wauben, L.S.G.L. (TU Delft Medical Instruments & Bio-Inspired Technology); Dankelman, J. (TU Delft Medical Instruments & Bio-Inspired Technology); van de Berg, N.J. (TU Delft Medical Instruments & Bio-Inspired Technology; Erasmus MC)","","2024","Background: The steep radiation dose gradients in cervical cancer brachytherapy (BT) necessitate a thorough understanding of the behavior of afterloader source cables or needles in the curved channels of (patient-tailored) applicators. Purpose: The purpose of this study is to develop and validate computer models to simulate: (1) BT source positions, and (2) insertion forces of needles in curved applicator channels. The methodology presented can be used to improve the knowledge of instrument behavior in current applicators and aid the development of novel (3D-printed) BT applicators. Methods: For the computer models, BT instruments were discretized in finite elements. Simulations were performed in SPACAR by formulating nodal contact force and motion input models and specifying the instruments’ kinematic and dynamic properties. To evaluate the source cable model, simulated source paths in ring applicators were compared with manufacturer-measured source paths. The impact of discrepancies on the dosimetry was estimated for standard plans. To validate needle models, simulated needle insertion forces in curved channels with varying curvature, torsion, and clearance, were compared with force measurements in dedicated 3D-printed templates. Results: Comparison of simulated with manufacturer-measured source positions showed 0.5–1.2 mm median and <2.0 mm maximum differences, in all but one applicator geometry. The resulting maximum relative dose differences at the lateral surface and at 5 mm depth were 5.5% and 4.7%, respectively. Simulated insertion forces for BT needles in curved channels accurately resembled the forces experimentally obtained by including experimental uncertainties in the simulation. Conclusion: The models developed can accurately predict source positions and insertion forces in BT applicators. Insights from these models can aid novel applicator design with improved motion and force transmission of BT instruments, and contribute to the estimation of overall treatment precision. The methodology presented can be extended to study other applicator geometries, flexible instruments, and afterloading systems.","cervical cancer brachytherapy; finite element modeling of source motion; flexible instrument; multibody dynamics","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:c21148a4-4e92-40cf-b9eb-696a657ea4bd","http://resolver.tudelft.nl/uuid:c21148a4-4e92-40cf-b9eb-696a657ea4bd","Should we care about the level of detail in trees when running urban microscale simulations?","Fu, Runnan (Student TU Delft); Pađen, I. (TU Delft Urban Data Science); Garcia Sanchez, C. (TU Delft Urban Data Science)","","2024","Due to lack of information and long geometry generation times, tree geometries are usually oversimplified or even ignored in Computational Fluid Dynamic (CFD) simulations that predict wind and pollutant dispersion in urban areas. Nevertheless, trees are known to impact local wind patterns and air quality levels. Thus, in this paper we explore the effects that tree models automatically reconstructed at diverse Level of Detail (LoD) (1, 2 and 3) have in numerical wind predictions. We address this by comparing the non-dimensional velocity magnitude differences between simulations with multiple tree LoDs. To further understand these differences in changing environmental contexts we use three morphologies: an isolated tree, an idealized street, canyon, and a real urban geometry from Rotterdam, The Netherlands The numerical results show that the velocity magnitude differences between the cases with LoD1 tree models and those with LoD2 tree models can be over 1.0 m/s while the differences between LoD2 and LoD3 cases are rather limited, usually lower than 0.2 m/s. Consequently, through this study we highlight the importance of using tree models in LoD2 or LoD3 at least for CFD simulations of wind flows in urban areas. To further support this conclusion we also analyze the impact of changing wind directions and tree Leaf Area Density (LAD) values in the impact of tree LoDs on wind. The differences found in this work linked to the level of realism in your tree models can support future studies where researchers want to make an informed choice.","CFD; Level of detail; Tree model; Urban area; Wind flow","en","journal article","","","","","","","","","","","Urban Data Science","","",""
"uuid:265ee0f9-203e-42b4-8e44-1c12b4195d45","http://resolver.tudelft.nl/uuid:265ee0f9-203e-42b4-8e44-1c12b4195d45","On the connection between uniqueness from samples and stability in Gabor phase retrieval","Alaifari, Rima (ETH Zürich); Bartolucci, F. (TU Delft Analysis); Steinerberger, Stefan (University of Washington); Wellershoff, Matthias (University of Maryland)","","2024","Gabor phase retrieval is the problem of reconstructing a signal from only the magnitudes of its Gabor transform. Previous findings suggest a possible link between unique solvability of the discrete problem (recovery from measurements on a lattice) and stability of the continuous problem (recovery from measurements on an open subset of R2). In this paper, we close this gap by proving that such a link cannot be made. More precisely, we establish the existence of functions which break uniqueness from samples without affecting stability of the continuous problem. Furthermore, we prove the novel result that counterexamples to unique recovery from samples are dense in L2(R) . Finally, we develop an intuitive argument on the connection between directions of instability in phase retrieval and certain Laplacian eigenfunctions associated to small eigenvalues.","Bargmann transform; Cheeger constant; Counterexamples; Gabor transform; Laplace eigenvalues; Phase retrieval; Poincaré inequality; Sampled Gabor phase retrieval","en","journal article","","","","","","","","","","","Analysis","","",""
"uuid:43eefb2e-2a2d-4a0d-8882-5bcd4e44e304","http://resolver.tudelft.nl/uuid:43eefb2e-2a2d-4a0d-8882-5bcd4e44e304","Evaluation and patient experience of wireless noninvasive fetal heart rate monitoring devices","Eenkhoorn, C. (Erasmus MC); Goos, T.G. (TU Delft Medical Instruments & Bio-Inspired Technology; Erasmus MC); Dankelman, J. (TU Delft Medical Instruments & Bio-Inspired Technology); Franx, Arie (Erasmus MC); Eggink, Alex J. (Erasmus MC)","","2024","Introduction: In clinical practice, fetal heart rate monitoring is performed intermittently using Doppler ultrasound, typically for 30 minutes. In case of a non-reassuring heart rate pattern, monitoring is usually prolonged. Noninvasive fetal electrocardiography may be more suitable for prolonged monitoring due to improved patient comfort and signal quality. This study evaluates the performance and patient experience of four noninvasive electrocardiography devices to assess candidate devices for prolonged noninvasive fetal heart rate monitoring. Material and methods: Non-critically sick women with a singleton pregnancy from 24 weeks of gestation were eligible for inclusion. Fetal heart rate monitoring was performed during standard care with a Doppler ultrasound device (Philips Avalon-FM30) alone or with this Doppler ultrasound device simultaneously with one of four noninvasive electrocardiography devices (Nemo Fetal Monitoring System, Philips Avalon-Beltless, Demcon Dipha-16 and Dräger Infinity-M300). Performance was evaluated by: success rate, positive percent agreement, bias, 95% limits of agreement, regression line, root mean square error and visual agreement using FIGO guidelines. Patient experience was captured using a self-made questionnaire. Results: A total of 10 women were included per device. For fetal heart rate, Nemo performed best (success rate: 99.4%, positive percent agreement: 94.2%, root mean square error 5.1 BPM, bias: 0.5 BPM, 95% limits of agreement: −9.7 – 10.7 BPM, regression line: y = −0.1x + 11.1) and the cardiotocography tracings obtained simultaneously by Nemo and Avalon-FM30 received the same FIGO classification. Comparable results were found with the Avalon-Beltless from 36 weeks of gestation, whereas the Dipha-16 and Infinity-M300 performed significantly worse. The Avalon-Beltless, Nemo and Infinity-M300 closely matched the performance of the Avalon-FM30 for maternal heart rate, whereas the performance of the Dipha-16 deviated more. Patient experience scores were higher for the noninvasive electrocardiography devices. Conclusions: Both Nemo and Avalon-Beltless are suitable devices for (prolonged) noninvasive fetal heart rate monitoring, taking their intended use into account. But outside its intended use limit of 36 weeks’ gestation, the Avalon-Beltless performs less well, comparable to the Dipha-16 and Infinity-M300, making them currently unsuitable for (prolonged) noninvasive fetal heart rate monitoring. Noninvasive electrocardiography devices appear to be preferred due to greater comfort and mobility.","Doppler ultrasound; fetal heart rate monitoring; fetal monitoring; noninvasive fetal electrocardiography; prolonged fetal monitoring","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:9beab0e5-fd2d-4541-96ce-1a8cf29a85e7","http://resolver.tudelft.nl/uuid:9beab0e5-fd2d-4541-96ce-1a8cf29a85e7","The utilization of ghost reflections retrieved by seismic interferometry for layer-specific characterization of the shallow subsurface","Shirmohammadi, F. (TU Delft Applied Geophysics and Petrophysics); Draganov, D.S. (TU Delft Applied Geophysics and Petrophysics); Ghose, R. (TU Delft Applied Geophysics and Petrophysics)","","2024","Seismic interferometry (SI) retrieves the Green function between two receiver locations using their recordings from a boundary of sources. When using sources and receivers only at the surface, the virtual-source gathers retrieved by SI contain pseudo-physical reflections as well as ghost (non-physical) reflections. These ghost reflections are the results of the cross-correlation or auto-correlation (AC) of primary reflections from two different depth levels, and they contain information about the seismic properties of specific layers in the subsurface. We investigated the application of ghost reflections for layer-specific characterization of the shallow subsurface using SI by AC. First, we showed the technique's potential using synthetic data for a subsurface model with a lateral change in velocity, a gradient in depth for velocity, a thickness change and a velocity change of the target layer. Then, we applied the technique to shallow subsurface field data. We also focused on improving the retrieval of ghost reflections by removing the free-surface multiples and muting undesired events in active-source gathers before applying SI. Our results demonstrate that the ghost reflections can be used advantageously to characterize the layer that causes them to appear in the results of SI. Consequently, they can also provide valuable information for imaging and monitoring shallow subsurface structures.","imaging; reflection; S-wave; seismic; velocity","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:cf6a9d99-851c-4f5a-992b-cd5dc9cd7dfd","http://resolver.tudelft.nl/uuid:cf6a9d99-851c-4f5a-992b-cd5dc9cd7dfd","Magnetocaloric properties of Mn5(Si,P)B2 compounds for energy harvesting applications","Ojiyed, Hamutu (Student TU Delft); van den Berg, Maarten (Student TU Delft); Batashev, I. (TU Delft RST/Fundamental Aspects of Materials and Energy); Shen, Q. (TU Delft RST/Fundamental Aspects of Materials and Energy); van Dijk, N.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); Brück, E.H. (TU Delft RST/Fundamental Aspects of Materials and Energy)","","2024","The magnetocaloric properties of Mn5Si1-xPxB2 (0 ≤ x ≤ 1) compounds were studied for energy harvesting applications. The crystal structure and the magnetic structure were characterized by powder X-Ray Diffraction and powder Neutron Diffraction. The results indicate that these magnetocaloric materials crystallize in the tetragonal Cr5B3-type crystal structure. The introduction of P causes a stretching of the c axis and compression of the a-b plane, leading to a decrease in the unit-cell volume V. In the ferromagnetic state the magnetic moments align within the a-b plane, and the magnetic moment of the Mn1 atom on the 16 l site is larger than that of the Mn2 atom on the 4c site. The Curie temperature TC can be adjusted continuously from 305 K (x = 1) to 406 K (x = 0) by replacing Si with P. The corresponding magnetic entropy change varies from 1.90 Jkg−1K−1 (x = 0) to 1.35 Jkg−1K−1 (x = 1) for a magnetic field change of 1 T. The PM-FM transition in these compounds corresponds to a second-order phase transition. Mn5Si1-xPxB2 compounds exhibit a magnetization difference of 28.1 - 31.3 Am2kg−1 for a temperature span of 30 K around TC in an applied magnetic field of 1 T. The considerable change in magnetization, the tunable TC near and above room temperature and the absence of thermal hysteresis make these compounds promising candidates for magnetocaloric energy harvesting materials.","Magnetocaloric effect; Magnetocaloric energy harvesting; Magnetocaloric materials; Mn(Si,P)B compounds; Second-order phase transition","en","journal article","","","","","","","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:08a5c185-f949-4acc-843b-3e7af457d8a0","http://resolver.tudelft.nl/uuid:08a5c185-f949-4acc-843b-3e7af457d8a0","Uncertainty quantification of the wall thickness and stiffness in an idealized dissected aorta","Gheysen, Lise (Universiteit Gent); Maes, Lauranne (Katholieke Universiteit Leuven); Caenen, Annette (Universiteit Gent; Katholieke Universiteit Leuven); Segers, Patrick (Universiteit Gent); Peirlinck, M. (TU Delft Medical Instruments & Bio-Inspired Technology); Famaey, Nele (Katholieke Universiteit Leuven)","","2024","Personalized treatment informed by computational models has the potential to markedly improve the outcome for patients with a type B aortic dissection. However, existing computational models of dissected walls significantly simplify the characteristic false lumen, tears and/or material behavior. Moreover, the patient-specific wall thickness and stiffness cannot be accurately captured non-invasively in clinical practice, which inevitably leads to assumptions in these wall models. It is important to evaluate the impact of the corresponding uncertainty on the predicted wall deformations and stress, which are both key outcome indicators for treatment optimization. Therefore, a physiology-inspired finite element framework was proposed to model the wall deformation and stress of a type B aortic dissection at diastolic and systolic pressure. Based on this framework, 300 finite element analyses, sampled with a Latin hypercube, were performed to assess the global uncertainty, introduced by 4 uncertain wall thickness and stiffness input parameters, on 4 displacement and stress output parameters. The specific impact of each input parameter was estimated using Gaussian process regression, as surrogate model of the finite element framework, and a δ moment-independent analysis. The global uncertainty analysis indicated minor differences between the uncertainty at diastolic and systolic pressure. For all output parameters, the 4th quartile contained the major fraction of the uncertainty. The parameter-specific uncertainty analysis elucidated that the material stiffness and relative thickness of the dissected membrane were the respective main determinants of the wall deformation and stress. The uncertainty analysis provides insight into the effect of uncertain wall thickness and stiffness parameters on the predicted deformation and stress. Moreover, it emphasizes the need for probabilistic rather than deterministic predictions for clinical decision making in aortic dissections.","Aortic dissection; Finite element analysis; Gaussian process regression; Uncertainty quantification; Vascular mechanics","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-03","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:b3fcb62f-10be-403c-81a5-d93fcb2c9394","http://resolver.tudelft.nl/uuid:b3fcb62f-10be-403c-81a5-d93fcb2c9394","A multi-objective optimization and multi-attribute decision-making analysis for technical-thermodynamic-economic evaluation considering the rock damage on production performance of hot dry rock geothermal resources","Xu, Fuqiang (China University of Petroleum - Beijing); Song, Xianzhi (China University of Petroleum - Beijing); Li, Shuang (China University of Petroleum - Beijing); Shi, Yu (Southwest Jiaotong University); Song, G. (TU Delft Applied Geology); Lv, Zehao (Petrochina Research Institute of Petroleum Exploration and Development); Yi, Junlin (China University of Petroleum - Beijing)","","2024","In the long-term mining of geothermal resources in hot dry rock (HDR), the change of thermal stress and pore pressure will increase fracture conductivity evolution, further improving production performance. The optimization and decision-making of the development scheme based on the impact of damage from fractures have yet to be reported. The damage to fractures is essential in designing and adjusting geothermal resource development schemes, particularly in selecting optimal schemes. Therefore, the production performances of HDR resources under different parameters are analyzed to establish a database. Then, minimizing flow resistance, maximizing net power, and maximizing economic benefits are set as optimization goals. Various injection-mining parameters and fracture characteristics are treated as decision variables. Multi-objective optimization and multi-attribute decision analysis is conducted to obtain optimal schemes. Finally, optimal schemes are evaluated and compared, considering damage and non-damage scenarios. Results show that the NSGA-II algorithm is more suitable for optimizing geothermal development questions. Net power and economic benefits of the optimal scheme considering damage increase by 45.84 % and 21.35 % compared to the control scheme with damage. For the non-damage scenario, the above values increased by 31.55 % and 5.15 %, respectively. Compared to not considering the damage, higher mass flow and well spacing of optimal scheme can be selected for the case when damaged. Moreover, the parametric design of the optimal scheme becomes more conservative as the production cycle increases.","Damage; Decision-making; Hot dry rocks; Multiple indicators; Optimization; Production performance","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-06","","","Applied Geology","","",""
"uuid:7e81ae4d-e29e-4082-a812-287c01562824","http://resolver.tudelft.nl/uuid:7e81ae4d-e29e-4082-a812-287c01562824","snRNA-seq analysis in multinucleated myogenic FSHD cells identifies heterogeneous FSHD transcriptome signatures associated with embryonic-like program activation and oxidative stress-induced apoptosis","Zheng, Dongxu (Leiden University Medical Center); Wondergem, Annelot (Leiden University Medical Center); Kloet, Susan (Leiden University Medical Center); Willemsen, Iris (Leiden University Medical Center); Balog, Judit (Leiden University Medical Center); Tapscott, Stephen J. (Fred Hutchinson Cancer Research Center); Mahfouz, A.M.E.T.A. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center); Van Den Heuvel, Anita (Leiden University Medical Center); Van Der Maarel, Silvère M. (Leiden University Medical Center)","","2024","The sporadic nature of DUX4 expression in FSHD muscle challenges comparative transcriptome analyses between FSHD and control samples. A variety of DUX4 and FSHD-associated transcriptional changes have been identified, but bulk RNA-seq strategies prohibit comprehensive analysis of their spatiotemporal relation, interdependence and role in the disease process. In this study, we used single-nucleus RNA-sequencing of nuclei isolated from patient- and control-derived multinucleated primary myotubes to investigate the cellular heterogeneity in FSHD. Taking advantage of the increased resolution in snRNA-sequencing of fully differentiated myotubes, two distinct populations of DUX4-affected nuclei could be defined by their transcriptional profiles. Our data provides insights into the differences between these two populations and suggests heterogeneity in two well-known FSHD-associated transcriptional aberrations: increased oxidative stress and inhibition of myogenic differentiation. Additionally, we provide evidence that DUX4-affected nuclei share transcriptome features with early embryonic cells beyond the well-described cleavage stage, progressing into the 8-cell and blastocyst stages. Altogether, our data suggests that the FSHD transcriptional profile is defined by a mixture of individual and sometimes mutually exclusive DUX4-induced responses and cellular state-dependent downstream effects.","cellular heterogeneity; DUX4; FSHD; muscular dystrophy; single-nucleus RNA-sequencing","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:4fed6676-a637-4bbb-9212-20704504cc2e","http://resolver.tudelft.nl/uuid:4fed6676-a637-4bbb-9212-20704504cc2e","Displayed Monoidal Categories for the Semantics of Linear Logic","Ahrens, B.P. (TU Delft Programming Languages; University of Birmingham); Matthes, Ralph (INPT; Université de Toulouse); van der Weide, N.J. (Radboud Universiteit Nijmegen); Wullaert, K.F. (TU Delft Programming Languages)","Timany, Amin (editor); Traytel, Dmitriy (editor); Pientka, Brigitte (editor); Blazy, Sandrine (editor)","2024","We present a formalization of different categorical structures used to interpret linear logic. Our formalization takes place in UniMath, a library of univalent mathematics based on the Coq proof assistant. All the categorical structures we formalize are based on monoidal categories. As such, one of our contributions is a practical, usable library of formalized results on monoidal categories. Monoidal categories carry a lot of structure, and instances of monoidal categories are often built from complicated mathematical objects. This can cause challenges of scalability, regarding both the vast amount of data to be managed by the user of the library, as well as the time the proof assistant spends on checking code. To enable scalability, and to avoid duplication of computer code in the formalization, we develop ""displayed monoidal categories"". These gadgets allow for the modular construction of complicated monoidal categories by building them in layers; we demonstrate their use in many examples. Specifically, we define linear-non-linear categories and construct instances of them via Lafont categories and linear categories.","categorical semantics; Coq; linear logic; monoidal categories; UniMath","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Programming Languages","","",""
"uuid:6edbc2e4-1cc2-458c-a273-5559bcf009f5","http://resolver.tudelft.nl/uuid:6edbc2e4-1cc2-458c-a273-5559bcf009f5","Univalent Double Categories","van der Weide, N.J. (Radboud Universiteit Nijmegen); Rasekh, Nima (Max Planck Institute for Mathematics); Ahrens, B.P. (TU Delft Programming Languages; University of Birmingham); North, P.R. (Universiteit Utrecht)","Timany, Amin (editor); Traytel, Dmitriy (editor); Pientka, Brigitte (editor); Blazy, Sandrine (editor)","2024","Category theory is a branch of mathematics that provides a formal framework for understanding the relationship between mathematical structures. To this end, a category not only incorporates the data of the desired objects, but also ""morphisms"", which capture how different objects interact with each other. Category theory has found many applications in mathematics and in computer science, for example in functional programming. Double categories are a natural generalization of categories which incorporate the data of two separate classes of morphisms, allowing a more nuanced representation of relationships and interactions between objects. Similar to category theory, double categories have been successfully applied to various situations in mathematics and computer science, in which objects naturally exhibit two types of morphisms. Examples include categories themselves, but also lenses, petri nets, and spans. While categories have already been formalized in a variety of proof assistants, double categories have received far less attention. In this paper we remedy this situation by presenting a formalization of double categories via the proof assistant Coq, relying on the Coq UniMath library. As part of this work we present two equivalent formalizations of the definition of a double category, an unfolded explicit definition and a second definition which exhibits excellent formal properties via 2-sided displayed categories. As an application of the formal approach we establish a notion of univalent double category along with a univalence principle: equivalences of univalent double categories coincide with their identities.","category theory; double categories; formalization of mathematics; univalent foundations","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Programming Languages","","",""
"uuid:4baa11ba-4ad6-45e9-90e3-72999baab954","http://resolver.tudelft.nl/uuid:4baa11ba-4ad6-45e9-90e3-72999baab954","Are civilizations destined to collapse?: Lessons from the Mediterranean Bronze Age","Linkov, Igor (U.S. Army Engineer Research and Development Center); Galaitsi, S. E. (U.S. Army Engineer Research and Development Center); Trump, Benjamin D. (U.S. Army Engineer Research and Development Center); Pinigina, Elizaveta (U.S. Army Engineer Research and Development Center); Rand, Krista (U.S. Army Engineer Research and Development Center); Cline, Eric H. (The George Washington University); Kitsak, M.A. (TU Delft Network Architectures and Services)","","2024","As the world faces multiple crises, lessons from humanity's past can potentially suggest ways to decrease disruptions and increase societal resilience. From 1200 to 1100 BCE, several advanced societies in the Eastern Mediterranean suffered dramatic collapse. Though the causes of the Late Bronze Age Collapse are still debated, contributing factors may include a “perfect storm” of multiple stressors: social and economic upheaval, earthquake clusters, climate change, and others. We examined how collapse might have propagated through the societies’ connections by modeling the Eastern Mediterranean Late Bronze Age trade and socio-political networks. Our model shows that the Late Bronze Age societies made a robust network, where any single node's collapse was insufficient to catalyze the regional collapse that historically transpired. However, modeled scenarios indicate that some paired node disruptions could cause cascading failure within the network. Subsequently, a holistic understanding of the region's network incentive structures and feedback loops can help societies anticipate compounding risk conditions that might lead to widespread collapse and allow them to take appropriate actions to mitigate or adapt societal dependencies. Such network analyses may be able to provide insight as to how we can prevent a collapse of socio-political, economic and trade networks similar to what occurred at the end of the Late Bronze Age. Though such data-intensive analytics were unavailable to these Bronze Age regions, modern society may be able to leverage historical lessons in order to foster improved robustness and resilience to compounding threats. Our work shows that civilization collapses are preventable; we are not necessarily destined to collapse.","Bronze age; Geopolitical conflicts; Networks; Resilience; Risk; Societal collapse","en","journal article","","","","","","","","","","","Network Architectures and Services","","",""
"uuid:eff7b1c1-c51b-4034-b835-a3e17354408e","http://resolver.tudelft.nl/uuid:eff7b1c1-c51b-4034-b835-a3e17354408e","Understanding the role of aliovalent cation substitution on the li-ion diffusion mechanism in Li6+xP1−xSixS5Br argyrodites","Schwietert, T.K. (TU Delft RST/Storage of Electrochemical Energy); Gautam, A. (TU Delft RST/Storage of Electrochemical Energy); Lavrinenko, A.K. (TU Delft RST/Storage of Electrochemical Energy); Drost, David (Student TU Delft); Famprikis, T. (TU Delft RST/Storage of Electrochemical Energy); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy); Vasileiadis, A. (TU Delft RST/Storage of Electrochemical Energy)","","2024","Due to their high ionic conductivity, lithium-ion conducting argyrodites show promise as solid electrolytes for solid-state batteries. Aliovalent substitution is an effective technique to enhance the transport properties of Li6PS5Br, where aliovalent Si substitution triples ionic conductivity. However, the origin of this experimentally observed increase is not fully understood. Our density functional theory (DFT) study reveals that Si4+ substitution increases Li diffusion by activating Li occupancy in the T4 sites. Redistribution of Li-ions within the lattice results in a more uniform distribution of Li around the T4 and neighboring T5 sites, flattening the energy landscape for diffusion. Since the T4 site is positioned in the intercage jump pathway, an increase in the intercage jump rate is found, which is directly related to the macroscopic diffusion and bulk conductivity. Analysis of neutron diffraction experiments confirms partial T4 site occupancy, in agreement with the computational findings. Understanding the aliovalent substitution effect on interstitials is crucial for improving solid electrolyte ionic conductivity and advancing solid-state battery performance.","","en","journal article","","","","","","","","","","","RST/Storage of Electrochemical Energy","","",""
"uuid:d79e9540-06ff-4eb6-a567-fde2b8d7991e","http://resolver.tudelft.nl/uuid:d79e9540-06ff-4eb6-a567-fde2b8d7991e","A novel experimental method to determine substrate uptake kinetics of gaseous substrates applied to the carbon monoxide-fermenting Clostridium autoethanogenum","Allaart, M.T. (TU Delft BT/Environmental Biotechnology); Korkontzelos, Charilaos (Student TU Delft); Sousa, Diana Z. (Wageningen University & Research); Kleerebezem, R. (TU Delft BT/Environmental Biotechnology)","","2024","Syngas fermentation has gained momentum over the last decades. The cost-efficient design of industrial-scale bioprocesses is highly dependent on quantitative microbial growth data. Kinetic and stoichiometric models for syngas-converting microbes exist, but accurate experimental validation of the derived parameters is lacking. Here, we describe a novel experimental approach for measuring substrate uptake kinetics of gas-fermenting microbes using the model microorganism Clostridium autoethanogenum. One-hour disturbances of a steady-state chemostat bioreactor with increased CO partial pressures (up to 1.2 bar) allowed for measurement of biomass-specific CO uptake- and CO2 production rates ((Formula presented.), (Formula presented.)) using off-gas analysis. At a pCO of 1.2 bar, a (Formula presented.) of −119 ± 1 mmol g−1X h−1 was measured. This value is 1.8–3.5-fold higher than previously reported experimental and kinetic modeling results for syngas fermenters. Analysis of the catabolic flux distribution reveals a metabolic shift towards ethanol production at the expense of acetate at pCO (Formula presented.) 0.6 atm, likely to be mediated by acetate availability and cellular redox state. We characterized this metabolic shift as acetogenic overflow metabolism. These results provide key mechanistic understanding of the factors steering the product spectrum of CO fermentation in C. autoethanogenum and emphasize the importance of dedicated experimental validation of kinetic parameters.","acetogen; chemostat; metabolic shift; overflow metabolism; pulse feeding; syngas","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:f6a54572-b462-4df9-8a57-5a4645ad5b3c","http://resolver.tudelft.nl/uuid:f6a54572-b462-4df9-8a57-5a4645ad5b3c","Vanadium-Containing Chloroperoxidase-Catalyzed Versatile Valorization of Phenols and Phenolic Acids","Li, Huanhuan (Xi’an Jiaotong University); Duan, Peigao (Xi’an Jiaotong University); Huang, Yawen (Chinese Academy of Sciences); Cui, Chengsen (Chinese Academy of Sciences); Hollmann, F. (TU Delft BT/Biocatalysis); Ma, Yunjian (South China University of Technology); Wang, Yonghua (South China University of Technology); Zhang, Jie (Chinese Academy of Sciences); Liu, Weidong (Chinese Academy of Sciences); Zhang, Wuyuan (Chinese Academy of Sciences)","","2024","The downstream product transformation of lignin depolymerization is of great interest in the production of high-value aromatic chemicals. However, this transformation is often impeded by chemical oxidation under harsh reaction conditions. In this study, we demonstrate that hypohalites generated in situ by the vanadium-containing chloroperoxidase from Curvularia inaequalis (CiVCPO) can halogenate various electron-rich and electron-poor phenol and phenolic acid substrates. Specifically, CiVCPO enabled decarboxylative halogenation, deformylative halogenation, halogenation, and direct oxidation reactions. The versatile transformation routes for the valorization of phenolic compounds showed up to 99% conversion and 99% selectivity, with a turnover number of 60,700 and a turnover frequency of 60 s-1 for CiVCPO. This study potentially expands the biocatalytic toolbox for lignin valorization.","biocatalysis; decarboxylation; halogenation; lignin valorization; vanadium-containing chloroperoxidase","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-18","","","BT/Biocatalysis","","",""
"uuid:30cc5e36-4388-4cd5-b158-cb8eb0927aba","http://resolver.tudelft.nl/uuid:30cc5e36-4388-4cd5-b158-cb8eb0927aba","Paving the road towards automated homogeneous catalyst design","Kalikadien, A.V. (TU Delft ChemE/Inorganic Systems Engineering); Mirza, Adrian (Student TU Delft); Hossaini, Aydin Najl (Student TU Delft); Sreenithya, Avadakkam (Student TU Delft); Pidko, E.A. (TU Delft ChemE/Inorganic Systems Engineering)","","2024","In the past decade, computational tools have become integral to catalyst design. They continue to offer significant support to experimental organic synthesis and catalysis researchers aiming for optimal reaction outcomes. More recently, data-driven approaches utilizing machine learning have garnered considerable attention for their expansive capabilities. This Perspective provides an overview of diverse initiatives in the realm of computational catalyst design and introduces our automated tools tailored for high-throughput in silico exploration of the chemical space. While valuable insights are gained through methods for high-throughput in silico exploration and analysis of chemical space, their degree of automation and modularity are key. We argue that the integration of data-driven, automated and modular workflows is key to enhancing homogeneous catalyst design on an unprecedented scale, contributing to the advancement of catalysis research.","automation; catalysis; cheminformatics; machine learning; quantum chemistry","en","journal article","","","","","","","","","","","ChemE/Inorganic Systems Engineering","","",""
"uuid:48f00b43-2f12-4a5e-b657-f4f59289eda9","http://resolver.tudelft.nl/uuid:48f00b43-2f12-4a5e-b657-f4f59289eda9","Neural inverse procedural modeling of knitting yarns from images","Trunz, Elena (Universität Bonn); Klein, Jonathan (Universität Bonn; King Abdullah University of Science and Technology); Müller, Jan (Universität Bonn); Bode, Lukas (Universität Bonn); Sarlette, Ralf (Universität Bonn); Weinmann, M. (TU Delft Computer Graphics and Visualisation); Klein, Reinhard (Universität Bonn)","","2024","We investigate the capabilities of neural inverse procedural modeling to infer high-quality procedural yarn models with fiber-level details from single images of depicted yarn samples. While directly inferring all parameters of the underlying yarn model based on a single neural network may seem an intuitive choice, we show that the complexity of yarn structures in terms of twisting and migration characteristics of the involved fibers can be better encountered in terms of ensembles of networks that focus on individual characteristics. We analyze the effect of different loss functions including a parameter loss to penalize the deviation of inferred parameters to ground truth annotations, a reconstruction loss to enforce similar statistics of the image generated for the estimated parameters in comparison to training images as well as an additional regularization term to explicitly penalize deviations between latent codes of synthetic images and the average latent code of real images in the encoder's latent space. We demonstrate that the combination of a carefully designed parametric, procedural yarn model with respective network ensembles as well as loss functions even allows robust parameter inference when solely trained on synthetic data. Since our approach relies on the availability of a yarn database with parameter annotations and we are not aware of such a respectively available dataset, we additionally provide, to the best of our knowledge, the first dataset of yarn images with annotations regarding the respective yarn parameters. For this purpose, we use a novel yarn generator that improves the realism of the produced results over previous approaches.","Inverse procedural modeling; Model fitting; Neural networks; Yarn modeling","en","journal article","","","","","","","","","","","Computer Graphics and Visualisation","","",""
"uuid:7664983e-1c4f-4488-ae8b-38cc4e019108","http://resolver.tudelft.nl/uuid:7664983e-1c4f-4488-ae8b-38cc4e019108","The role of water-treated municipal solid waste incineration (MSWI) bottom ash in microstructure formation and strength development of blended cement pastes","Chen, B. (TU Delft Materials and Environment); Ye, G. (TU Delft Materials and Environment; Universiteit Gent)","","2024","This research explored the microstructure formation and strength development of blended cement pastes prepared with municipal solid waste incineration (MSWI) bottom ash. A new sample preparation approach involving water treatment of MSWI bottom ash was developed to prevent sample cracking caused by the presence of metallic aluminum (Al) in bottom ash. The result showed that ions released during water treatment of MSWI bottom ash delayed cement hydration but promoted ettringite formation in blended cement pastes during the first day. Due to water treatment, the compressive strength of MSWI bottom ash blended cement paste increased to a level similar to that of Class F coal fly blended cement paste after 28 days. Blending water-treated MSWI bottom ash (WMBA) with cement promoted clinker hydration at later stages. The reaction products of WMBA in blended cement system were C-S-H gel and sodicgedrite, which contributed to strength development by filling the capillary pores.","Blended cement; Hydration; Municipal solid waste incineration bottom ash; Supplementary cementitious materials; Water treatment","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:093875bc-da1d-4727-8798-2c3740cb2628","http://resolver.tudelft.nl/uuid:093875bc-da1d-4727-8798-2c3740cb2628","Contactless control of suspended loads for offshore installations: Proof of concept using magnetic interaction","Atzampou, P. (TU Delft Dynamics of Structures); Meijers, P.C. (TU Delft Hydraulic Engineering); Tsouvalas, A. (TU Delft Dynamics of Structures; TU Delft Offshore Engineering); Metrikine, A. (TU Delft Hydraulic Engineering; TU Delft Engineering Structures)","","2024","Current offshore wind turbine installation and positioning methods require mechanical equipment attached on the lifted components and human intervention. The present paper studies the development of a contactless motion compensation technique by investigating a magnetically controlled pendulum. The technique involves the interaction of a magnetic pendulum with an electromagnetic actuator. Two control modes are considered: the imposition of a desired motion to the mass and the motion attenuation of a prescribed pivot excitation. The numerical model is validated and calibrated against experiments and demonstrates excellent predictive capabilities. The control exerted is effective for a broad range of excitation frequencies and amplitudes. Important parameters associated with the performance of the technique such as the separation distance of the magnets and the saturation of the controller are identified. The controllability regions for effective control depending on the characteristics of the excitation are derived. The force amplitude of the contactless actuator is comparable to currently-used active tugger line control systems, but with the additional advantage of both attractive and repulsive forces. The findings of this paper illuminate the path for the further development of a non-contact control technique which has the potential to increase the efficiency of offshore wind installations.","Active vibration control; Contactless control; Magnetic pendulum; Motion manipulation; Offshore wind installation; PID control","en","journal article","","","","","","","","","","Hydraulic Engineering","Dynamics of Structures","","",""
"uuid:b1f93931-ae59-4584-8a5f-25a0a560241f","http://resolver.tudelft.nl/uuid:b1f93931-ae59-4584-8a5f-25a0a560241f","Experimental investigation and thermodynamic assessment of the BaCl2–CeCl3 system","Alders, D.C. (TU Delft RST/Reactor Physics and Nuclear Materials); Vlieland, J. (TU Delft RST/Technici Pool); Thijs, M.A. (TU Delft RID/TS/Technici Pool); Konings, R. (TU Delft RST/Reactor Physics and Nuclear Materials); Smith, A.L. (TU Delft RST/Reactor Physics and Nuclear Materials)","","2024","The thermodynamic and thermo-physical properties of the molten salt system [Formula presented] have been investigated using an experimental and modelling approach. This molten salt system includes a single intermediate compound [Formula presented], whose structure has been investigated using X-ray and neutron diffraction. Furthermore, this system exhibits solubility of [Formula presented] in [Formula presented] at high temperatures up to a concentration of around 25% [Formula presented] at 1060 K. Additionally, our measurements show solubility of [Formula presented] in [Formula presented] up to about 5% [Formula presented] at 973 K. The investigation of these solid solutions has been performed using quenching experiments and subsequent post-characterisation by X-ray diffraction (XRD). Phase diagram equilibria have also been investigated using differential scanning calorimetry (DSC). Using the aforementioned information on phase transitions, intermediate compound formation, and mutual solid solubility, a thermodynamic assessment of the system has been performed using the CALPHAD method. The model for the Gibbs energy of the liquid solution is the quasi-chemical formalism in the quadruplet approximation, while the model for the Gibbs energy of the solid solutions is a two-sublattice polynomial model.","Barium chloride; CALPHAD; Cerium chloride; Chloride salts; Molten salts","en","journal article","","","","","","","","","","","RST/Reactor Physics and Nuclear Materials","","",""
"uuid:5800bca7-69b7-4c26-bde5-414940de6ff3","http://resolver.tudelft.nl/uuid:5800bca7-69b7-4c26-bde5-414940de6ff3","Automatic patient-ventilator asynchrony detection framework using objective asynchrony definitions","van de Kamp, Lars (Eindhoven University of Technology; Demcon Life Sciences and Health); Reinders, Joey (Demcon Life Sciences and Health); Hunnekens, Bram (Demcon Life Sciences and Health); Oomen, T.A.E. (TU Delft Team Jan-Willem van Wingerden; Eindhoven University of Technology); van de Wouw, Nathan (Eindhoven University of Technology)","","2024","Patient-ventilator asynchrony is one of the largest challenges in mechanical ventilation and is associated with prolonged ICU stay and increased mortality. The aim of this paper is to automatically detect and classify the different types of patient-ventilator asynchronies during a patient's breath using the typically available data on commercially available ventilators. This is achieved by a detection and classification framework using an objective definition of asynchrony and a supervised learning approach. The achieved detection performance of the near-real time framework on a clinical dataset is a significant improvement over current clinical practice, therewith and, this framework has the potential to significantly improve the patient comfort and treatment outcomes.","Classification; Detection; Mechanical ventilation; Patient-ventilator asynchrony; Recurrent neural networks; Supervised learning","en","journal article","","","","","","","","","","","Team Jan-Willem van Wingerden","","",""
"uuid:4ed7a015-d243-48a5-aada-4087f58f473f","http://resolver.tudelft.nl/uuid:4ed7a015-d243-48a5-aada-4087f58f473f","Impact of oxygen transfer dynamics on the performance of an aerobic granular sludge reactor","Strubbe, Laurence (Universiteit Gent); van Dijk, E.J.H. (TU Delft BT/Environmental Biotechnology; Royal HaskoningDHV); Carrera, Paula (Universiteit Gent); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology); Volcke, Eveline I.P. (Universiteit Gent)","","2024","The aerobic granular sludge (AGS) process treats wastewater with a significantly lower footprint and energy consumption compared to conventional activated sludge systems. Nevertheless, there is still potential for optimizing its performance, and mathematical models are most valuable tools to this end. Aeration energy consumption deserves particular attention, as it is the largest remaining operating cost for AGS systems. Batch-wisely operated reactors show an increasing oxygen transfer efficiency during aeration, which translates into a dynamic alpha factor. However, the dynamic nature of alpha is neglected in current models. The impact of this simplification on the operating performance was addressed for the first time in this study. Through the development of a novel 1-D biofilm reactor model, calibrated to a full-scale AGS plant, it was shown that the alpha dynamics affect both model structure and calibration, as well as the process performance. The description of the dynamic nature of alpha through the empirical relationship with the soluble biodegradable organic carbon required the addition of the state variable representing soluble slowly biodegradable organic carbon (SCB) to the biokinetic ASM2d model. Simulation results showed that alpha dynamics significantly influences simultaneous nitrification and denitrification and therefore need to be included in mathematical models to optimize AGS process performance. Different process variables such as volume exchange ratio, aeration capacity and granule size can be manipulated to improve reactor design and performance. The practical application of these new insights were discussed regarding the optimization of AGS systems, as well as other batch-wisely operated aerobic wastewater treatment systems.","aerobic granular sludge (AGS); Alpha factor; Modelling and simulation; Oxygen transfer efficiency; Simultaneous nitrification denitrification; Wastewater treatment","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-15","","","BT/Environmental Biotechnology","","",""
"uuid:188f5611-d9ec-44f6-9b08-e847f8e351ae","http://resolver.tudelft.nl/uuid:188f5611-d9ec-44f6-9b08-e847f8e351ae","Economy-wide impacts of socio-politically driven net-zero energy systems in europe","Mayer, Jakob (Karl-Franzens-Universitat Graz); Süsser, Diana (Institute for Advanced Sustainability Studies; Institute for European Energy and Climate Policy); Pickering, Bryn (ETH Zürich); Bachner, Gabriel (Karl-Franzens-Universitat Graz); Sanvito, F.D. (TU Delft Energie and Industrie)","","2024","Net-zero energy system configurations can be met in numerous ways, implying diverse economic effects. However, what is usually ignored in techno-economic and economy-wide analysis are the distinct social-political drivers and barriers, which might constrain certain elements of future energy systems. We thus apply a model ensemble that defines social-political storylines which constrain feasible net-zero configurations of the European energy system. Using these configurations in a macroeconomic general equilibrium model allows us to explore economy-wide effects and ultimately the cost-effectiveness of different systems. We find that social-political storylines provide valuable boundary conditions for feasible net-zero designs of the energy system and that the costliest energy sector configuration in fact leads to the highest European-wide welfare levels. This result originates in indirect effects, particularly positive employment effects, covered by the macroeconomic model. However, adverse public budget effects on the transition to net-zero energy may limit the willingness of policymakers who focus on shorter time-horizons to foster such a development. Our results highlight the relevance of considering the interaction of energy system-changes with labor, emission allowance and capital markets, as well as considering long-term perspectives.","Climate change mitigation; Computable general equilibrium; Cost-effectiveness; Energy system design; Social-political storylines","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:d2c306d4-fdf4-49c5-ac54-b09b7d3796c9","http://resolver.tudelft.nl/uuid:d2c306d4-fdf4-49c5-ac54-b09b7d3796c9","Transfer learning-based methodologies for Dynamic Thermal Rating of transmission lines","Paldino, Gian Marco (Vrije Universiteit Brussel); De Caro, Fabrizio (Vrije Universiteit Brussel; Università degli Studi del Sannio); De Stefani, J. (TU Delft Information and Communication Technology; Vrije Universiteit Brussel); Vaccaro, Alfredo (Università degli Studi del Sannio); Bontempi, Gianluca (Vrije Universiteit Brussel)","","2024","Dynamic Thermal Rating (DTR) enhances grid flexibility by adapting line capabilities to weather conditions. For this purpose, DTR-based technologies require reliable and continuous measurement of the conductor temperature along the line route, which could hinder their wide-scale deployment due to the prohibitively high number of required sensors. Existing machine learning-based DTR methods infer conductor temperature from weather variables avoiding using complex and expensive measurement techniques, but their estimation accuracy greatly relies on the availability of a comprehensive set of measured data. To face these issues, this paper proposes the usage of transfer learning, a data-driven technique allowing the reduction of the number of sensors by transferring knowledge from a single calibrated source sensor to many target sensors. To the best of the author's knowledge, at the time of writing, the proposed approach is the first application of Transfer Learning in the domain of DTR which is validated on real transmission lines data. Experimental results from several real transmission lines equipped with self-organizing sensors-based DTR architecture show that transfer learning enhances the conductor temperature estimation reliability and accuracy of machine learning-based DTR techniques, suggesting the potential for practical applications, and reducing costs without losing accuracy for practitioners and system operators.","Dynamic Thermal Rating; Power system operation and control; Temperature estimation; Transfer learning","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-03","","","Information and Communication Technology","","",""
"uuid:e56707db-7f4f-4000-826d-81d3da05caa9","http://resolver.tudelft.nl/uuid:e56707db-7f4f-4000-826d-81d3da05caa9","School participation of autistic youths: The influence of youth, family and school factors","Li, B. (TU Delft Design Conceptualization and Communication; Universiteit Leiden); Heyne, David (Deakin University); Scheeren, Anke (Vrije Universiteit Amsterdam); Blijd-Hoogewys, Els (INTER-PSY; Rijksuniversiteit Groningen); Rieffe, Carolien (Universiteit Leiden; University of Twente; University College London (UCL))","","2024","Many autistic youths experience restricted school participation. The present study investigated the influences of youth, family and school factors on autistic youths’ school participation. Parents of 200 Dutch autistic youths (age range: 4–16 years, Mage = 12.23 years, SDage = 2.93 years) filled in questionnaires in a national survey for autistic individuals, reporting the school participation, age and autistic traits of their child, parents’ education level and self-efficacy for supporting their child’s schoolwork, and the impact of problems their child experienced with the physical and social environments of the school. Multivariate linear regression analysis using imputed data revealed that among the six predictor variables, only the impact of problems autistic youths experienced with the physical environment of school was negatively associated with their school participation. This study provided support for the essential role of the school environment in predicting autistic youths’ school participation, indicating that problematic aspects in the school environment could have a greater impact on autistic youths’ school participation than youth factors or family factors. This highlights the need to create a more accommodating environment at school, where autistic youths can participate easily and comfortably. Lay abstract: School-aged youths have a basic human right to participate in educational and recreational activities at school. Yet, autistic youths are at high risk of being excluded from school and from school-based activities. It is important to understand how this occurs, to ensure that all autistic youths have opportunities to participate in school activities that are equal to the opportunities of their non-autistic peers. The present study investigated multiple influences on the school participation of autistic youths, including youth factors (age and autistic traits), family factors (parent education level and parental self-efficacy for supporting their child’s schoolwork) and school factors (the impact of problems autistic youths experienced with the physical and social environments of school). Using an online survey, we gathered the views and experiences of the parents of 200 autistic youths aged between 4 and 16 years, in the Netherlands. We found that among the factors, only the impact of problems that autistic youths experienced with the physical environment of school was associated with their school participation. In particular, autistic youths who experienced greater difficulties with the physical environment of school had lower levels of school participation. Our findings highlight the pressing need to modify school environments to better accommodate the needs of autistic youths so that they can participate easily and comfortably.","autistic traits; autistic youth; physical environment; school participation; social environment","en","journal article","","","","","","","","","","","Design Conceptualization and Communication","","",""
"uuid:f91f1149-38fd-4088-88e1-1ac28ac8c417","http://resolver.tudelft.nl/uuid:f91f1149-38fd-4088-88e1-1ac28ac8c417","Biomarkers to discriminate between aseptic loosened and stable total hip or knee arthroplasties: a systematic review","Hasan, Shaho (Leiden University Medical Center); van Schie, Peter (Leiden University Medical Center); Kaptein, B.L. (Leiden University Medical Center); Schoones, Jan W. (Leiden University Medical Center); Marang-van de Mheen, P.J. (TU Delft Safety and Security Science; Leiden University Medical Center); Nelissen, R.G.H.H. (Leiden University Medical Center)","","2024","b>Background: Loosening is a major cause for failure of total hip and total knee arthroplasties (THAs/TKAs). Preemptive diagnostics of asymptomatic loosening could open strategies to prevent gross loosening. A multitude of biomarkers may discriminate between loosened and stable implants, but it is unknown which have the best performance. The present systematic review aimed to assess which biomarkers have shown the most promising results in discriminating between stable and aseptic loosened THAs and TKAs.
Methods: PubMed, Embase, Web of Science, Cochrane Library, and Academic Search Premier were systematically searched up to January 2020 for studies including THA/TKA and biomarkers to assess loosening. Two reviewers independently screened records, extracted data, and assessed the risk of bias using the ICROMS tool to classify the quality of the studies.
Results: Twenty-eight (three high-quality) studies were included, reporting on a median of 48 patients (interquartile range 28–69). Serum and urine markers were evaluated in 22 and 10 studies, respectively. Tumor necrosis factor α and osteocalcin were significantly higher in loosened compared with stable implants. Urinary N-terminal telopeptide had significantly elevated levels in loosened prostheses.
Conclusion: Several serum and urine markers were promising in discriminating between loosened and stable implants. We recommend future studies to evaluate these biomarkers in a longitudinal fashion to assess whether progression of loosening is associated with a change in these biomarkers. In particular, high-quality studies assessing the usability of these biomarkers are needed.","arthroplasty; biomarkers; loosening","en","review","","","","","","","","","","","Safety and Security Science","","",""
"uuid:828b2425-e579-4ebd-b83a-b283f2d2342a","http://resolver.tudelft.nl/uuid:828b2425-e579-4ebd-b83a-b283f2d2342a","Wind-solar technological, spatial and temporal complementarities in Europe: A portfolio approach","López Prol, Javier (Yonsei University); de Llano Paz, Fernando (Universidade da Coruña); Calvo-Silvosa, Anxo (Universidade da Coruña); Pfenninger, Stefan (TU Delft Energie and Industrie); Staffell, Iain (Imperial College London)","","2024","Climate change and geopolitical risks call for the rapid transformation of electricity systems worldwide, with Europe at the forefront. Wind and solar are the lowest cost, lowest risk, and cleanest energy sources, but their variability poses integration challenges. Combining both technologies and integrating regions with dissimilar generation patterns optimizes the trade-off between maximizing energy output and minimizing its variability, which respectively give the lowest levelized cost and lowest integration cost. We apply the Markowitz mean-variance framework to a rich multi-decade dataset of wind and solar productivity to quantify the potential benefits of spatially integration of renewables across European countries at hourly, daily and monthly timescales. We find that optimal cross-country coordination of wind and solar capacities across Europe's integrated electricity system increases capacity factor by 22% while reducing hourly variability by 26%. We show limited benefits to solar integration due to consistent output profiles across Europe. Greater wind integration yields larger benefits due to the diversity of regional weather patterns. This framework shows the importance of considering renewable projects not in isolation, but as interconnected parts of a pan-continental system. Our results can guide policymakers towards strategic energy plans that reduce system-wide costs of renewable electricity, accelerating the clean energy transition.","Decarbonization; Energy transition; Integration costs; Integration of electricity markets; Inttermitency; LCOE; Solar; Variability; Variable renewable energy; Wind","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:f1e04a82-8256-4a32-8f73-2a2033bf6661","http://resolver.tudelft.nl/uuid:f1e04a82-8256-4a32-8f73-2a2033bf6661","Contested port cities: Logistical frictions and civic mobilization in Genoa and Venice","Savoldi, F. (TU Delft History, Form & Aesthetics)","","2024","This article examines the increasingly conflictual relationship between ports and their surrounding communities at a time of wide-reaching infrastructural expansion. It highlights how the centralization of power and logistical gigantism produce deterritorializing frictions, decoupling inhabitants from their territories and creating the conditions for social contestation. It calls for a rethinking of the role of communities in contemporary port-city governance, with an emphasis on imaginaries of re-territorialization produced through social mobilization. I frame the increasing contestation in port cities through a critical approach to logistics, arguing that citizen engagement holds the potential to drastically readdress the port-city relationship. It examines the cases of Genoa and Venice using ethnographic methods and reconstructs a historically in-depth counter-narrative of interactions between port, city and citizen. I contextualize specific frictions between port and city through the rise in social mobilizations. The article shows how social mobilization challenges the status quo in different ways, producing changes and illuminating pathways toward more sustainable forms of coexistence between ports and cities.","contestation; counter-logistics; frictions; port cities; social mobilisation","en","journal article","","","","","","","","","","","History, Form & Aesthetics","","",""
"uuid:2b447c46-3922-4fd3-9ead-d960025e3fce","http://resolver.tudelft.nl/uuid:2b447c46-3922-4fd3-9ead-d960025e3fce","Experimental study on workability and permeability of sandy soils conditioned with thickened foam","Feng, Zhiyao (Central South University China); Wang, Shuying (Central South University China); Qu, Tongming (The Hong Kong University of Science and Technology); Zheng, X. (TU Delft Geo-engineering; Central South University China); Ling, Fanlin (Central South University China)","","2024","Water spewing and muck plugging often occur during earth pressure balance (EPB) shield machines tunnelling in water-rich sandy strata, even though the conventional foam has been employed to condition sandy soils. In this study, a novel thickened foaming agent suitable for EPB shield tunnelling in water-rich sandy strata is developed. In contrast to conventional foam-conditioned sands, the thickened foam-conditioned sand has a low permeability due to the consistent filling of soil pores with the thickened foam, and the initial permeability coefficient decreases by approximately two orders of magnitude. It also exhibits a suitable workability, which is attributed to the enhanced capability of the thickened foam to condition sandy soils. In addition, the effect of concentration on the stability of the foam is explained by the Gibbs-Marangoni effect, and conditioning mechanisms for the thickened foam on sands are discussed from the evolution of foam bubbles.","Earth pressure balance (EPB) shield; Foam-conditioned sand; Permeability; Thickened foam; Workability","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:d9e88bd8-9212-4f52-a622-c1067662484c","http://resolver.tudelft.nl/uuid:d9e88bd8-9212-4f52-a622-c1067662484c","Studying the Pedestrian Level-of-Service (PLoS): Lessons regarding the combination of survey and monitoring data","Duives, D.C. (TU Delft Transport and Planning); Ton, Danique (NS Stations)","","2024","Crowding is often analyzed using crowd dynamics variables. Yet, it is questionable whether quantitative variables fully describe the perception of crowdedness. This paper presents four case studies into the Pedestrian Level-of-Service (PLoS), featuring a 1) mass event, 2) shopping environment, 3) festival, and 4) touristic hotspot. The relation between the PLoS and the crowds' movement dynamics is studied using a combination of survey and monitoring data. This study establishes that the perception of LoS is partly related to the crowds' dynamics, and that the combination of in-situ surveys and monitoring data provides more comprehensive insights w.r.t. pedestrians' perceptions of space.","Crowd dynamics; Crowd management; Crowd monitoring; Pedestrian Level-of-Service; Safety; Survey","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:4edf0169-a558-4a8b-815a-88781b85c314","http://resolver.tudelft.nl/uuid:4edf0169-a558-4a8b-815a-88781b85c314","Cretaceous climate change evidenced in the Senegalese rock record, NW Africa","Pearson, M. (The University of Manchester; British Geological Survey); Casson, M. (The University of Manchester; Equinor ASA); Millar, I. (Kingsley Dunham Centr, Nottingham); Charton, R.J.G. (TU Delft Applied Geology; The University of Manchester); Redfern, J. (The University of Manchester)","","2024","Climate change directly impacts the source, mode and volume of sediment generation which can be observed in the rock record. To accurately model source to sink systems, in addition to hinterland geology, tectonics and transport distance, a thorough comprehension of the climate is essential. In this study we evaluate the role of climate on Cretaceous sediment delivery into the Senegal Basin, NW Africa, using data recorded from extensive sampling of basinal sediments. This is achieved through the mineralogical characterisation by X-ray diffraction and 146Nd/144Nd and 86Sr/88Sr isotopic analyses, which are correlated against existing, climate, tectonic and oceanographic models. Examples of climatic indicators include the change from predominantly smectitic deep marine basinal-clays recorded from the Cretaceous in DSDP wells 367 and 368 to clays with increased illite and kaolinite content, observed during the Albian and Cenomanian-Turonian, interpreted to be representative of higher humidity following the kaolinisation of hinterland source-rocks. Another climate indicator is the observation of palygorskite in deep-marine sediments, noted to be indicative of ocean anoxia related to the authigenesis of marine-smectite, a product of warm saline bottom waters and increased abundancy of silicon. The increase in salinity is interpreted to be a biproduct of elevated temperatures throughout the Cenomanian and increased denudation of the North Atlantic circumjacent continental evaporite-belts. Increase in silicon (biogenic) is related to a result of ocean-wide mass extinction of foraminifera during OAE2 triggered by the eruption of the Caribbean large igneous province. The results suggest that Cretaceous climate evolution of Senegal can be divided into four stages: 1. Berriasian-Barremian; an arid-period with monsoonal weather producing modest fluvial systems restricted to coastal regions. 2. Aptian-Albian; the establishment of a paleo-Intertropical Convergence Zone began to increase global temperature and humidity as recognised by the increase in kaolinite content. 3. Cenomanian-Turonian; the Cretaceous Thermal Maximum hothouse period incurring exceptional temperatures and humidity. This is represented as an antithetical shift in clay mineralogy from chlorite-illite to smectite-kaolinite throughout most of the onshore and nearshore basinal sediments. 4. Coniacian-Maastrichtian; transitional from tropical-to-tropical swamp-like conditions evidenced by increased onshore basin sediment capture and a shift in vegetation to aquatic-fern species. The impact of climate change throughout the Cretaceous produced dynamic shifts in both river size and source-catchment, witnessing exception rates of denudation during the hotter and more humid periods, which climaxed during the Cenomanian and Turonian as a result of the Cretaceous Thermal Maximum. This eroded sediment was deposited in both the onshore and offshore basins during the mid-late Cretaceous but became increasingly restricted to the onshore segment of the basin during the Late Cretaceous.","Clay-minerals; Climate; Cretaceous; NW-Africa; Ocean-anoxia; Senegal","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-25","","","Applied Geology","","",""
"uuid:1748f6c4-08e2-4124-aee3-68398205bfaf","http://resolver.tudelft.nl/uuid:1748f6c4-08e2-4124-aee3-68398205bfaf","Tunable visible emission and persistent luminescence of BaGa2O4:Cu2+","Wang, Lei (Hefei University of Technology); Zhao, Ning (Hefei University of Technology); Zhu, Changrui (Hefei University of Technology); Chen, Lei (Hefei University of Technology); Jiang, Yang (Hefei University of Technology); Zhou, Rulong (Hefei University of Technology); Liu, Yanfang (Hefei University of Technology); Qu, Bingyan (Hefei University of Technology); Hintzen, H.T.J.M. (TU Delft RST/Luminescence Materials)","","2024","In the field of solid-state luminescence, Cu2+ has long been widely acknowledged for its capacity to emit infrared light. However, the occurrence of visible emission from Cu2+ ions had been infrequently observed and reported. In this study, we made an intriguing discovery by examining the behavior of Cu2+ within an irregular coordination environment of Ba in BaGa2O4. When excited by UV light, Cu2+ unexpectedly gave a vibrant yellow–red emission, covering a wavelength range spanning from 500 to 750 nm. More noteworthy, by simply manipulating the excitation wavelength or adjusting the temperature, the peak wavelength of the emission could be effectively tuned from approximately 600 to 660 nm, which could be attributed to the luminescence nature of the charge transfer (CT) between O2− and Cu2+. Moreover, the phosphor material displayed a remarkable persistent luminescence (PerL) lasting up to 12 h after UV light excitation. Through thermoluminescence (TL) measurements and first-principle calculations, we found that the intrinsic defects, such as vacancies of oxygen and gallium (VO and VGa″), played important roles for the PerL phenomena. These findings highlighted the exceptional tunability and PerL properties of BaGa2O4:Cu2+. Our study provided a new potential guideline for the design of Cu2+-activated phosphors in visible region, and opened up new avenues for the research in related functional luminescence materials.","Cu luminescence; Mechanism; Persistent luminescence; Phosphors; Tunable emission","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-01","","","RST/Luminescence Materials","","",""
"uuid:a311fa47-f645-4c2e-b5b4-0c80c4518777","http://resolver.tudelft.nl/uuid:a311fa47-f645-4c2e-b5b4-0c80c4518777","Influence of geometrical imperfections and residual stresses on the reliability of high strength steel welded I-section columns using Monte Carlo simulation","Ferreira Filho, José Osvaldo (Universidade de Coimbra); da Silva, Luís Simões (Universidade de Coimbra); Tankova, T. (TU Delft Steel & Composite Structures); Carvalho, Hermes (Universidade Federal de Minas Gerais; Universidade de São Paulo)","","2024","This paper aims to assess the influence of geometrical imperfections and residual stresses on the reliability of the stability design rules for steel columns in Eurocode 3 considering a full probabilistic approach and further validate the new buckling curves in the scope of the ongoing revision of the Structural Eurocodes. A reliability assessment of major- and minor-axis flexural buckling of high-strength steel (HSS) welded I-section columns was performed, considering all basic variables as random, including the geometrical and material imperfections, in addition to the material properties of steel and the geometry of the cross-section. An advanced finite element model calibrated with experimental test results is used to perform a very large (290,126 simulations) parametric study covering the majority of practical geometries. Subsequently, Monte Carlo simulation is used to estimate the design values of the buckling resistance that correspond to the target probability of failure of the Eurocodes. Finally, these values are compared to the proposed buckling curves for HSS columns, showing good agreement and supporting their adoption in the revised EN 1993–1-1. It is also concluded that it is on the safe side to carry out a reliability assessment with deterministic reference values for structural imperfections.","Buckling resistance of columns; Eurocode 3; High strength steel; Monte Carlo simulation; Reliability analysis","en","journal article","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:04060e07-4148-49e2-999d-d18c3e9610fa","http://resolver.tudelft.nl/uuid:04060e07-4148-49e2-999d-d18c3e9610fa","Mechanical properties of 3D printed CMT-WAAM 316 LSi stainless steel walls","Andrade, D. G. (Universidade de Coimbra); Tankova, T. (TU Delft Steel & Composite Structures; Universidade de Coimbra); Zhu, C. (Universidade de Coimbra); Branco, R. (Universidade de Coimbra); da Silva, L. Simões (Universidade de Coimbra); Rodrigues, D. M. (Universidade de Coimbra)","","2024","The use of 3D printed stainless steel requires a deep knowledge of its mechanical properties. This paper presents material characterisation of 316LSi austenitic stainless-steel coupons manufactured by CMT-WAAM, considering different deposition directions. The specimens were tested according to ISO 6892-1, the fractures surfaces were examined by SEM for machined and as-built conditions. The material was subject to hardness test and deep microstructural analyses, to assess the anisotropy in material properties at the micro and macro scales, respectively. A thermal analysis performed by infrared thermography of the material deposition in CMT-WAAM was also performed to establish the influence of the temperature evolution (versus time and position) on the microstructural and mechanical properties of the deposited walls. Finally, a statistical assessment was carried out, including results available in the literature and a material model available in the literature was adjusted to the test results, enabling to conclude that it is possible of accurately reproducing the uniaxial stress-strain behaviour, therefore providing a necessary input for the design of steel structures with 3D printed stainless steel.","Directed energy deposition; Mechanical properties; Stainless steel; Thermal analysis; Wire arc additive manufacturing","en","journal article","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:679fb87d-5bb6-4455-b5e9-676da83e7420","http://resolver.tudelft.nl/uuid:679fb87d-5bb6-4455-b5e9-676da83e7420","An Ultrasound Matrix Transducer for High-Frame-Rate 3-D Intra-cardiac Echocardiography","Simoes dos Santos, D. (TU Delft ImPhys/Verweij group; TU Delft ImPhys/Medical Imaging); Ossenkoppele, B.W. (TU Delft ImPhys/Medical Imaging); Hopf, Y.M. (TU Delft Electronic Instrumentation); Soozande, Mehdi (Erasmus MC); Noothout, E.C. (TU Delft ImPhys/Verweij group); Vos, H.J. (TU Delft ImPhys/Verweij group; Erasmus MC); Bosch, Johan G. (Erasmus MC); Pertijs, M.A.P. (TU Delft Electronic Instrumentation); Verweij, M.D. (TU Delft ImPhys/Medical Imaging; TU Delft ImPhys/Verweij group; Erasmus MC); de Jong, N. (TU Delft ImPhys/De Jong group; Erasmus MC)","","2024","Objective: Described here is the development of an ultrasound matrix transducer prototype for high-frame-rate 3-D intra-cardiac echocardiography. Methods: The matrix array consists of 16 × 18 lead zirconate titanate elements with a pitch of 160 µm × 160 µm built on top of an application-specific integrated circuit that generates transmission signals and digitizes the received signals. To reduce the number of cables in the catheter to a feasible number, we implement subarray beamforming and digitization in receive and use a combination of time-division multiplexing and pulse amplitude modulation data transmission, achieving an 18-fold reduction. The proposed imaging scheme employs seven fan-shaped diverging transmit beams operating at a pulse repetition frequency of 7.7 kHz to obtain a high frame rate. The performance of the prototype is characterized, and its functionality is fully verified. Results: The transducer exhibits a transmit efficiency of 28 Pa/V at 5 cm per element and a bandwidth of 60% in transmission. In receive, a dynamic range of 80 dB is measured with a minimum detectable pressure of 10 Pa per element. The element yield of the prototype is 98%, indicating the efficacy of the manufacturing process. The transducer is capable of imaging at a frame rate of up to 1000 volumes/s and is intended to cover a volume of 70° × 70° × 10 cm. Conclusion: These advanced imaging capabilities have the potential to support complex interventional procedures and enable full-volumetric flow, tissue, and electromechanical wave tracking in the heart.","Application-specific integrated circuit; High frame rate; Intra-cardiac echocardiography; Matrix array; Three-dimensional; Ultrasound transducer","en","journal article","","","","","","","","","","","ImPhys/Verweij group","","",""
"uuid:2d5c0e74-b276-4819-972a-36fe9d1539a8","http://resolver.tudelft.nl/uuid:2d5c0e74-b276-4819-972a-36fe9d1539a8","Railway sleeper vibration measurement by train-borne laser Doppler vibrometer and its speed-dependent characteristics","Zeng, Y. (TU Delft Reservoir Engineering); Nunez, Alfredo (TU Delft Railway Engineering); Li, Z. (TU Delft Railway Engineering)","","2024","A train-borne laser Doppler vibrometer (LDV) directly measures the dynamic response of railway track components from a moving train, which has the potential to complement existing train-borne technologies for railway track monitoring. This paper proposes a holistic methodology to characterize train-borne LDV measurements by combining computer-aided approaches and real-life measurements. The focus is on the speed-dependent characteristics because the train speed affects the intensity of railway sleeper vibrations and the intensity of speckle noise, which further affects the quality and usability of the measured signals. First, numerical models are established and validated to simulate sleeper vibrations and speckle noise separately. Then, a vibration–noise separation method is proposed to effectively extract speckle noise and structural vibrations from LDV signals measured at different speeds. The parameters of the separation method are tuned using simulation signals. The method is then validated using laboratory measurements in a vehicle-track test rig and applied to field measurements on a railway track in Rotterdam, the Netherlands. Further, the speed-dependent characteristics of train-borne LDV measurement are determined by analyzing the competition between sleeper vibrations and speckle noise at different speeds. Simulation and measurement results show that an optimal speed range yields the highest signal-to-noise ratio, which varies for different track structures, measurement configurations, and operational conditions. The findings demonstrate the potential of train-borne LDV for large-scale rail infrastructure monitoring.","","en","journal article","","","","","","","","","","","Reservoir Engineering","","",""
"uuid:4dc2a282-650d-4c1d-92ef-fbfffba41c5b","http://resolver.tudelft.nl/uuid:4dc2a282-650d-4c1d-92ef-fbfffba41c5b","4D-STEM Nanoscale Strain Analysis in van der Waals Materials: Advancing beyond Planar Configurations","Bolhuis, M. (TU Delft QN/Conesa-Boj Lab; Kavli institute of nanoscience Delft); van Heijst, S.E. (TU Delft QN/Conesa-Boj Lab; Kavli institute of nanoscience Delft); Sangers, J.J.M. (TU Delft QN/Conesa-Boj Lab; Kavli institute of nanoscience Delft); Conesa Boj, S. (TU Delft QN/Conesa-Boj Lab; Kavli institute of nanoscience Delft)","","2024","Achieving nanoscale strain fields mapping in intricate van der Waals (vdW) nanostructures, like twisted flakes and nanorods, presents several challenges due to their complex geometry, small size, and sensitivity limitations. Understanding these strain fields is pivotal as they significantly influence the optoelectronic properties of vdW materials, playing a crucial role in a plethora of applications ranging from nanoelectronics to nanophotonics. Here, a novel approach for achieving a nanoscale-resolved mapping of strain fields across entire micron-sized vdW nanostructures using four-dimensional (4D) scanning transmission electron microscopy (STEM) imaging equipped with an electron microscope pixel array detector (EMPAD) is presented. This technique extends the capabilities of STEM-based strain mapping by means of the exit-wave power cepstrum method incorporating automated peak tracking and K-means clustering algorithms. This approach is validated on two representative vdW nanostructures: a two-dimensional (2D) MoS2 thin twisted flakes and a one-dimensional (1D) MoO3/MoS2 nanorod heterostructure. Beyond just vdW materials, the versatile methodology offers broader applicability for strain-field analysis in various low-dimensional nanostructured materials. This advances the understanding of the intricate relationship between nanoscale strain patterns and their consequent optoelectronic properties.","electron microscope pixel array detector (EMPAD); four-dimensional scanning transmission electron microscopy (4D-STEM); strain mapping; van der Waals materials","en","journal article","","","","","","","","","","","QN/Conesa-Boj Lab","","",""
"uuid:34f73c81-fc73-4583-afc7-55ab99d38ada","http://resolver.tudelft.nl/uuid:34f73c81-fc73-4583-afc7-55ab99d38ada","Automatic Max-Likelihood Envelope Detection Algorithm for Quantitative High-Frame-Rate Ultrasound for Neonatal Brain Monitoring","Kortenbout, A.J. (Erasmus MC); Costerus, Sophie (Erasmus MC); Dudink, Jeroen (University Medical Center Utrecht); de Jong, N. (TU Delft ImPhys/Verweij group; Erasmus MC); de Graaff, Jurgen C. (Erasmus MC; Weill Cornell Medical College); Vos, H.J. (TU Delft ImPhys/Verweij group; Erasmus MC); Bosch, Johan G. (Erasmus MC)","","2024","Objective: Post-operative brain injury in neonates may result from disturbed cerebral perfusion, but accurate peri-operative monitoring is lacking. High-frame-rate (HFR) cerebral ultrasound could visualize and quantify flow in all detectable vessels using spectral Doppler; however, automated quantification in small vessels is challenging because of low signal amplitude. We have developed an automatic envelope detection algorithm for HFR pulsed wave spectral Doppler signals, enabling neonatal brain quantitative parameter maps during and after surgery. Methods: HFR ultrasound data from high-risk neonatal surgeries were recorded with a custom HFR mode (frame rate = 1000 Hz) on a Zonare ZS3 system. A pulsed wave Doppler spectrogram was calculated for each pixel containing blood flow in the image, and spectral peak velocity was tracked using a max-likelihood estimation algorithm of signal and noise regions in the spectrogram, where the most likely cross-over point marks the blood flow velocity. The resulting peak systolic velocity (PSV), end-diastolic velocity (EDV) and resistivity index (RI) were compared with other detection schemes, manual tracking and RIs from regular pulsed wave Doppler measurements in 10 neonates. Results: Envelope detection was successful in both high- and low-quality arterial and venous flow spectrograms. Our technique had the lowest root mean square error for EDV, PSV and RI (0.46 cm/s, 0.53 cm/s and 0.15, respectively) when compared with manual tracking. There was good agreement between the clinical pulsed wave Doppler RI and HFR measurement with a mean difference of 0.07. Conclusion: The max-likelihood algorithm is a promising approach to accurate, automated cerebral blood flow monitoring with HFR imaging in neonates.","Cerebral ultrasound; Envelope detection; High-frame-rate ultrasound; High-risk surgery; Max-likelihood; Monitoring; Neonates; Pulsed wave Doppler; Spectral Doppler","en","journal article","","","","","","","","","","","ImPhys/Verweij group","","",""
"uuid:d37c5259-3862-4faa-a177-f602c009d8fe","http://resolver.tudelft.nl/uuid:d37c5259-3862-4faa-a177-f602c009d8fe","Acoustic streaming-based calibration of ultrasound transducers","Simoes dos Santos, D. (TU Delft ImPhys/Verweij group; TU Delft ImPhys/Medical Imaging); Baldini, L.B. (TU Delft ImPhys/Verweij group); Vos, H.J. (TU Delft ImPhys/Verweij group; Erasmus MC); Verweij, M.D. (TU Delft ImPhys/Medical Imaging; TU Delft ImPhys/Verweij group; Erasmus MC); de Jong, N. (TU Delft ImPhys/De Jong group; Erasmus MC); van Neer, P.L.M.J. (TU Delft ImPhys/Verweij group; TU Delft ImPhys/Medical Imaging; TNO)","","2024","The accurate determination of the transfer function of ultrasound transducers is important for their design and operational performance. However, conventional methods for quantifying the transfer function, such as hydrophone measurements, radiation force balance, and pulse-echo measurements, are costly and complex due to specialized equipment required. In this study, we introduce a novel approach to estimate the transfer function of ultrasound transducers by measuring the acoustic streaming velocity generated by the transducer. We utilize an experimental setup consisting of a water tank with a millimeter scale, an ink-filled syringe, and a camera for recording the streaming phenomenon. Through streaming velocity measurements in the frequency range from 2 to 8 MHz, we determined the transfer function of an unfocused circular transducer with a center frequency of 5 MHz and a radius of 5.6 mm. We compared the performance of our method with hydrophone and pulse-echo measurements. At the center frequency, we measured a transmit efficiency of 1.9 kPa/V using the streaming approach, while hydrophone and pulse-echo measurements yielded transmit efficiencies of 2.1 kPa/V and 1.8 kPa/V, respectively. These findings demonstrate that the proposed method for estimating the transfer function of ultrasound transducers achieves a sufficient level of accuracy comparable to pulse-echo and hydrophone measurements.","Acoustic streaming; Reciprocity; Safety; Sensitivity; Transfer function; Ultrasound transducer","en","journal article","","","","","","","","","","","ImPhys/Verweij group","","",""
"uuid:cc836d20-cee2-4a1e-9c1e-5107fbfe54ec","http://resolver.tudelft.nl/uuid:cc836d20-cee2-4a1e-9c1e-5107fbfe54ec","Magnetic fluid film enables almost complete drag reduction across laminar and turbulent flow regimes","Stancanelli, L.M. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; ETH Zürich; Swiss Federal Institute for Forest, Snow and Landscape Research); Secchi, Eleonora (ETH Zürich); Holzner, Markus (Swiss Federal Institute for Forest, Snow and Landscape Research; Swiss Federal Institute of Aquatic Science and Technology; BOKU-University of Natural Resources and Life Sciences)","","2024","In the race to curb energy and oil consumption, zeroing of wall frictional forces is highly desirable. The turbulent skin friction drag at the solid/liquid interface is responsible for substantial energy losses when conveying liquids through hydraulic networks, contributing approximately 10% to the global electric energy consumption. Despite extensive research, efficient drag reduction strategies effectively applicable in different flow regimes are still unavailable. Here, we use a wall-attached magnetic fluid film to achieve a wall drag reduction of up to 90% in channel flow. Using optical measurements supported by modelling, we find that the strong damping of wall friction emerges from the co-existence of slip and waviness at the coating interface, and the latter is a key factor to obtain almost complete wall drag reduction across laminar and turbulent flow regimes. Our magnetic fluid film is promising and ready to be applied in energy-saving and antifouling strategies in fluid transport and medical devices.","","en","journal article","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:b2ef9acd-9738-431d-a368-a0069ddf756d","http://resolver.tudelft.nl/uuid:b2ef9acd-9738-431d-a368-a0069ddf756d","Sediment fluxes within salt marsh tidal creek systems in the Yangtze Estuary","Sun, J. (TU Delft Coastal Engineering; East China Normal University); van Prooijen, Bram (TU Delft Coastal Engineering); Wang, Xianye (East China Normal University); Zhao, Zhonghao (East China Normal University); He, Qing (East China Normal University); Wang, Zhengbing (TU Delft Coastal Engineering; Deltares)","","2024","Creeks are essential for salt marshes by conveying water and sediment through this geomorphic system. In this paper, we investigate the mechanisms that determine the residual sediment flux using measurements conducted in tidal creeks in salt marshes of the Yangtze Estuary. A main creek and a secondary creek were studied to explore whether the mechanisms determining residual sediment fluxes through the main creek differ from those in the secondary creek. Measurements in creeks were carried out over 5 years, spanning different months. Sediment import was found during most tides, both in the main creek and the secondary creek, implying that creeks in Chongming generally function as a conveyor belt of sediment into the marsh. However, sediment export can occur during certain overbank tides. When comparing the role of creeks in drainage and sediment delivery, the main creek functions more in delivering sediment while the secondary creek primarily serves as a drainage conduit. To better understand the mechanisms behind sediment fluxes, the residual sediment flux was compared with the residual discharge and the sediment differential (differences in sediment concentration between flood and ebb). Overbank tides generally lead to a net outward discharge as more water from saltmarshes can be concentrated into the marsh creek during ebb tides. This net outward discharge tends to export more sediment during ebb tides. However, due to the sediment abundance during the flood phase in the turbid environment, sediment import can be expected even with the residual export of water. Export of sediment was only found for the few tides with a net outward discharge and a small positive sediment concentration differential. Large negative sediment differentials (larger averaged suspended sediment concentration during ebb tides) have not been observed because the sediment supply during ebb is limited. This paper unravels how the sediment differential and residual discharge contribute to the residual sediment flux, providing a better understanding of sediment dynamics in marsh creek systems.","Marsh creek systems; Sediment availability; Sediment flux","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-04","","","Coastal Engineering","","",""
"uuid:91285ba8-2d88-442a-a3bb-364c0718947b","http://resolver.tudelft.nl/uuid:91285ba8-2d88-442a-a3bb-364c0718947b","Macro-dipoles in soft/hard expanded-polytetrafluoroethylene + fluoroethylenepropylene (ePTFE + FEP) fluoropolymer-film systems for high-output piezoelectric ferroelectret-transducer applications","Wang, Ningzhen (Beijing Forestry University; University of Connecticut); Baferani, Mohamadreza Arab (University of Connecticut); Daniels, Robert (University of Connecticut); Wu, Chao (University of Connecticut); Huo, Jindong (University of Connecticut); van Turnhout, J. (TU Delft Team Erik Offerman); Sotzing, Gregory A. (University of Connecticut); Gerhard, Reimund (University of Potsdam); Cao, Yang (University of Connecticut)","","2024","Multi-layer ferroelectrets consisting of fluoroethylenepropylene (FEP) copolymer and open-porous expanded polytetrafluoroethylene (ePTFE) films exhibit stable internal electret charges, high piezoelectric coefficients and heat resistance, making them promising candidates for wearable sensors or nanogenerators in body-area networks. Here, three- and five-layer (FEP/ePTFE/FEP and FEP/ePTFE/FEP/ePTFE/FEP) ferroelectret stacks were laminated and poled in a corona discharge. The resulting charge distributions were measured by use of the pulsed electro-acoustic (PEA) method and revealed that charges of opposite polarity were trapped at the interfaces between the FEP and ePTFE layers. Thus, the existence of one macro-dipole in the three-layer structure and of two macro-dipoles in the five-layer structure was directly shown for the first time. Moreover, electric-displacement-versus-electric-field (D-E) loops revealed that remnant polarization is given by the number of macro-dipoles in the respective stack. Due to the addition of the macro-dipoles, the piezoelectric d 33 coefficient of the FEP/ePTFE/FEP/ePTFE/FEP stack reaches 200 pC/N even under a potentially non-uniform compression of the soft ePTFE layers. The results should be useful for a better understanding and a performance optimization of ferroelectrets in self-powered intelligent devices.","charge distribution; charge-spring model; ePTFE + FEP ferroelectret; piezoelectricity","en","journal article","","","","","","","","2024-07-11","","","Team Erik Offerman","","",""
"uuid:938703d4-2480-4b85-b64f-eb5cf326aec0","http://resolver.tudelft.nl/uuid:938703d4-2480-4b85-b64f-eb5cf326aec0","Modeling the joint choice behavior of commuters’ travel mode and parking options for private autonomous vehicles","Xue, Fei (Beijing Jiaotong University); Yao, Enjian (Beijing Jiaotong University); Cherchi, Elisabetta (Newcastle University); Correia, Gonçalo (TU Delft Transport and Planning)","","2024","Difficulty in finding parking spaces and high parking fees discourage private car usage. Fully autonomous vehicles (AVs) capable of self-parking away from destinations will likely remove this barrier. Despite extensive survey-based research on AVs in recent years, existing literature has not sufficiently addressed the potential impact of new parking options on the demand for these vehicles. This study explores commuters’ joint choice of travel mode and parking for private autonomous vehicles (PAVs). To this end, a stated choice (SC) experiment was designed and deployed in the city of Beijing, China. Attitudinal statements were also designed to measure four latent variables: perceived ease of use, perceived usefulness, perceived safety, and attitude toward waiting. Using a hybrid choice model framework, the estimation results reveal that the choice of letting the PAV self-park at a non-destination location is significantly influenced by the location of such parking, the potential delay in re-taking the vehicle, and the fuel/energy consumption to and from the non-destination parking place. Attitudes toward AVs also play a crucial role, with perceived safety and perceived usefulness having the greatest impact. Our results can help managers and planners understand how PAVs affect people's travel mode choices and the corresponding parking options and assist them in developing strategies in preparation for the widespread use of AVs.","Attitudes; Automated vehicles; Hybrid choice model; Parking; Stated choice experiment; Willingness to pay","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-12","","","Transport and Planning","","",""
"uuid:19bfc28c-5b57-43be-8960-1c15f582f946","http://resolver.tudelft.nl/uuid:19bfc28c-5b57-43be-8960-1c15f582f946","Dynamics of freight transport decarbonization: A simulation study for Brazil","Ghisolfi, Verônica (Universidade Federal do Rio de Janeiro); Tavasszy, Lorant (TU Delft Transport and Planning); Correia, Gonçalo (TU Delft Transport and Planning); de Lorena Diniz Chaves, Gisele (Federal University of Santa Catarina); Mattos Ribeiro, Glaydston (Universidade Federal do Rio de Janeiro)","","2024","Freight transport decarbonization is challenging due to the slow implementation of policies to meet climate goals. This paper analyzes the dynamics of the implementation of freight decarbonization measures. A System Dynamics model was developed and applied to the Brazilian freight system to simulate the use of more sustainable modes and means of transport, including electrification, increased use of biofuels, acceleration of fleet renewal, and modal shift. Significant emission reductions are found in the scenarios combining a shift to alternative modes and a rapid phase-out of diesel vehicles. Even so, the Brazilian freight sector's emission budgets towards limiting global warming to 1.5 °C and 2 °C will be depleted during the current and next decade, respectively. An absolute reduction of carbon emissions before 2050 seems unlikely. Besides confirming the need to study the dynamics of the freight system, the findings corroborate the urgency for stronger actions on freight decarbonization.","Decarbonization; Freight transport; Policy implementation; System dynamics","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-15","","","Transport and Planning","","",""
"uuid:79e91102-4da0-451a-bfc3-91a951d79d34","http://resolver.tudelft.nl/uuid:79e91102-4da0-451a-bfc3-91a951d79d34","Junction temperature and luminous flux prediction for white LED array based on electrical-photo-thermal modeling","Liu, Minne (Fudan University); Li, Wenyu (Fudan University); Chen, Wei (Fudan University); Ibrahim, Mesfin S. (New Territories); Xiong, Jingkang (Changzhou Institute of Technology Research for Solid State Lighting); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Fan, Jiajie (Fudan University; Fudan Zhangjiang Institute; Chinese Academy of Sciences)","","2024","During the operation of an LED array, its thermal and optical performances are always not equal to the superposition of the individual LED's characteristics because of a significant thermal coupling effect between the arrays. Based on this, this paper proposes an electrical–photo-thermal model, with considering both junction temperature and luminous flux, to predict the both the thermal and optical performances of LED arrays operated under different currents, case temperatures, and lighting methods. The junction temperature and luminous flux of a single LED operating under different driving currents and case temperature conditions are firstly collected to establish the luminous flux response surface model of a single chip. Then it is used to predict the luminous flux of an array, whose junction temperature is predicted using both thermal coupling matrix (TCM) and numerical models. Experiments verify the luminous flux of the LED array under different operation conditions and show that the proposed electrical–photo-thermal modeling can be used to predict the thermal and optical parameters of LED arrays with 95 % accuracy. Thus, it is effective for the fast prediction of the junction temperature and luminous flux of large LED systems with array structures, i.e. intelligent automotive lightings and displays.","Electrical-photo-thermal modeling; Junction temperature; Light-emitting diode; Luminous flux; Multiple-chip array; Thermal coupling","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:0d2333eb-1a2a-4810-a6ff-2c3f1997b2f6","http://resolver.tudelft.nl/uuid:0d2333eb-1a2a-4810-a6ff-2c3f1997b2f6","Enhancing colloid stability of polymer microspheres in water through SiO2 coating: Effects of coating cycles and surface coverage","Kamphorst, R. (TU Delft ChemE/Product and Process Engineering); Wanjari, Pratyush (Student TU Delft); Saedy, S. (TU Delft ChemE/Product and Process Engineering); van Dam, Julia F.K. (Student TU Delft); Thijssen, A. (TU Delft Microlab); Brüner, Philipp (ION-TOF, Munster); Grehl, Thomas (ION-TOF, Munster); Meesters, G.M.H. (TU Delft ChemE/Product and Process Engineering); van Ommen, J.R. (TU Delft ChemE/Product and Process Engineering)","","2024","In this study, we investigated the wettability and agglomeration characteristics of polymer microspheres coated with low-temperature deposited SiO2 in a fluidized bed atomic layer deposition (ALD) setup. Surface characterization revealed the presence of a significant amount of deposited Si-OH groups within the first cycles. A drastic decrease in agglomerate size, water contact angle (WCA), and droplet absorption time of the powder was observed when coating was applied. Furthermore, we observed an increase in the amount of Si-OH present on the particle surface with increasing coating cycles, while no significant improvement in water affinity was found after the first coating cycles. Our findings suggest that surface coverage is the primary factor in improving the colloid stability of particles, coated at low temperatures. The low temperature operation of our system introduced a chemical vapor deposition (CVD) component to our coating process, which allowed full surface coverage to be achieved within the first two coating cycles.","Agglomeration; Atomic layer deposition; Chemical vapor deposition; Surface functionalization; Wettability","en","journal article","","","","","","","","","","","ChemE/Product and Process Engineering","","",""
"uuid:7ab42167-5443-44e5-9d78-df162057e571","http://resolver.tudelft.nl/uuid:7ab42167-5443-44e5-9d78-df162057e571","Precursor- and waste-free synthesis of spark-ablated nanoparticles with enhanced photocatalytic activity and stability towards airborne organic pollutant degradation","Drdova, Sarka (ETH Zürich; Swiss Federal Laboratories for Materials Science and Technology (Empa)); Gao, Min (ETH Zürich; Swiss Federal Laboratories for Materials Science and Technology (Empa)); Sambalova, Olga (Swiss Federal Laboratories for Materials Science and Technology (Empa)); Pauer, Robin (Swiss Federal Laboratories for Materials Science and Technology (Empa)); Zhou, Zhouping (Student TU Delft); Dimitriadou, Sofia (VSPARTICLE); Schmidt-Ott, A. (TU Delft ChemE/Materials for Energy Conversion and Storage; VSPARTICLE); Wang, J. (ETH Zürich; Swiss Federal Laboratories for Materials Science and Technology (Empa))","","2024","Photocatalyst synthesis typically involves multiple steps, expensive precursors, and solvents. In contrast, spark ablation offers a simple process of electrical discharges in a gap between two electrodes made from a desirable material. This enables a precursor- and waste-free generation of pure metal oxide nanoparticles or mixtures of various compositions. This study presents a two-step method for the production of photocatalytic filters with deposited airborne MnOx, TiO2, and ZnO nanoparticles using spark ablation and calcination processes. The resulting MnOx and TiO2 filters demonstrated almost twice the activity with outstanding performance stability, as compared to sol-gel MnO2 and commercial TiO2. The introduced method is not only simple, precursor- and waste-free, and leads to superior performance for the case studied, but it also has future potential due to its versatility. It can easily produce mixed and doped materials with further improved properties, making it an interesting avenue for future research.","","en","journal article","","","","","","","","","","","ChemE/Materials for Energy Conversion and Storage","","",""
"uuid:23b9219a-5b46-41b0-83de-021e07ada898","http://resolver.tudelft.nl/uuid:23b9219a-5b46-41b0-83de-021e07ada898","Hamiltonian phase error in resonantly driven CNOT gate above the fault-tolerant threshold","Wu, Yi Hsien (National Taiwan University; RIKEN); Camenzind, Leon C. (RIKEN); Noiri, Akito (RIKEN); Takeda, Kenta (RIKEN); Nakajima, Takashi (RIKEN); Kobayashi, Takashi (RIKEN); Chang, Chien Yuan (RIKEN); Sammak, A. (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre); Scappucci, G. (TU Delft QCD/Scappucci Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Tarucha, Seigo (RIKEN)","","2024","Because of their long coherence time and compatibility with industrial foundry processes, electron spin qubits are a promising platform for scalable quantum processors. A full-fledged quantum computer will need quantum error correction, which requires high-fidelity quantum gates. Analyzing and mitigating gate errors are useful to improve gate fidelity. Here, we demonstrate a simple yet reliable calibration procedure for a high-fidelity controlled-rotation gate in an exchange-always-on Silicon quantum processor, allowing operation above the fault-tolerance threshold of quantum error correction. We find that the fidelity of our uncalibrated controlled-rotation gate is limited by coherent errors in the form of controlled phases and present a method to measure and correct these phase errors. We then verify the improvement in our gate fidelities by randomized benchmark and gate-set tomography protocols. Finally, we use our phase correction protocol to implement a virtual, high-fidelity, controlled-phase gate.","","en","journal article","","","","","","Funding Information: Y.H.W. acknowledges useful discussions with C. Chiang. This work was supported financially by Core Research for Evolutional Science and Technology (CREST), Japan Science and Technology Agency (JST) (JPMJCR1675), MEXT Quantum Leap Flagship Program (MEXT Q-LEAP) grant numbers JPMXS0118069228, JST Moonshot R&D grant number JPMJMS226B-1, and JSPS KAKENHI grant numbers 18H01819 and 20H00237. T.N. acknowledges support from JST PRESTO grant number JPMJPR2017. L.C.C. acknowledges support from a Swiss NSF mobility fellowship (P2BSP2_200127). A.N. acknowledges support from JST PRESTO grant number JPMJPR23F8. Y.H.W. acknowledges support from RIKEN’s IPA program and National Taiwan University Higher Education SPROUT Project Research Promotion Program for Direct-Entry Doctoral Degree Program Students (L4100). H.-S.G. acknowledges support from the National Science and Technology Council (NSTC), Taiwan, under Grants No. NSTC 112-2119-M-002 -014, No. NSTC 111-2119-M-002-007, No. NSTC 111-2119-M-002-006-MY3, No. NSTC 111-2627-M-002-001, and No. NSTC 111-2622-8-002-001, and from the National Taiwan University under Grants No. NTU-CC-111L894604, and No. NTU-CC-112L893404. H.-S.G. is grateful for the support from the Physics Division, National Center for Theoretical Sciences, Taiwan. Publisher Copyright: © 2024, The Author(s).","","","","","BUS/TNO STAFF","","",""
"uuid:95ef7374-1908-4ebd-81fe-c042d7f3b2d3","http://resolver.tudelft.nl/uuid:95ef7374-1908-4ebd-81fe-c042d7f3b2d3","Eddy-Induced Dispersion of Sea Ice Floes at the Marginal Ice Zone","Gupta, M. (TU Delft Physical and Space Geodesy; California Institute of Technology); Gürcan, Emma (California Institute of Technology); Thompson, Andrew F. (California Institute of Technology)","","2024","Ocean heat exchanges at the marginal ice zone (MIZ) play an important role in melting sea ice. Mixed-layer eddies transport heat and ice floes across the MIZ, facilitating the pack's access to warm waters. This study explores these frontal dynamics using disk-shaped floes coupled to an upper-ocean model simulating the sea ice edge. Numerical experiments reveal that small floes respond more strongly to fine-scale ocean currents, which favors higher dispersion rates and weakens sea ice drag onto the underlying ocean. Floes with radii smaller than resolved turbulent filaments (∼2–4 km) result in a wider and more energetic MIZ, by a factor of 70% each, compared to larger floes. We hypothesize that this floe size dependency may affect sea ice break-up by controlling oceanic energy propagation into the MIZ and modulate the sea ice pack's melt rate by regulating lateral heat transport toward the sea ice cover.","eddies; heat transport; oceanography; polar climate; sea ice; submesoscale","en","journal article","","","","","","","","","","","Physical and Space Geodesy","","",""
"uuid:d4c2f44f-2429-4c7a-835b-9792e58541e2","http://resolver.tudelft.nl/uuid:d4c2f44f-2429-4c7a-835b-9792e58541e2","Evaluating real-world emissions from in-use buses and taxis using on-road remote sensing","Middela, Mounisai Siddartha (Trinity College Dublin); Mahesh, S. (TU Delft Transport and Planning); McNabola, Aonghus (Trinity College Dublin); Smith, William (University College Dublin); Timoney, David (University College Dublin); Ekhtiari, Ali (University College Dublin); Fowler, Ben (Ricardo Energy and Environment); Willis, Paul (Ricardo Energy and Environment); Rose, Rebecca (Ricardo Energy and Environment)","","2024","Assessing real-world emissions from buses and taxis is vital to comprehend their impact on urban air quality. Such vehicles differ significantly from the majority of the fleet owing to their higher mileage rates. However, few studies have focused on specifically assessing the emissions from this segment of the vehicle fleet. In this context, this study evaluated the real-world emissions of nitrogen oxides (NOx) from in-use buses and taxis in Dublin, Ireland, using crossroad remote sensing technology. The remote sensing system was deployed at strategic locations throughout the city to capture on-road emissions from passing vehicles. The collected data included vehicle related information such as emission standard, make, and mileage, and pollutants including NOx. Based on this data, analysis was aimed to understand the impact of Euro emission standard, ambient temperature, mileage, and make of the vehicle on NOx emissions. The results reveal that the average emissions from taxis reduce by 37% from Euro 5 to Euro 6b, and average emissions from Euro 6 buses are 87% lower compared to Euro 5. The trends in emission factors (EFs) of buses and taxis were similar during summer and winter sampling. Moreover, on comparing the emissions from the top five taxi manufacturers, different trends in the emission factors were observed. Finally, the study found that the effect of vehicle mileage on emissions was unclear for both buses and taxis. In any case, these findings provide valuable insights into the real-world emission performance of the existing fleet of buses and taxis in Dublin and highlight the need for targeted measures to reduce emissions from these vehicles. The results can assist policymakers and urban planners in formulating evidence-based strategies to improve air quality in Dublin and other cities facing similar challenges.","Buses; Euro-6 regulation; In-use surveillance; On-road vehicle emissions; Remote sensing; Taxis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-08","","","Transport and Planning","","",""
"uuid:e0759412-1437-4585-8227-5a2c1ae92981","http://resolver.tudelft.nl/uuid:e0759412-1437-4585-8227-5a2c1ae92981","Modelling and analysis of the horizontal configuration of tidal fences in barrages","Verbeek, Merel C. (TU Delft Environmental Fluid Mechanics); Talstra, H. (Svašek Hydraulics); Labeur, R.J. (TU Delft Environmental Fluid Mechanics); Uijttewaal, W.S.J. (TU Delft Environmental Fluid Mechanics)","","2024","Tidal stream turbines are becoming an affordable option for harvesting sustainable energy in coastal areas. They can be retrofitted in barrages, providing an integral solution for flood protection and emission-free power generation, within environmental constraints. To optimize the turbine-barrage configuration with respect to these objectives, simulation tools are needed to predict the efficiency of the turbines as well as their impact on the adjacent tidal system. These tools should be based on an accurate representation of the underlying flow processes, which cover a wide range of spatial scales — from meters at the barrage and turbines to tenths of kilometers in the tidal basin. This article presents the development of such a tool by linking an analytical model for turbine fences in barrage gates to a regional flow model. The turbine model is validated with experimental data, and data from a thoroughly monitored tidal energy pilot project. Simulations reveal how clustering the turbines in small arrays can increase their efficiency, owing to array blockage effects, with only little effect on the tidal exchange. We also demonstrate the potential of using turbine fences to manipulate the tidal jet, issued from the barrage, with benefits for coastal — and wildlife protection in the basin. The presented research helps understanding how turbine fences in barrages can be configured with high energy yield and calculated impact to the environment.","Modelling; Sub-grid calculation; Tidal barrage; Tidal basin hydrodynamics; Tidal fences","en","journal article","","","","","","","","","","","Environmental Fluid Mechanics","","",""
"uuid:eb877982-c12d-4b22-9695-bf253a03febd","http://resolver.tudelft.nl/uuid:eb877982-c12d-4b22-9695-bf253a03febd","Calculating Thermodynamic Factors for Diffusion Using the Continuous Fractional Component Monte Carlo Method","Hulikal Chakrapani, T. (TU Delft Reservoir Engineering); Hajibeygi, H. (TU Delft Reservoir Engineering); Moultos, O. (TU Delft Engineering Thermodynamics); Vlugt, T.J.H. (TU Delft Engineering Thermodynamics)","","2024","Thermodynamic factors for diffusion connect the Fick and Maxwell-Stefan diffusion coefficients used to quantify mass transfer. Activity coefficient models or equations of state can be fitted to experimental or simulation data, from which thermodynamic factors can be obtained by differentiation. The accuracy of thermodynamic factors determined using indirect routes is dictated by the specific choice of an activity coefficient model or an equation of state. The Permuted Widom’s Test Particle Insertion (PWTPI) method developed by Balaji et al. enables direct determination of thermodynamic factors in binary and multicomponent systems. For highly dense systems, for example, typical liquids, it is well known that molecular test insertion methods fail. In this article, we use the Continuous Fractional Component Monte Carlo (CFCMC) method to directly calculate thermodynamic factors by adopting the PWTPI method. The CFCMC method uses fractional molecules whose interactions with their surrounding molecules are modulated by a coupling parameter. Even in highly dense systems, the CFCMC method efficiently handles molecule insertions and removals, overcoming the limitations of the PWTPI method. We show excellent agreement between the results of the PWTPI and CFCMC methods for the calculation of thermodynamic factors in binary systems of Lennard-Jones molecules and ternary systems of Weeks-Chandler-Andersen molecules. The CFCMC method applied to calculate the thermodynamic factors of realistic molecular systems consisting of binary mixtures of carbon dioxide and hydrogen agrees well with the NIST REFPROP database. Our study highlights the effectiveness of the CFCMC method in determining thermodynamic factors for diffusion, even in densely packed systems, using relatively small numbers of molecules.","","en","journal article","","","","","","","","","","","Reservoir Engineering","","",""
"uuid:cd712934-9c98-42eb-b7a7-cd5f57273857","http://resolver.tudelft.nl/uuid:cd712934-9c98-42eb-b7a7-cd5f57273857","A 3D time-dependent backward erosion piping model","Pol, J.C. (TU Delft Hydraulic Structures and Flood Risk; HKV); Noordam, Aron (Deltares); Kanning, W. (TU Delft Hydraulic Structures and Flood Risk; Deltares)","","2024","Backward erosion piping (BEP) is a failure mechanism of hydraulic structures like dams and levees on cohesionless foundations subjected to seepage flows. This article models the time-dependent development of BEP using numerical simulation of the erosion process. A 3-dimensional finite element equilibrium BEP model is extended with a formulation for the sediment transport rate. The model is compared to and calibrated with small- and large-scale experiments. Finally, a large set of simulations is analyzed to study the effects of factors such as grain size, scale (seepage length) and overloading on the rate of pipe progression. The results show that the development of BEP in the small-scale experiments is predicted well. Challenges remain for the prediction at larger scales, as calibration and validation is hard due to limited large-scale experiments with sufficiently accurate measurements. The results show that the progression rate increases with grain size and degree of overloading and decreases with seepage length, which is consistent with experimental observations. The model results provide a better physical basis for incorporating time-dependent development in the risk assessment and design of levees.","Backward erosion piping; Finite elements; Internal erosion; Sediment transport","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:12eefbd7-466e-456e-b89c-fc0781971e53","http://resolver.tudelft.nl/uuid:12eefbd7-466e-456e-b89c-fc0781971e53","Shock-wave/turbulent boundary-layer interaction with a flexible panel","Laguarda, L. (TU Delft Aerodynamics); Hickel, S. (TU Delft Aerodynamics); Schrijer, F.F.J. (TU Delft Aerodynamics); van Oudheusden, B.W. (TU Delft Aerodynamics)","","2024","The dynamic coupling between a Mach 2.0 shock-wave/turbulent boundary-layer interaction (STBLI) and a flexible panel is investigated. Wall-resolved large-eddy simulations are performed for a baseline interaction over a flat-rigid wall, a coupled interaction with a flexible panel, and a third interaction over a rigid surface that is shaped according to the mean panel deflection of the coupled case. Results show that the flexible panel exhibits self-sustained oscillatory behavior over a broad frequency range, confirming the strong and complex fluid-structure interaction (FSI). The first three bending modes of the panel oscillation are found to contribute most to the unsteady panel response, at frequencies in close agreement with natural frequencies of the mean deformed panel rather than those for the unloaded flat panel. This highlights the importance of the mean panel deformation and the corresponding stiffening in the FSI dynamics. The time-averaged flow shows an enlarged reverse-flow region in the presence of mean surface deformations. The separation-shock unsteadiness is enhanced due to the panel motion, leading to higher wall-pressure fluctuations in the coupled interaction. Spectral analysis of the separation-shock location and bubble-volume signals shows that the STBLI flow strongly couples with the first bending mode of the panel oscillation. This is further confirmed by dynamic mode decomposition of the flow and displacement data, which reveals variations in the reverse-flow region that follow the panel bending motion and appear to drive the separation-shock unsteadiness. Low-frequency modes that are not associated with the fluid-structure coupling, in turn, are qualitatively similar to those obtained for the rigid-wall interactions, indicating that the characteristic low-frequency unsteadiness of STBLI coexists with the dynamics emerging from the fluid-structure coupling. Based on the present results, unsteady FSIs involving STBLIs and flexible panels are likely to accentuate rather than mitigate the undesirable features of STBLIs.","","en","journal article","","","","","","","","","","","Aerodynamics","","",""
"uuid:da798ae5-12dc-482c-93e0-bee795b5ac77","http://resolver.tudelft.nl/uuid:da798ae5-12dc-482c-93e0-bee795b5ac77","Inhibitory effects of long chain fatty acids on anaerobic sludge treatment: Biomass adaptation and microbial community assessment","Szabo Corbacho, M. (TU Delft Sanitary Engineering; IHE Delft Institute for Water Education; Laboratorio Tecnológico del Uruguay; Technological University of Uruguay); Sharma, Pragnya (IHE Delft Institute for Water Education); Míguez, Diana (Laboratorio Tecnológico del Uruguay); de la Sovera, Victoria (Ministry of Education); Brdjanovic, Damir (TU Delft BT/Environmental Biotechnology; IHE Delft Institute for Water Education); Etchebehere, Claudia (Ministry of Education); Garcia, H. (IHE Delft Institute for Water Education; Technological University of Uruguay); van Lier, J.B. (TU Delft Sanitary Engineering; IHE Delft Institute for Water Education)","","2024","The study investigated the effects of long-chain fatty acids (LCFA) on anaerobic sludge treating lipid-rich wastewater. It involved batch experiments with three sludge samples: two acclimated to lipids and one non-acclimated. The experiments aimed to observe the degradation of LCFA, specifically oleate and palmitate, by dosing them at concentrations ranging from 50 to 600 mg/L. Measurements of the cumulative methane production and the LCFA concentration, quantified as fat, oil, and grease (FOG) were performed. To ensure the sludge was free from other biodegradable substrates, part of the samples was pre-incubated without feed. The tests were conducted with both pre-incubated and non-incubated inoculum sludge. The findings revealed that oleate was degraded more efficiently than palmitate across all sludge samples, with a greater conversion rate to methane. Sludge samples acclimated to lipids showed a superior capacity to degrade LCFA compared to non-acclimated ones. It was noted that at concentrations above 400 mg/L, the conversion of LCFAs to intermediate compounds was inhibited, although this did not affect the subsequent methane production. The study concludes with a recommendation for sludge adaptation strategies to boost the efficiency of anaerobic wastewater treatment systems dealing with lipid-rich waste. The presence of LCFA-degrading bacteria families like Kosmotogaceae, Petrotogaceae, and Synergistaceae in the acclimated sludge samples underscores the adaptation and potential for improved degradation performance.","Anaerobic digestion; Lipids; Microbial community analysis; Sludge acclimation","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:e75d53c4-0252-4890-aae5-052e378e6da7","http://resolver.tudelft.nl/uuid:e75d53c4-0252-4890-aae5-052e378e6da7","Predicting marine and aeolian contributions to the Sand Engine's evolution using coupled modelling","van Westen, B. (TU Delft Hydraulic Engineering; Deltares); Luijendijk, Arjen (TU Delft Coastal Engineering; Deltares); de Vries, S. (TU Delft Coastal Engineering); Cohn, Nicholas (U.S. Army Engineer Research and Development Center); Leijnse, Tim W.B. (Deltares; Vrije Universiteit Amsterdam); de Schipper, M.A. (TU Delft Coastal Engineering)","","2024","Quantitative predictions of marine and aeolian sediment transport in the nearshore–beach–dune system are important for designing Nature-Based Solutions (NBS) in coastal environments. To quantify the impact of the marine-aeolian interactions on shaping NBS, we present a framework coupling three existing process-based models: Delft3D Flexible Mesh, SWAN and AeoLiS. This framework facilitates the continuous exchange of bed levels, water levels and wave properties between numerical models focussing on the aeolian and marine domain. The coupled model is used to simulate the morphodynamic evolution of the Sand Engine mega-nourishment. Results display good agreement with the observed aeolian and marine volumetric developments, showing similar marine-driven erosion from the main peninsula and aeolian-driven infilling of the dune lake. To estimate the magnitude of the interactions between aeolian and marine processes, a comparison between the simulated morphological development by the coupled and stand-alone models was made. This comparison shows that aeolian sediment transport to the foredune, i.e. 214,000 m3 over 5 years, extracts sediment from the marine domain. As a result, the alongshore redistribution of sediment from the main peninsula by marine-driven processes decreased by 70,000 m3, representing 1.7% of the total marine-driven dispersion. From the aeolian perspective, marine-driven deposition and erosion reshape the cross-shore profile, controlling the supply-limited aeolian sediment transport and the magnitude of sediment deposition in the foredunes. In the region with persistent accretion along the Sand Engine's southern flank, a higher than average foredune deposition was predicted due to morphological development of the region where sediment is picked up by aeolian transport. Including these marine processes in the coupled model resulted in an increase of 1.3% in foredune growth in year 1 and up to 6.7% in year 5 along this accretive section. At the northern flank, where the developing lagoon and tidal channel provided increased shelter to the supratidal beach, predicted foredune deposition reduced up to −11.5% over the evaluation period. Our findings show that both aeolian and marine transports impact reshaping the nourished sand, where developments in one domain affect the other. The study findings echo that the interplay between aeolian- and marine-driven morphodynamics could play a relevant role when predicting sandy NBS.","AeoLiS; Coupled modelling; Delft3D Flexible Mesh; Mega nourishment; Morphodynamics; Numerical modelling","en","journal article","","","","","","","","","","Hydraulic Engineering","Coastal Engineering","","",""
"uuid:72c4f3e2-a859-4c4d-9253-b32240e7de67","http://resolver.tudelft.nl/uuid:72c4f3e2-a859-4c4d-9253-b32240e7de67","Machine learning assisted discovery of high-efficiency self-healing epoxy coating for corrosion protection","Liu, Tong (University of Science and Technology Beijing; Shenyang University of Chemical Technology); Chen, Zhuoyao (University of Science and Technology Beijing); Yang, Jingzhi (University of Science and Technology Beijing); Ma, Lingwei (University of Science and Technology Beijing; Liaoning Academy of Materials); Mol, J.M.C. (TU Delft Team Arjan Mol); Zhang, Dawei (University of Science and Technology Beijing; Liaoning Academy of Materials)","","2024","Machine learning is a powerful means for the rapid development of high-performance functional materials. In this study, we presented a machine learning workflow for predicting the corrosion resistance of a self-healing epoxy coating containing ZIF-8@Ca microfillers. The orthogonal Latin square method was used to investigate the effects of the molecular weight of the polyetheramine curing agent, molar ratio of polyetheramine to epoxy, molar content of the hydrogen bond unit (UPy-D400), and mass content of the solid microfillers (ZIF-8@Ca microfillers) on the low impedance modulus (lg|Z|0.01Hz) values of the scratched coatings, generating 32 initial datasets. The machine learning workflow was divided into two stages: In stage I, five models were compared and the random forest (RF) model was selected for the active learning. After 5 cycles of active learning, the RF model achieved good prediction accuracy: coefficient of determination (R 2) = 0.709, mean absolute percentage error (MAPE) = 0.081, root mean square error (RMSE) = 0.685 (lg(Ω·cm2)). In stage II, the best coating formulation was identified by Bayesian optimization. Finally, the electrochemical impedance spectroscopy (EIS) results showed that compared with the intact coating ((4.63 ± 2.08) × 1011 Ω·cm2), the |Z|0.01Hz value of the repaired coating was as high as (4.40 ± 2.04) × 1011 Ω·cm2. Besides, the repaired coating showed minimal corrosion and 3.3% of adhesion loss after 60 days of neutral salt spray testing.","","en","journal article","","","","","","","","","","","Team Arjan Mol","","",""
"uuid:8261d3bc-950d-4af3-abe5-f17220d6e061","http://resolver.tudelft.nl/uuid:8261d3bc-950d-4af3-abe5-f17220d6e061","Model Predictive Control in buildings with thermal and visual comfort constraints","Khosravi, M. (TU Delft Team Khosravi); Huber, Benjamin (Swiss Federal Laboratories for Materials Science and Technology (Empa)); Decoussemaeker, Antoon (Swiss Federal Laboratories for Materials Science and Technology (Empa); ETH Zürich); Heer, Philipp (Swiss Federal Laboratories for Materials Science and Technology (Empa)); Smith, Roy S. (ETH Zürich)","","2024","Model Predictive Control can cope with conflicting control objectives in building energy managements. In terms of user satisfaction, visual comfort has been proven in several studies to be a crucial factor, however thermal comfort is typically considered the only important aspect. Besides human well-being, visual comfort strongly impacts the productivity of the occupants in offices. Therefore, from an economic point of view, it is essential to include visual comfort in Model Predictive Control for buildings. In this paper semi-linear support vector machine is applied to learn suitable models for visual comfort measured by Daylight Glare Probability. The resulting model is incorporated into a Model Predictive Control framework, together with an autoregressive exogenous model accounting for the thermal dynamics of the building. The approach is validated through an extensive numerical case study, and the benefits of including visual comfort and blind control in the Model Predictive Control problem are evaluated. We observe that the proposed Model Predictive Control scheme ensures both the thermal and visual comfort constraints at the expense of 2.2% to 7.2% higher energy consumption compared to the benchmark Model Predictive Control configuration, which considers only the thermal comfort constraints.","Building energy; Data predictive control; Daylight glare probability; Model predictive control; Thermal comfort; Visual comfort","en","journal article","","","","","","","","","","","Team Khosravi","","",""
"uuid:f2dbfa35-2dc2-42bd-8213-2b52907d311a","http://resolver.tudelft.nl/uuid:f2dbfa35-2dc2-42bd-8213-2b52907d311a","Liquid-Liquid-Gas Triphasic Hydrogenation of Bicarbonate to Formate in a Continuous Flow Tubular Reactor","Afreen, G. (TU Delft ChemE/Catalysis Engineering); Bansode, Atul (TU Delft ChemE/Catalysis Engineering); Wada, Kazuhito (Nitto Denko Corporation); Hirano, Makoto (Nitto Denko Corporation); Matsuda, Hirokazu (Nitto Denko Corporation); Urakawa, A. (TU Delft ChemE/Catalysis Engineering)","","2024","Multiphasic reaction of bicarbonate hydrogenation to form formate using homogeneous Ru PNP pincer catalyst in a continuous flow tubular reactor is reported. The reaction system consists of three phases. Catalyst is dissolved in toluene while potassium bicarbonate is dissolved in water. The significance of efficient mixing among the organic phase, aqueous phase and gaseous hydrogen to improve hydrogenation reaction by using different inert packing materials is studied by operando visualization and also quantitatively discussed. The bicarbonate conversion of up to 67% is achieved after optimization of important reaction and reactor parameters. The designed reactor setup comprised of effective recycling system that recycles the catalyst with >99% activity.","CO hydrogenation; Formic acid; Homogeneous catalyst; Packed-bed reactor; Triphasic reaction","en","journal article","","","","","","","","","","","ChemE/Catalysis Engineering","","",""
"uuid:8532d5c0-316e-48a0-b8eb-9e7bce2cf2d2","http://resolver.tudelft.nl/uuid:8532d5c0-316e-48a0-b8eb-9e7bce2cf2d2","Electrogenic sulfur oxidation by cable bacteria in two seasonally hypoxic coastal systems","Burdorf, Laurine D.W. (Universiteit Antwerpen); Cook, Perran L.M. (Monash University); Robertson, Elizabeth K. (University of Gothenburg; University of Southern Denmark); Tramper, Anton (NIOZ Royal Netherlands Institute for Sea Research); Hidalgo-Martinez, Silvia (Universiteit Antwerpen); Vasquez-Cardenas, Diana (Universiteit Antwerpen); Malkin, Sairah Y. (University of Maryland Center for Environmental Science); Meysman, F.J.R. (TU Delft BT/Environmental Biotechnology; Universiteit Antwerpen)","","2024","Cable bacteria can reach high densities in coastal sediments, and as a result of their unusual electrogenic lifestyle and intense metabolic activity, exert a major and distinct impact on biogeochemical cycling, both locally in sediments and at the ecosystem level. This appears to be particularly true for seasonally hypoxic systems, but the driving force behind the proliferation of cable bacteria in these systems is not well understood. Moreover, the metabolism of cable bacteria induces strong acid production, which can be buffered through carbonate dissolution in sediments. A strong depletion of alkalinity in the pore water is therefore expected in carbonate-poor sediments. To evaluate the impact of cable bacteria metabolism on sediment geochemistry, we performed field sampling and laboratory sediment incubations in two seasonally hypoxic sites: one carbonate-poor site with low levels of free sulfide in pore water (Yarra Estuary, Australia) and one carbonate-rich site with high free sulfide (Lake Grevelingen, The Netherlands). Active cable bacteria populations were found in both field locations, with higher abundance and activity observed in spring compared to autumn. The sediment incubations tracked the metabolic activity of cable bacteria over time (maximum 84 days), and confirmed the fast development of an electric network (cell doubling time: ∼19 h). These results suggest that cable bacteria are widespread in seasonally hypoxic systems, supporting previous findings. Cable bacteria acidified the sediment by > 1.5 pH units in 6–13 days (differing per site) and their activity accounted for >70% of the oxygen uptake. A clear subsurface accumulation of Fe2+ was observed after 8 days of Yarra sediment incubations, indicative of increased FeS dissolution as e-SOx developed. The increased availability of sulfide from FeS dissolution promotes a positive-feedback loop that we infer allowed for a faster development of cable bacteria in the carbonate-poor sediments. A depletion of total alkalinity was observed in the deeper Yarra sediment, whereas, a higher alkalinity efflux was previously observed in the carbonate-rich sediments from Lake Grevelingen. These results suggest a differential pH and alkalinity dynamic due to the interaction between the local carbonate content of the sediment and cable bacteria activity.","Alkalinity; Aquatic biogeochemistry; Cable bacteria; Iron cycling; Long-distance electron transport; Sediment buffering capacity","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-03","","","BT/Environmental Biotechnology","","",""
"uuid:e7444ac1-5c3b-4901-a940-986f3962debb","http://resolver.tudelft.nl/uuid:e7444ac1-5c3b-4901-a940-986f3962debb","A study on siting of emergency shelters for dam failure floods considering population distribution and weather effects","Jiao, Yutie (Zhengzhou University); Li, Zongkun (Zhengzhou University); Ge, Wei (Zhengzhou University; Yellow River Engineering Consulting Co); Jing, Laihong (Yellow River Engineering Consulting Co); Wu, Meimei (Henan University of Technology, Zhengzhou); Wang, Te (Zhengzhou University); Sun, Heqiang (Zhengzhou University); Wang, Jianyou (Zhengzhou University); Zhang, Xiangyang (Zhengzhou University); van Gelder, P.H.A.J.M. (TU Delft Safety and Security Science)","","2024","In recent years, dam failures have occurred frequently because of extreme weather, posing a significant threat to downstream residents. The establishment of emergency shelters is crucial for reducing casualties. The selection of suitable shelters depends on key information such as the number and distribution of affected people, and the effective capacity and accessibility of the shelters. However, previous studies on siting shelters did not fully consider population distribution differences at a finer scale. This limitation hinders the accuracy of estimating the number of affected people. In addition, most studies ignored the impact of extreme rainfall on the effective capacity and accessibility of shelters, leading to a low applicability of the shelter selection results. Therefore, in this study, land-use and land-cover change (LUCC) and nighttime lighting data were used to simulate population distribution and determine the number and distribution of affected people. Qualified candidate shelters were obtained based on screening criteria, and their effective capacity and accessibility information under different weather conditions were quantified. Considering factors such as population transfer efficiency, construction cost and shelter capacity constraints, a multi-objective siting model was established and solved using the non-dominated sorting genetic algorithm II (NSGA- II) to obtain the final siting scheme. The method was applied to the Dafangying Reservoir, and the results showed the following: (1) The overall mean relative error (MRE) of the population in the 35 downstream streets was 11.16 %, with good fitting accuracy. The simulation results truly reflect the population distribution. (2) Normal weather screening generated 352 qualified candidate shelters, whereas extreme rainfall weather screening generated 266 candidate shelters. (3) Based on the population distribution and weather factors, four scenarios were set up, with 63, 106, 73, and 131 shelters selected. These two factors have a significant impact on the selection of shelters and the allocation of evacuees, and should be considered in the event of a dam-failure floods.","Dam-failure floods; Emergency transfer; Population distribution; Shelters selection; Weather factors","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-05","","","Safety and Security Science","","",""
"uuid:974e9c7f-2187-435c-a287-8dd52dc316a1","http://resolver.tudelft.nl/uuid:974e9c7f-2187-435c-a287-8dd52dc316a1","Variability of Middle East springtime dust events between 2011 and 2022","Broomandi, Parya (Nazarbayev University); Galán-Madruga, David (Instituto de Salud Carlos III); Satyanaga, Alfrendo (Nazarbayev University); Hamidi, Mehdi (Babol Noshirvani University of Technology); Ledari, Dorna Gholamzade (University of Tehran); Fathian, Aram (Rheinisch-Westfälische Technische Hochschule; University of Calgary; Research Institute for Earth Sciences); Sarvestan, Rasoul (Hakim Sabzevari University); Janatian Ghadikolaei, N. (TU Delft Geo-engineering; Tallinn University of Technology); Jahanbakhshi, Ali (Loughborough University)","","2024","The Middle East frontal sand and dust storms (SDS) occur in non-summer seasons, and represent an important phenomenon of this region’s climate. Among the mentioned type, spring SDS are the most common. Trend analysis was used in the current study to investigate the spatial-temporal variability of springtime dust events in the Middle East using synoptic station observation from 2011 to 2022. The plausible changes in some controlling factors of dust activity at selected important dust sources in the Middle East were also studied during this time period. Our results showed a statistically significant spike in springtime dust events across the Middle East, particularly in May 2022. To evaluate the relative importance of controlling factors, the applied feature of importance analysis using random forest (RF) showed the higher relative importance of topsoil layer wetness, surface soil temperature, and surface wind speed in dust activity over the Middle East between 2011 and 2022. Long-term trend analysis of topsoil moisture and temperature, using the Mann-Kendall trend test, showed a decrease in soil moisture and an increase in soil temperature in some selected important dust sources in the Middle East. Moreover, our predictions using ARIMA models showed a high tendency to dust activities in selected major dust origins (domain 2 and domain 5) with a statistically significant increase (p-value < 0.05) between 2023 and 2029. Observed spatial and temporal changes within SDS hotspots can act as the first step to build up for the first time an SDS precise intensity scale, as well as establishing an SDS early warning system in future.","ARIMA model; Drought; Mann-Kendall trend test; Sand and dust storms; Soil moisture; Soil temperature; the Middle East","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-27","","","Geo-engineering","","",""
"uuid:77c530c0-d30e-4ea3-b3af-ac0d7f31171a","http://resolver.tudelft.nl/uuid:77c530c0-d30e-4ea3-b3af-ac0d7f31171a","Revisiting the Past: A comparative study for semantic segmentation of historical images of Adelaide Island using U-nets","Dahle, F. (TU Delft Physical and Space Geodesy); Lindenbergh, R.C. (TU Delft Optical and Laser Remote Sensing); Wouters, B. (TU Delft Physical and Space Geodesy)","","2024","The TriMetrogon Aerial (TMA) archive is an archive of historical images of Antarctica taken by the US Navy between 1940 and 2000 with analogue cameras. The analysis of such historic data can give a view of Antarctica's glaciers predating modern satellite imagery and provide unique insights into the long-term impact of changing climate conditions with essential validation data for climate modelling. However, the lack of semantic information for these images presents a challenge for large-scale computer-driven analysis. Such information can be added to the data using semantic segmentation, but traditional algorithms fail on these scanned historical grayscale images, due to varying image quality, lack of colour information and artefacts in the images. To address this, we present a deep-learning-based U-net workflow. Our approach includes creating training data by pre-processing and labelling the raw images. Furthermore, different versions of the U-net are trained to optimize its hyperparameters and augmentation methods. With the optimal hyper-parameters and augmentation methods, a final model has been trained for a use-case to segment 118 images covering Adelaide Island. We tested our approach by segmenting challenging historical images using a U-net model with just 80 training images, achieving an accuracy of 73% for 20 validation images. While no test data is available for our use case, a visual examination of the segmented images shows that our method performs effectively. The comparison of the hyper-parameters and augmentation methods provides directions for training other U-net-based models so that the presented workflow can be used to segment other archives with historical imagery. Additionally, the labelled training data and the segmented images of the test are publicly available at https://github.com/fdahle/antarctic_segmentation.","","en","journal article","","","","","","","","","","","Physical and Space Geodesy","","",""
"uuid:8d0a9d4d-7064-406a-aa16-1f41863f7f26","http://resolver.tudelft.nl/uuid:8d0a9d4d-7064-406a-aa16-1f41863f7f26","Tuning Nanopores in Tubular Ceramic Nanofiltration Membranes with Atmospheric-Pressure Atomic Layer Deposition: Prospects for Pressure-Based In-Line Monitoring of Pore Narrowing","Nijboer, Michiel (University of Twente); Jan, A. (TU Delft Sanitary Engineering); Chen, M. (TU Delft ChemE/Product and Process Engineering; University of Twente); Batenburg, Kevin (University of Twente); Peper, Julia (University of Twente); Aarnink, Tom (University of Twente); Roozeboom, Fred (University of Twente); Kovalgin, Alexey (University of Twente); Nijmeijer, Arian (University of Twente); Luiten-Olieman, Mieke (University of Twente)","","2024","Atomic layer deposition (ALD) is known for its unparalleled control over layer thickness and 3D conformality and could be the future technique of choice to tailor the pore size of ceramic nanofiltration membranes. However, a major challenge in tuning and functionalizing a multichannel ceramic membrane is posed by its large internal pore volume, which needs to be evacuated during ALD cycling. This may require significant energy and processing time. This study presents a new reactor design, operating at atmospheric pressure, that is able to deposit thin layers in the pores of ceramic membranes. In this design, the reactor wall is formed by the industrial tubular ceramic membrane itself, and carrier gas flows are employed to transport the precursor and co-reactant vapors to the reactive surface groups present on the membrane surface. The layer growth for atmospheric-pressure ALD in this case proceeds similarly to that for state-of-the-art vacuum-based ALD. Moreover, for membrane preparation, this new reactor design has three advantages: (i) monolayers are deposited only at the outer pore mouths rather than in the entire bulk of the porous membrane substrate, resulting in reduced flow resistances for liquid permeation; (ii) an in-line gas permeation method was developed to follow the layer growth in the pores during the deposition process, allowing more precise control over the finished membrane; and (iii) expensive vacuum components and cleanroom environment are eliminated. This opens up a new avenue for ceramic membrane development with nano-scale precision using ALD at atmospheric pressure.","atmospheric-pressure atomic layer deposition (AP-ALD); in-line gas permeation test; molecular weight cut-off; permporometry; pore narrowing; titanium dioxide (TiO); tubular ceramic membranes","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:ddff4858-806d-49cf-907c-0a14ce6b23ef","http://resolver.tudelft.nl/uuid:ddff4858-806d-49cf-907c-0a14ce6b23ef","Flow affects the structural and mechanical properties of the fibrin network in plasma clots","Eyisoylu, H. (TU Delft BN/Gijsje Koenderink Lab; Erasmus MC; Kavli institute of nanoscience Delft); Hazekamp, Emma D. (Erasmus MC); Cruts, Janneke (Erasmus MC); Koenderink, G.H. (TU Delft BN/Gijsje Koenderink Lab; Kavli institute of nanoscience Delft); de Maat, Moniek P.M. (Erasmus MC)","","2024","The fibrin network is one of the main components of thrombi. Altered fibrin network properties are known to influence the development and progression of thrombotic disorders, at least partly through effects on the mechanical stability of fibrin. Most studies investigating the role of fibrin in thrombus properties prepare clots under static conditions, missing the influence of blood flow which is present in vivo. In this study, plasma clots in the presence and absence of flow were prepared inside a Chandler loop. Recitrated plasma from healthy donors were spun at 0 and 30 RPM. The clot structure was characterized using scanning electron microscopy and confocal microscopy and correlated with the stiffness measured by unconfined compression testing. We quantified fibrin fiber density, pore size, and fiber thickness and bulk stiffness at low and high strain values. Clots formed under flow had thinner fibrin fibers, smaller pores, and a denser fibrin network with higher stiffness values compared to clots formed in absence of flow. Our findings indicate that fluid flow is an essential factor to consider when developing physiologically relevant in vitro thrombus models used in researching thrombectomy outcomes or risk of embolization. Graphical Abstract: [Figure not available: see fulltext.].","","en","journal article","","","","","","","","","","","BN/Gijsje Koenderink Lab","","",""
"uuid:be422b2d-28a0-4205-836b-231ff3d8c850","http://resolver.tudelft.nl/uuid:be422b2d-28a0-4205-836b-231ff3d8c850","A Parametric 3D Model of Human Airways for Particle Drug Delivery and Deposition","Geronzi, Leonardo (University of Rome Tor Vergata; RBF Morph, Rome); Fanni, Benigno Marco (Bioengineering Unit, Massa); De Jong, Bart (ONE Simulations, Leiden); Roest, G.T.H. (Grep IT, Noordwijkerhout); Kenjeres, S. (TU Delft ChemE/Transport Phenomena); Celi, Simona (Bioengineering Unit, Massa); Biancolini, Marco Evangelos (University of Rome Tor Vergata; RBF Morph, Rome)","","2024","The treatment for asthma and chronic obstructive pulmonary disease relies on forced inhalation of drug particles. Their distribution is essential for maximizing the outcomes. Patient-specific computational fluid dynamics (CFD) simulations can be used to optimize these therapies. In this regard, this study focuses on creating a parametric model of the human respiratory tract from which synthetic anatomies for particle deposition analysis through CFD simulation could be derived. A baseline geometry up to the fourth generation of bronchioles was extracted from a CT dataset. Radial basis function (RBF) mesh morphing acting on a dedicated tree structure was used to modify this baseline mesh, extracting 1000 synthetic anatomies. A total of 26 geometrical parameters affecting branch lengths, angles, and diameters were controlled. Morphed models underwent CFD simulations to analyze airflow and particle dynamics. Mesh morphing was crucial in generating high-quality computational grids, with 96% of the synthetic database being immediately suitable for accurate CFD simulations. Variations in wall shear stress, particle accretion rate, and turbulent kinetic energy across different anatomies highlighted the impact of the anatomical shape on drug delivery and deposition. The study successfully demonstrates the potential of tree-structure-based RBF mesh morphing in generating parametric airways for drug delivery studies.","computational fluid dynamics; parametric airways modeling; particle deposition; RBF mesh morphing; respiratory diseases","en","journal article","","","","","","","","","","","ChemE/Transport Phenomena","","",""
"uuid:0c3a8768-12d8-4f6a-a634-720ffe1573ee","http://resolver.tudelft.nl/uuid:0c3a8768-12d8-4f6a-a634-720ffe1573ee","Buckling of a monolayer of platelike particles trapped at a fluid-fluid interface","Prakash, Suriya (TU Delft Complex Fluid Processing); Perrin, H.R.L. (TU Delft Complex Fluid Processing); Botto, L. (TU Delft Complex Fluid Processing)","","2024","Particles trapped at a fluid-fluid interface by capillary forces can form a monolayer that jams and buckles when subject to uniaxial compression. Here we investigate experimentally the buckling mechanics of monolayers of millimeter-sized rigid plates trapped at a planar fluid-fluid interface subject to uniaxial compression in a Langmuir trough. We quantified the buckling wavelength and the associated force on the trough barriers as a function of the degree of compression. To explain the observed buckling wavelength and forces in the two-dimensional (2D) monolayer, we consider a simplified system composed of a linear chain of platelike particles. The chain system enables us to build a theoretical model which is then compared to the 2D monolayer data. Both the experiments and analytical model show that the wavelength of buckling of a monolayer of platelike particles is of the order of the particle size, a different scaling from the one usually reported for monolayers of spheres. A simple model of buckling surface pressure is also proposed, and an analysis of the effect of the bending rigidity resulting from a small overlap between nanosheet particles is presented. These results can be applied to the modeling of the interfacial rheology and buckling dynamics of interfacial layers of 2D nanomaterials.","","en","journal article","","","","","","","","","","","Complex Fluid Processing","","",""
"uuid:abb32843-ff56-44e2-b09f-94e141317fd0","http://resolver.tudelft.nl/uuid:abb32843-ff56-44e2-b09f-94e141317fd0","Supercurrent mediated by helical edge modes in bilayer graphene","Rout, P.K. (TU Delft QRD/Goswami Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Papadopoulos, N. (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Peñaranda, Fernando (Instituto de Ciencia de Materiales de Madrid (ICMM)); Watanabe, Kenji (National Institute for Materials Science); Taniguchi, Takashi (National Institute for Materials Science); Prada, Elsa (Instituto de Ciencia de Materiales de Madrid (ICMM)); San-Jose, Pablo (Instituto de Ciencia de Materiales de Madrid (ICMM)); Goswami, S. (TU Delft QRD/Goswami Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft)","","2024","Bilayer graphene encapsulated in tungsten diselenide can host a weak topological phase with pairs of helical edge states. The electrical tunability of this phase makes it an ideal platform to investigate unique topological effects at zero magnetic field, such as topological superconductivity. Here we couple the helical edges of such a heterostructure to a superconductor. The inversion of the bulk gap accompanied by helical states near zero displacement field leads to the suppression of the critical current in a Josephson geometry. Using superconducting quantum interferometry we observe an even-odd effect in the Fraunhofer interference pattern within the inverted gap phase. We show theoretically that this effect is a direct consequence of the emergence of helical modes that connect the two edges of the sample. The absence of such an effect at high displacement field, as well as in bare bilayer graphene junctions, supports this interpretation and demonstrates the topological nature of the inverted gap.","","en","journal article","","","","","","","","","","","QRD/Goswami Lab","","",""
"uuid:0a43717f-695d-48d6-a7df-d70939d027f6","http://resolver.tudelft.nl/uuid:0a43717f-695d-48d6-a7df-d70939d027f6","Evaluation of Horizontal and Vertical Constrained Rod Casting Mold on Hot Tearing Susceptibility of Al-Cu Alloys","Rajagukguk, Kardo (Universitas Gadjah Mada; Institut Teknologi Sumatera (ITERA)); Suyitno, Suyitno (Universitas Gadjah Mada; Universitas Tidar (UNTIDAR)); Saptoadi, Harwin (Universitas Gadjah Mada); Kusumaningtyas, Indraswari (Universitas Gadjah Mada); Arifvianto, Budi (Universitas Gadjah Mada); Salim, Urip Agus (Universitas Gadjah Mada); Mahardika, Muslim (Universitas Gadjah Mada); Pujiyulianto, Eko (Universitas Gadjah Mada; Institut Teknologi Sumatera (ITERA)); Katgerman, L. (TU Delft Team Joris Dik; Katgerman Aluminium Technology)","","2024","This research aims to evaluate horizontal and vertical constrained rod casting (CRC) molds on hot tearing susceptibility (HTS) of Al-xCu casting alloys with 2.2, 3.6, 7.5, and 12.5 percent Cu. The hot tears on the casting product were observed using a macroscopic approach. In addition, the hot tearing susceptibility of each casting product prepared using these molds was evaluated using the HTS formula. The results show that the vertical CRC mold has a higher HTS value than the horizontal CRC mold. The rod length is a significant factor in causing hot tearing. Longer rods are more susceptible to hot tearing. The horizontal CRC mold provides a clearer effect of rod length and Cu composition on the average HTS value. In the vertical CRC mold, the effect of Cu composition on the average HTS value is less clear. Therefore, it is highly recommended to use horizontal CRC mold for HTS testing of aluminum casting alloys.","Al-Cu alloys; casting; constrained rod casting (CRC); horizontal CRC mold; hot tearing susceptibility; vertical CRC mold","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-29","","","Team Joris Dik","","",""
"uuid:d02f2f4e-e23b-433a-8901-026ea803459f","http://resolver.tudelft.nl/uuid:d02f2f4e-e23b-433a-8901-026ea803459f","Multi-trait analysis characterizes the genetics of thyroid function and identifies causal associations with clinical implications","Sterenborg, Rosalie B.T.M. (Radboud University Medical Center; Erasmus MC); Steinbrenner, Inga (University Medical Center Freiburg); Li, Yong (Institute of Genetic Epidemiology; University Medical Center Freiburg); Bujnis, Melissa N. (University of Utah); Naito, Tatsuhiko (Osaka University Graduate School of Medicine; RIKEN Center for Integrative Medical Sciences); Marouli, Eirini (Barts and The London School of Medicine and Dentistry; Queen Mary University of London); Meima, M.E. (Erasmus MC); van den Akker, E.B. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center); Teumer, Alexander (University Medicine Greifswald; Bialystok University of Technology); Medici, M. (Radboud University Medical Center; Erasmus MC)","","2024","To date only a fraction of the genetic footprint of thyroid function has been clarified. We report a genome-wide association study meta-analysis of thyroid function in up to 271,040 individuals of European ancestry, including reference range thyrotropin (TSH), free thyroxine (FT4), free and total triiodothyronine (T3), proxies for metabolism (T3/FT4 ratio) as well as dichotomized high and low TSH levels. We revealed 259 independent significant associations for TSH (61% novel), 85 for FT4 (67% novel), and 62 novel signals for the T3 related traits. The loci explained 14.1%, 6.0%, 9.5% and 1.1% of the total variation in TSH, FT4, total T3 and free T3 concentrations, respectively. Genetic correlations indicate that TSH associated loci reflect the thyroid function determined by free T3, whereas the FT4 associations represent the thyroid hormone metabolism. Polygenic risk score and Mendelian randomization analyses showed the effects of genetically determined variation in thyroid function on various clinical outcomes, including cardiovascular risk factors and diseases, autoimmune diseases, and cancer. In conclusion, our results improve the understanding of thyroid hormone physiology and highlight the pleiotropic effects of thyroid function on various diseases.","","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:1ce2fa75-5521-436c-b311-ff402a48ab1f","http://resolver.tudelft.nl/uuid:1ce2fa75-5521-436c-b311-ff402a48ab1f","Aggregating value systems for decision support","Lera-Leri, Roger X. (Artificial Intelligence Research Institute, Spanish National Scientific Research Council); Liscio, E. (TU Delft Interactive Intelligence); Bistaffa, Filippo (Artificial Intelligence Research Institute, Spanish National Scientific Research Council); Jonker, C.M. (TU Delft Interactive Intelligence); Lopez-Sanchez, Maite (Universitat Politecnica de Catalunya); Murukannaiah, P.K. (TU Delft Interactive Intelligence); Rodriguez-Aguilar, Juan A. (Artificial Intelligence Research Institute, Spanish National Scientific Research Council); Salas-Molina, Francisco (Universitat Politécnica de Valencia)","","2024","We adopt an emerging and prominent vision of human-centred Artificial Intelligence that requires building trustworthy intelligent systems. Such systems should be capable of dealing with the challenges of an interconnected, globalised world by handling plurality and by abiding by human values. Within this vision, pluralistic value alignment is a core problem for AI– that is, the challenge of creating AI systems that align with a set of diverse individual value systems. So far, most literature on value alignment has considered alignment to a single value system. To address this research gap, we propose a novel method for estimating and aggregating multiple individual value systems. We rely on recent results in the social choice literature and formalise the value system aggregation problem as an optimisation problem. We then cast this problem as an ℓp-regression problem. Doing so provides a principled and general theoretical framework to model and solve the aggregation problem. Our aggregation method allows us to consider a range of ethical principles, from utilitarian (maximum utility) to egalitarian (maximum fairness). We illustrate the aggregation of value systems by considering real-world data from two case studies: the Participatory Value Evaluation process and the European Values Study. Our experimental evaluation shows how different consensus value systems can be obtained depending on the ethical principle of choice, leading to practical insights for a decision-maker on how to perform value system aggregation.","AI & ethics; Optimisation; Value systems","en","journal article","","","","","","","","","","","Interactive Intelligence","","",""
"uuid:a3bdb09c-7573-4ede-88b6-cf84583ca9f5","http://resolver.tudelft.nl/uuid:a3bdb09c-7573-4ede-88b6-cf84583ca9f5","Impact of large-scale hydrogen electrification and retrofitting of natural gas infrastructure on the European power system","Morales-Espana, G. (TU Delft Algorithmics; TNO Energy & Materials Transition); Hernández-Serna, Ricardo (Eurus Energy Europe BV); Tejada-Arango, Diego A. (TNO Energy & Materials Transition; Comillas Pontifical University); Weeda, Marcel (TNO Energy & Materials Transition)","","2024","In this paper, we aim to analyse the impact of hydrogen production decarbonisation and electrification scenarios on the infrastructure development, generation mix, CO2 emissions, and system costs of the European power system, considering the retrofit of the natural gas infrastructure. We define a reference scenario for the European power system in 2050 and use scenario variants to obtain additional insights by breaking down the effects of different assumptions. The scenarios were analysed using the European electricity market model COMPETES, including a proposed formulation to consider retrofitting existing natural gas networks to transport hydrogen instead of methane. According to the results, 60% of the EU's hydrogen demand is electrified, and approximately 30% of the total electricity demand will be to cover that hydrogen demand. The primary source of this electricity would be non-polluting technologies. Moreover, hydrogen flexibility significantly increases variable renewable energy investment and production, and reduces CO2 emissions. In contrast, relying on only electricity transmission increases costs and CO2 emissions, emphasising the importance of investing in an H2 network through retrofitting or new pipelines. In conclusion, this paper shows that electrifying hydrogen is necessary and cost-effective to achieve the EU's objective of reducing long-term emissions.","Electrification; Hydrogen; Retrofit; Steam methane reforming","en","journal article","","","","","","","","","","","Algorithmics","","",""
"uuid:63aa2011-308e-4622-bb07-5fd32b076044","http://resolver.tudelft.nl/uuid:63aa2011-308e-4622-bb07-5fd32b076044","Milliarcsecond-scale radio structure of the most distant BL Lac object candidate at redshift 6.57","Frey, S. (Institute of Physics and Astronomy; Eötvös University; MTA Centre of Excellence); Zhang, Y. (Chinese Academy of Sciences; Key Laboratory of Radio Astronomy); Perger, K. (Konkoly Observatory Hungarian Academy of Sciences; MTA Centre of Excellence); An, T. (Chinese Academy of Sciences; Key Laboratory of Radio Astronomy); Gabányi, K. (Konkoly Observatory Hungarian Academy of Sciences; MTA Centre of Excellence; Eötvös University); Gurvits, L. (TU Delft Astrodynamics & Space Missions; Joint Institute for VLBI ERIC); Hwang, C. Y. (National Central University Taiwan); Koptelova, E. (National Central University Taiwan); Paragi, Z. (Joint Institute for VLBI ERIC); Fogasy, J. (Konkoly Observatory Hungarian Academy of Sciences; MTA Centre of Excellence)","","2024","Context. The existence of accreting supermassive black holes of up to billions of solar masses at early cosmological epochs (in the context of this work, redshifts z & 6) requires very fast growth rates that are challenging to explain. The presence of a relativistic jet can be a direct indication of activity and accretion status in active galactic nuclei (AGN), constraining the radiative properties of these extreme objects. However, known jetted AGN beyond z ∼ 6 are still very rare. Aims. The radio-emitting AGN J2331+1129 has recently been claimed as a candidate BL Lac object at redshift z = 6.57 based on its synchrotron-dominated emission spectrum and a lack of ultraviolet or optical emission lines. It is a promising candidate for the highest-redshift blazar known to date. The aim of the observations described here is to support or refute the blazar classification of this peculiar source. Methods. We performed high-resolution radio interferometric imaging observations of J2331+1129 using the Very Long Baseline Array at 1.6 and 4.9 GHz in February 2022. Results. The images reveal a compact but slightly resolved, flat-spectrum core feature at both frequencies, indicating that the total radio emission is produced by a compact jet and originates from within a central region of ∼10 pc in diameter. While these details are consistent with the radio properties of a BL Lac object, the inferred brightness temperatures are at least an order of magnitude lower than expected for a Doppler-boosted radio jet, which casts doubt on the high-redshift BL Lac identification.","BL Lacertae objects: individual: J2331+1129; galaxies: high-redshift; radio continuum: galaxies; techniques: interferometric","en","journal article","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:08b2d677-4383-40af-b49d-3b1b8e31031b","http://resolver.tudelft.nl/uuid:08b2d677-4383-40af-b49d-3b1b8e31031b","Towards a digital twin architecture for the lighting industry","Guerra, Victor (Pi Lighting Sarl); Hamon, Benoit (Pi Lighting Sarl); Bataillou, Benoit (Pi Lighting Sarl); Inamdar, A.S. (TU Delft Electronic Components, Technology and Materials); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials; Signify)","","2024","This paper introduces an ontology-based Digital Twin (DT) architecture for the lighting industry, integrating simulation models, data analytics, and visualization to represent luminaires. The ontology standardizes luminaire components, facilitating interoperability with design tools. The calculated ontology-level metrics suggest mid-level complexity with Size Of Vocabulary (SOV) at 37, Edge-to-Node Ratio (ENR) at 0.865, Tree Impurity (TIP) at 0, and Entropy Of Graph (EOG) at 2.61. A use case explores the utility of the ontology in the design phase across two different geographical locations, assessing environmental adaptability. The ontology captures opto-thermo-electric interactions, providing insights into luminaire performance. Results from inflating the DT and conducting simulations align with existing literature, indicating a degradation of around 12% over 8 years on the radiant flux. This ontology, up to the authors’ knowledge, is the first formal definition for the lighting industry, aiming to encompass the entire luminaire lifecycle. The current focus is on design and operational phases, with potential future enhancements to include real-time monitoring for performance evaluation and predictive maintenance. This work contributes to luminaire analysis and supports the development of sustainable lighting solutions in the industry.","Digital twin; Lighting; Ontology; Predictive maintenance; Remaining useful lifetime; Scheduled maintenance","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-06","","","Electronic Components, Technology and Materials","","",""
"uuid:0275192e-7b31-4d8e-901e-52141b5ea61b","http://resolver.tudelft.nl/uuid:0275192e-7b31-4d8e-901e-52141b5ea61b","District heating with complexity: Anticipating unintended consequences in the transition towards a climate-neutral city in the Netherlands","Gürsan, C. (Nijmegen School of Management); de Gooyert, V. (Nijmegen School of Management); de Bruijne, M.L.C. (TU Delft Organisation & Governance); Raaijmakers, J. (Nijmegen School of Management)","","2024","District heating systems are considered a feasible heating alternative to replace natural gas to mitigate emissions in cities. However, urban transitions are very complex because energy systems often operate in densely populated areas, which gives rise to all kinds of interdependencies in cities. These interdependencies can result in unintended consequences which can indirectly help or hinder urban energy transitions. Understanding these influences the transition to climate neutrality. This research investigates the lessons learned from a project conducted in Rotterdam: a high-density city in the Netherlands which is expanding its district heating systems. We use qualitative system dynamics models to explore the underlying complexity and to recognize indirect consequences of policies. Our results cover both technologically oriented and policy-oriented insights, contributing to the literature on transition governance in cities. On the one hand, the national and urban strategies in the Netherlands activate mechanisms that support cities with district heating systems such as Rotterdam. On the other hand, the same strategies could also lead to a potential rivalry between energy efficiency and energy security, which are both crucial goals in urban transition governance. Participative modeling provides policy-makers with an analytical tool to detect systemic dependencies which can be used to identify synergies and barriers among different energy policy objectives. This helps avoiding potential unintended consequences including the use of carbon-heavy systems and displacing investments from energy efficiency and renewable heating systems.","District heating; Energy transition; Feedback effects; Infrastructure interdependencies; Socio-technical systems; System dynamics","en","journal article","","","","","","","","","","","Organisation & Governance","","",""
"uuid:abc3d88e-843f-4bf5-a6a6-4015be0a8f4e","http://resolver.tudelft.nl/uuid:abc3d88e-843f-4bf5-a6a6-4015be0a8f4e","Gate-tunable kinetic inductance parametric amplifier","Splitthoff, L.J. (TU Delft QRD/Kouwenhoven Lab; TU Delft Communication QuTech; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Wesdorp, J.J. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Pita-Vidal, Marta (TU Delft QRD/Kouwenhoven Lab; TU Delft Communication QuTech; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Bargerbos, A. (TU Delft QRD/Kouwenhoven Lab; TU Delft Communication QuTech; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Liu, Yu (University of Copenhagen); Andersen, C.K. (TU Delft Andersen Lab; TU Delft Communication QuTech; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft)","","2024","Superconducting parametric amplifiers play a crucial role in the preparation and readout of quantum states at microwave frequencies, enabling high-fidelity measurements of superconducting qubits. Most existing implementations of these amplifiers rely on the nonlinearity from Josephson junctions, superconducting quantum interference devices, or disordered superconductors. Additionally, frequency tunability arises typically from either flux or current biasing. In contrast, semiconductor-based parametric amplifiers are tunable by local electric fields, which impose a smaller thermal load on the cryogenic setup than current and flux biasing and lead to vanishing crosstalk to other on-chip quantum systems. In this work, we present a gate-tunable parametric amplifier that operates without Josephson junctions, using a proximitized semiconducting nanowire. This design achieves near-quantum-limited performance, featuring more than 20-dB gain and a 30-MHz gain-bandwidth product. The absence of Josephson junctions results in advantages, including substantial saturation powers of -120 dBm, magnetic field compatibility up to 500mT, and frequency tunability over a range of 15 MHz. Our realization of a parametric amplifier supplements efforts towards gate-controlled superconducting electronics, further advancing the abilities for high-performing quantum measurements of semiconductor-based and superconducting quantum devices.","","en","journal article","","","","","","","","","","","QRD/Kouwenhoven Lab","","",""
"uuid:ca88f894-fa33-4e0f-80ac-4de4f6988c3c","http://resolver.tudelft.nl/uuid:ca88f894-fa33-4e0f-80ac-4de4f6988c3c","Public acceptance in direct potable water reuse: a call for incorporating responsible research and innovation","Moesker, K. (TU Delft Values Technology and Innovation); Pesch, U. (TU Delft Values Technology and Innovation); Doorn, N. (TU Delft Values Technology and Innovation)","","2024","As global issues such as climate change and diminishing resources become increasingly pressing, water recycling has moved into the focus. However, the successful implementation of Direct Potable Water Reuse (DPR) projects hinges on securing public acceptance, which remains challenging. This paper aims to flesh out possible reasons for the lingering public rejection of DPR. We will do so by conducting a literature review on how public acceptance is understood and what approaches are proposed to enhance it. These approaches are analyzed using Responsible Research and Innovation principles and the `opening up', `closing down' and `leaving ajar' approaches. Our research identifies an overreliance on the controversial information deficit model, closing down large parts of public engagement. We advocate for becoming more inclusive through the `leaving ajar' approach. Particularly, attention should be paid to reflexivity and responsiveness to public concerns to ensure meaningful public engagement.","Direct potable reuse; information deficit model; public acceptance; public participation; responsible research and innovation","en","journal article","","","","","","","","","","Values Technology and Innovation","","","",""
"uuid:01b7743d-7174-434c-a47e-ee2e705875a7","http://resolver.tudelft.nl/uuid:01b7743d-7174-434c-a47e-ee2e705875a7","Bioethanol separation by a new pass-through distillation process","Jankovic, T.J. (TU Delft BT/Bioprocess Engineering); Straathof, Adrie J.J. (TU Delft BT/Bioprocess Engineering); McGregor, Ian R. (Drystill Holdings, Mississauga); Kiss, A.A. (TU Delft ChemE/Product and Process Engineering)","","2024","Distillation is the most used separation technology at industrial-scale, but using distillation in bio-based processes (e.g. fermentation processes to produce bioethanol) is quite challenging when mild temperatures are needed to keep the microbes alive. Vacuum distillation can be used to perform evaporation at low temperatures, but setting a low distillation pressure fixes also the condensation temperature to very low values that may require expensive refrigeration. Pass-through distillation (PTD) is an emerging hybrid separation technology that effectively combines distillation with absorption in a sorption-assisted distillation process that decouples the evaporation and condensation steps. This is achieved by inserting between the evaporation and condensation steps an absorption-desorption loop that passes through the component to be separated and allows the use of different pressures and types of heating and cooling utilities. This paper is the first to present the process design and rigorous simulation (implemented in Aspen Plus) of a new pass-through distillation process for bioethanol (∼100 ktonne/y plant capacity), proving its effectiveness in concurrent alcohol recovery and fermentation (CARAF). Combining PTD with heat pumps leads to low recovery costs of 0.122 $/kgEtOH and energy requirements of only 1.723 kWthh/kgEtOH. Alternatively, combining PTD with multi-effect distillation resulted in 0.131 $/kgEtOH recovery costs and 1.834 kWthh/kgEtOH energy intensity.","Bioethanol; Distillation; Fluid separation; Industrial fermentation; Process design","en","journal article","","","","","","","","","","","BT/Bioprocess Engineering","","",""
"uuid:f056ed8a-3839-4a22-86fe-78ecaa90a9c7","http://resolver.tudelft.nl/uuid:f056ed8a-3839-4a22-86fe-78ecaa90a9c7","Social Meritocracy and Unjust Social Hierarchies: Three Proposals to Limit Meritocracy’s Erosion of Social Cooperation","Ziliotti, E. (TU Delft Ethics & Philosophy of Technology)","","2024","A well-functioned society depends on its ability to nurture, attract, and deploy talents in critical sectors. However, the implementation of some meritocratic principles to allocate positions often leads to unjust social hierarchies. Is there, then, a solution to meritocracy’s dysfunctional hierarchical effects? This paper attempts to answer this by drawing on the real-world cases of Singapore and the USA to investigate the relationship of toxic social hierarchies with meritocracy. It proposes three solutions to curb the unjustifiable social stratifications and the erosion of social cooperation often associated with social meritocracy. These reflections could help to shed light on the grounds for the ongoing debates on social hierarchies and provide valuable insights into how to weigh up existing socio-political structures.","Equality of opportunity; Singapore; Social meritocracy; The Tyranny of Merit; Toxic social hierarchies","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:2445fbb2-3cf8-4b57-8855-60f54cd14b53","http://resolver.tudelft.nl/uuid:2445fbb2-3cf8-4b57-8855-60f54cd14b53","Ethics of generative AI and manipulation: a design-oriented research agenda","Klenk, M.B.O.T. (TU Delft Ethics & Philosophy of Technology)","","2024","Generative AI enables automated, effective manipulation at scale. Despite the growing general ethical discussion around generative AI, the specific manipulation risks remain inadequately investigated. This article outlines essential inquiries encompassing conceptual, empirical, and design dimensions of manipulation, pivotal for comprehending and curbing manipulation risks. By highlighting these questions, the article underscores the necessity of an appropriate conceptualisation of manipulation to ensure the responsible development of Generative AI technologies.","AI ethics; Deception; Generative AI; Large Language Models (LLMs); Manipulation; Persuasion; Value sensitive design","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:149382c4-eb4a-449b-8927-c252803ada9f","http://resolver.tudelft.nl/uuid:149382c4-eb4a-449b-8927-c252803ada9f","Understanding preferences for mobility-on-demand services through a context-aware survey and non-compensatory strategy","Dubey, S.K. (TU Delft Transport and Planning); Cats, O. (TU Delft Transport and Planning); Hoogendoorn, S.P. (TU Delft Transport and Planning)","","2024","The potential lack of realism in stated-preference surveys is particularly acute in contexts where disaggregate real-world data is challenging to obtain. Mobility-on-Demand (MOD) services present one such context. The MOD context is unique due to factors such as service reliability (difference in stated vs. actual travel and waiting time) and current mode inertia which affect the choice of MOD services and are difficult to infer from revealed preference data. Further, travel mode choices are repetitive and constitute a relatively easy choice situation. Consequently, individuals may utilize simple non-compensatory strategies. In this study, we design a survey to mimic real-world choice sets using a joint revealed and stated- (RP-SP) preference survey approach. We construct the complete journey of individuals taking into account departure time, access and egress mode, current primary mode and origin–destination pair. A Choquet Integral (CI)-based choice model with endogeneity correction is employed, thereby allowing to approximate non-compensatory behaviour. Results confirm the presence of non-compensatory behaviour across all mode users (car, public transport and bike). Reliability and inertia effects are most pronounced for car users including the potential for a combined departure time-mode shift towards MOD. Owing to non-compensatory behaviour and inertia, higher travel costs cannot be fully compensated by shorter waiting and travel times and a differential pricing strategy may be required to increase MOD market share. Failure to account for common unobserved factors between the RP and SP choices results in inflated attribute importance.","Choquet integral; Differential pricing; Endogeneity; Non-compensatory behavior; Service reliability","en","journal article","","","","","","","","","","Transport and Planning","Transport and Planning","","",""
"uuid:314cd985-d043-4869-b008-8bb76e8b1953","http://resolver.tudelft.nl/uuid:314cd985-d043-4869-b008-8bb76e8b1953","Maximizing wind farm power output with the helix approach: Experimental validation and wake analysis using tomographic particle image velocimetry","van der Hoek, D.C. (TU Delft Team Jan-Willem van Wingerden); Van den Abbeele, B.H.L. (TU Delft Team Jan-Willem van Wingerden); Ferreira, Carlos (TU Delft Wind Energy); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2024","Wind farm control can play a key role in reducing the negative impact of wakes on wind turbine power production. The helix approach is a recent innovation in the field of wind farm control, which employs individual blade pitch control to induce a helical velocity profile in a wind turbine wake. This forced meandering of the wake has turned out to be very effective for the recovery of the wake, increasing the power output of downstream turbines by a significant amount. This paper presents a wind tunnel study with two scaled wind turbine models of which the upstream turbine is operated with the helix approach. We used tomographic particle image velocimetry to study the dynamic behavior of the wake under the influence of the helix excitation. The measured flow fields confirm the wake recovery capabilities of the helix approach compared with normal operation. Additional emphasis is put on the effect of the helix approach on the breakdown of blade tip vortices, a process that plays an important role in re-energizing the wake. Measurements indicate that the breakdown of tip vortices and the resulting destabilization of the wake are enhanced significantly with the helix approach. Finally, turbine measurements show that the helix approach was able to increase the combined power for this particular two-turbine setup by as much as 15%.","dynamic individual pitch control; experimental validation; the helix approach; tomographic piv; wind farm control; wind farm power maximization","en","journal article","","","","","","","","","","","Team Jan-Willem van Wingerden","","",""
"uuid:c0a5ffcc-8ce4-48d4-b47f-fc2c84ca89a8","http://resolver.tudelft.nl/uuid:c0a5ffcc-8ce4-48d4-b47f-fc2c84ca89a8","Microporous Sulfur–Carbon Materials with Extended Sodium Storage Window","Eren, Enis Oğuzhan (Max-Planck-Inst. F. Kolloid-und G.); Esen, Cansu (Max-Planck-Inst. F. Kolloid-und G.); Scoppola, Ernesto (Max-Planck-Inst. F. Kolloid-und G.); Song, Zihan (Max-Planck-Inst. F. Kolloid-und G.); Senokos, Evgeny (Max-Planck-Inst. F. Kolloid-und G.); Zschiesche, Hannes (Max-Planck-Inst. F. Kolloid-und G.); Cruz, Daniel (Fritz-Haber-Institut der Max-Planck-Gesellschaft; Max-Planck-Institut für Chemische Engergiekonversion); Lauermann, Iver (Helmholtz-Zentrum Berlin für Materialen und Energie GmbH); Kumru, B. (TU Delft Group Kumru)","","2024","Developing high-performance carbonaceous anode materials for sodium-ion batteries (SIBs) is still a grand quest for a more sustainable future of energy storage. Introducing sulfur within a carbon framework is one of the most promising attempts toward the development of highly efficient anode materials. Herein, a microporous sulfur-rich carbon anode obtained from a liquid sulfur-containing oligomer is introduced. The sodium storage mechanism shifts from surface-controlled to diffusion-controlled at higher synthesis temperatures. The different storage mechanisms and electrode performances are found to be independent of the bare electrode material's interplanar spacing. Therefore, these differences are attributed to an increased microporosity and a thiophene-rich chemical environment. The combination of these properties enables extending the plateau region to higher potential and achieving reversible overpotential sodium storage. Moreover, in-operando small-angle X-ray scattering (SAXS) reveals reversible electron density variations within the pore structure, in good agreement with the pore-filling sodium storage mechanism occurring in hard carbons (HCs). Eventually, the depicted framework will enable the design of high-performance anode materials for sodium-ion batteries with competitive energy density.","anode; carbon; in-operando SAXS; sodium-ion battery; sulfur","en","journal article","","","","","","","","","","","Group Kumru","","",""
"uuid:0dcdccf4-485b-4b2a-b314-fa2876be2884","http://resolver.tudelft.nl/uuid:0dcdccf4-485b-4b2a-b314-fa2876be2884","Saturated transverse permeability of unidirectional rovings for pultrusion: The effect of microstructural evolution through compaction","Yuksel, O. (TU Delft Group Çaglar; University of Twente); Caglar, Baris (TU Delft Aerospace Manufacturing Technologies); Broggi, G.C. (TU Delft Group Çaglar; EPFL Switzerland); Michaud, Véronique (EPFL Switzerland); Akkerman, Remko (University of Twente); Baran, Ismet (University of Twente)","","2024","The transverse permeability of roving/tow-based fiber reinforcement is of great importance for accurate flow modeling in the pultrusion process. This study proposes an experimental approach to characterize the roving-based fiber beds' permeability under different compaction conditions. The experimental permeability results of thick roving-based preforms were reported and compared with the permeability values of roving-based preforms in the literature. A representative preform was infused under vacuum conditions. Its thickness was varied to replicate the different compaction values observed in permeability tests. Micrographs were then collected from it and analyzed to highlight the microscale transformations caused by processing/compaction on the fiber arrangement. The analysis revealed that compaction resulted in the reorganization of filaments along the direction of the applied compaction. Overall, the uniformity of the spatial filament distribution, i.e., the homogeneity within the fibrous domain, increased with increasing compaction. Furthermore, the microstructural analysis demonstrated transverse anisotropy within the tested domains, indicating that the obtained permeability results represented an upper boundary. In addition to the experimental analyses, various transverse permeability models, which were developed based on recently introduced statistical descriptors of fiber distribution, were evaluated by using the statistical descriptors extracted from the analyzed cross-sections. Among these models, the one correlating the second neighbor fiber distance with apparent permeability exhibited good agreement with the experimental results. Highlights: Transverse permeability measurement of a roving-based reinforcement was presented. The influence of compaction on the microstructure was investigated at the filament level. Filament distribution in a pultruded profile was analyzed by using statistical descriptors. The results of the experiments and the models in the literature were compared. The correlation between microstructural features and apparent permeability was discussed.","microstructural analysis; permeability; pultrusion; vacuum infusion","en","journal article","","","","","","","","","","","Group Çaglar","","",""
"uuid:3c648d3e-970d-4da3-919c-284229175e1d","http://resolver.tudelft.nl/uuid:3c648d3e-970d-4da3-919c-284229175e1d","Effects of pellet-sinter interaction parameters on component segregation and bed porosity considering flow velocity and mixture composition: A DEM study","Roeplal, R.N. (TU Delft Transport Engineering and Logistics); Pang, Y. (TU Delft Transport Engineering and Logistics); Schott, D.L. (TU Delft Transport Engineering and Logistics)","","2024","Bed permeability is a crucial factor in blast furnace performance which depends on the material distribution achieved through charging. Since a homogeneous bed of pellet and sinter is recommended, it is crucial to understand whether segregation of the pellet-sinter mixture occurs during charging. The Discrete Element Method is useful in this regard; however, simulations of pellet-sinter mixture charging currently lack credibility since pellet-sinter interaction parameters have not yet been calibrated and validated. Determining pellet-sinter interaction parameters will require significant efforts, so it is useful to know whether mixture segregation and the resulting bed permeability are sensitive to these parameters. In this work, we investigate to what extent the restitution coefficient, sliding friction coefficient and rolling friction coefficient between pellet and sinter affect segregation during bed formation and the resulting permeability in terms of porosity using a simplified charging setup. The investigation is done for different mixture compositions and flow velocities, and analysis settings including sample size and sampling directions. We conclude that all parameters affect segregation and porosity, regardless of the composition and velocity. Hence, all mixture parameters including the interaction parameters between the components must be carefully calibrated when developing a model for predicting permeability.","Blast furnace mixture; Component segregation; DEM; Interaction parameters; Porosity; Sensitivity analysis","en","journal article","","","","","","","","","","","Transport Engineering and Logistics","","",""
"uuid:ffac03f6-013d-42c5-afef-f752bd282a79","http://resolver.tudelft.nl/uuid:ffac03f6-013d-42c5-afef-f752bd282a79","Macrolitter budget and spatial distribution in a groyne field along the Waal river","Grosfeld, J. J. (Student TU Delft); Schoor, M. M. (Rijkswaterstaat); Taormina, R. (TU Delft Sanitary Engineering); Luxemburg, W.M.J. (TU Delft Water Resources); Collas, F. P.L. (Radboud Universiteit Nijmegen; Rijkswaterstaat)","","2024","Current research on riverine macrolitter does not yet provide a theoretic framework on the dynamics behind its accumulation and distribution along riverbanks. In an attempt to better understand these dynamics a detailed field survey of three months was conducted in which location of macrolitter items within a single groyne field along the Waal riverbanks was tracked. The data provided insight into the daily changing patterns of spatial item distribution with respect to the waterline. Furthermore, the rates of item uptake and deposition were monitored and related to hydrologic fluctuations. Uptake was initiated by rising water levels and was generally higher when the water level increased faster. Deposition occurred continuously, despite hydrologic fluctuations. This caused the riverbank macrolitter budget to be positive during stable or dropping water levels and negative during rising water levels. Although the results show clear patterns an extended monitoring duration is required to fully understand the fate of plastic objects.","Accumulation; Freshwater; Macroplastic; Monitoring; Pollution; Riverbanks","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:cdf52273-fdc5-40f5-8d8d-6b6c0394c2fc","http://resolver.tudelft.nl/uuid:cdf52273-fdc5-40f5-8d8d-6b6c0394c2fc","Design and evaluation of a smart passive dynamic arm support for robotic-assisted laparoscopic surgery","Schrijvershof, Pim (Student TU Delft); Rahimi, A. Masie (Amsterdam UMC; Cancer Center Amsterdam; Amsterdam Skills Centre for Health Sciences); Leone, Nicola (University of Turin); Bloemendaal, Alexander (Reinier de Graaf Gasthuis); Daams, Freek (Amsterdam UMC; Cancer Center Amsterdam; Amsterdam Skills Centre for Health Sciences); Arezzo, Alberto (University of Turin); Mintz, Yoav (Hadassah-Hebrew University Medical Center); Horeman, T. (TU Delft Medical Instruments & Bio-Inspired Technology)","","2024","Surgeons performing robotic-assisted laparoscopic surgery experience physical stress and overuse of shoulder muscles due to sub-optimal arm support during surgery. The objective is to present a novel design and prototype of a dynamic arm support for robotic laparoscopic surgery to evaluate its ergonomics and performance on the AdLap-VR simulation training device. The prototype was designed using the mechanical engineering design process: Technical requirements, concept creation, concept selection, 3D-design and built of the prototype. A crossover study was performed on a marble sorting task on the AdLap-VR. The first group performed four trials without the arm support, followed by four trials with the arm support, and the other group executed the sequence vice versa. The performance parameters used were time to complete (s), path length (mm), and the number of collisions. Afterward, the participants filled out a questionnaire on the ergonomic experience regarding both situations. 20 students executed 160 performed trials on the AdLap-VR Significant decreases in the subjective comfort parameters mental demand, physical demand, effort and frustration were observed as a result of introducing the novel arm support. Significant decreases in the objective performance parameters path length and the number of collisions were also observed during the tests. The newly developed dynamic arm support was found to improve comfort and enhance performance through increased stability on the robotic surgery skills simulator AdLap-VR.","Arm support; Ergonomics; Fatigue; Laparoscopy; RAS; Robotic surgery","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:417b29e8-4273-4778-a2c4-8ed733c45ef1","http://resolver.tudelft.nl/uuid:417b29e8-4273-4778-a2c4-8ed733c45ef1","Landau quantization near generalized Van Hove singularities: Magnetic breakdown and orbit networks","Zakharov, V. A. (Universiteit Leiden); Bozkurt, A.M. (TU Delft QRD/Wimmer Group; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Akhmerov, A.R. (TU Delft QN/Akhmerov Group; Kavli institute of nanoscience Delft); Oriekhov, D. (Universiteit Leiden)","","2024","We develop a theory of magnetic breakdown (MB) near high-order saddle points in the dispersions of two-dimensional materials, where two or more semiclassical cyclotron orbits approach each other. MB occurs due to quantum tunneling between several trajectories, which leads to nontrivial scattering amplitudes and phases. We show that for any saddle point this problem can be solved by mapping it to a scattering problem in a 1D tight-binding chain. Moreover, the occurrence of magnetic breakdown on the edges of the Brillouin zone facilitates the delocalization of the bulk Landau level states and the formation of 2D orbit networks. These extended network states compose dispersive mini bands with finite energy broadening. This effect can be observed in transport experiments as a strong enhancement of the longitudinal bulk conductance in a quantum Hall bar. In addition, it may be probed in STM experiments by visualizing bulk current patterns.","","en","journal article","","","","","","","","","","","QRD/Wimmer Group","","",""
"uuid:087073a1-0685-434b-ba33-ac7d93d294a1","http://resolver.tudelft.nl/uuid:087073a1-0685-434b-ba33-ac7d93d294a1","What is an app store? The software engineering perspective","Zhu, Wenhan (David R. Cheriton School of Computer Science); Proksch, S. (TU Delft Software Engineering); German, Daniel M. (University of Victoria); Godfrey, Michael W. (David R. Cheriton School of Computer Science); Li, Li (Beihang University); McIntosh, Shane (David R. Cheriton School of Computer Science)","","2024","“App stores” are online software stores where end users may browse, purchase, download, and install software applications. By far, the best known app stores are associated with mobile platforms, such as Google Play for Android and Apple’s App Store for iOS. The ubiquity of smartphones has led to mobile app stores becoming a touchstone experience of modern living. App stores have been the subject of many empirical studies. However, most of this research has concentrated on properties of the apps rather than the stores themselves. Today, there is a rich diversity of app stores and these stores have largely been overlooked by researchers: app stores exist on many distinctive platforms, are aimed at different classes of users, and have different end-goals beyond simply selling a standalone app to a smartphone user. The goal of this paper is to survey and characterize the broader dimensionality of app stores, and to explore how and why they influence software development practices, such as system design and release management. We begin by collecting a set of app store examples from web search queries. By analyzing and curating the results, we derive a set of features common to app stores. We then build a dimensional model of app stores based on these features, and we fit each app store from our web search result set into this model. Next, we performed unsupervised clustering to the app stores to find their natural groupings. Our results suggest that app stores have become an essential stakeholder in modern software development. They control the distribution channel to end users and ensure that the applications are of suitable quality; in turn, this leads to developers adhering to various store guidelines when creating their applications. However, we found the app stores operational model could vary widely between stores, and this variability could in turn affect the generalizability of existing understanding of app stores.","App store; Empirical software engineering; Software distribution; Software release","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-02","","","Software Engineering","","",""
"uuid:9f085396-54ac-4ed6-946a-4fa08ac5243b","http://resolver.tudelft.nl/uuid:9f085396-54ac-4ed6-946a-4fa08ac5243b","Experts and expertise in practices of citizen engagement in climate policy: a comparative analysis of two contrasting cases","van Beek, Lisette (Universiteit Utrecht); Mouter, N. (TU Delft Transport and Logistics); Pelzer, Peter (Universiteit Utrecht); Hajer, Maarten (Universiteit Utrecht); van Vuuren, Detlef (Universiteit Utrecht; Netherlands Environmental Assessment Agency)","","2024","The need for engaging citizens in climate policymaking is increasingly recognised. Despite indications that the form of expert involvement can strongly influence participatory processes, this remains scarcely researched. We analysed two unique and contrasting cases of citizen engagement in national climate mitigation policy: (1) the Irish Citizens’ Assembly (ICA), the first national climate assembly involving live expert presentations and face-to-face deliberations; and (2) the Participatory Value Evaluation (PVE) on Dutch climate policymaking, where more than 10,000 citizens compared policy options in an online environment based on expert-based information on policy effects. Taking a dramaturgical approach, we found that the opening up and closing down of policy options and perspectives was influenced by the setting, staging and scripting of expertise. Apart from providing information on policy options, experts had significant roles in design choices and formulating recommendations, which shaped citizens’ deliberations and policy advice. In deliberative processes, citizens’ deliberations can be further influenced by putting experts in a privileged spot and emphasising their authority, whereas in the setting of an online tool, experts’ design choices may be masked by the fact-like presentation of expertise. Future research should further investigate the role of experts and expertise across a wider range of practices. Nevertheless, we conclude that the high degree of required technical knowledge in climate mitigation policy naturally implies strong expert involvement, which concomitantly steers the results. Alternatively, we may search to enhance citizens’ engagement in guiding climate policymakers by focusing on citizens’ normative perspectives.","Citizen participation; Citizens’ assembly; Climate policy; Expertise; Mini-public; Participatory Value Evaluation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-03","","","Transport and Logistics","","",""
"uuid:960886bc-328f-407a-bf2c-faf9c63700df","http://resolver.tudelft.nl/uuid:960886bc-328f-407a-bf2c-faf9c63700df","Hygrothermal effects on fatigue delamination behavior in composite laminates","Yao, Liaojun (Harbin Institute of Technology); Wang, Jiexiong (Harbin Institute of Technology); He, Yonglyu (National University of Defense Technology); Zhao, Xiuhui (National University of Defense Technology); Chen, Xiangming (Aircraft Strength Research Institute); Liu, J. (Harbin Institute of Technology); Guo, Licheng (Harbin Institute of Technology); Alderliesten, R.C. (TU Delft Group Alderliesten)","","2024","Fatigue delamination growth (FDG) is an important failure in composite structures during their long-term operations. Hygrothermal aging can have significant effects on interlaminar resistance. It is therefore really necessary to explore FDG behavior in composite laminates with hygrothermal aging. Dynamic mechanical thermal analysis (DMTA), mode I FDG experiments and fractographic examinations were conducted to fully investigate hygrothermal aging effects and the corresponding mechanisms on FDG behavior. The DMTA results indicated that environmental aging can induce obvious Tg decrease. Mode I experimental fatigue data interpreted via different Paris-type correlations demonstrated that: Bridging has obvious retardation effects on FDG behavior via the Paris interpretations; The modified Paris relation can well characterize the intrinsic FDG behavior around the crack front; The use of the two-parameter Paris-type relation can appropriately account for R-ratio effects, contributing to a master resistance curve in determining mode I FDG behavior. According to these interpretations, it can be concluded that hygrothermal aging can have adverse effects on mode I FDG behavior. SEM examinations demonstrated that moisture absorption can cause fibre/matrix debonding and resin matrix pores/voids in the composite. However, no obvious difference in damage mechanisms was identified in mode I fatigue delamination for composite with/without environmental conditioning. Both fibre/matrix debonding and matrix brittle fracture were identified on fatigue fracture surfaces. Accordingly, it was concluded that fibre/matrix interface and matrix degradation induced by water absorption were the main reasons for a faster mode I fatigue crack growth in environmental aged composite.","Composite laminates; Delamination; Fatigue; Hygrothermal aging","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-30","","","Group Alderliesten","","",""
"uuid:b35ef96d-d772-49ad-87aa-d5b6d0e2337b","http://resolver.tudelft.nl/uuid:b35ef96d-d772-49ad-87aa-d5b6d0e2337b","Structuring Interdigitated Back Contact Solar Cells Using the Enhanced Oxidation Characteristics Under Laser-Doped Back Surface Field Regions","Kuruganti, V.V. (International Solar Energy Research Center (ISC)); Isabella, O. (TU Delft Photovoltaic Materials and Devices); Mihailetchi, Valentin D. (International Solar Energy Research Center (ISC))","","2024","Interdigitated back contact (IBC) architecture can yield among the highest silicon wafer-based solar cell conversion efficiencies. Since both polarities are realized on the rear side, there is a definite need for a patterning step. Some of the common patterning techniques involve photolithography, inkjet patterning, and laser ablation. This work introduces a novel patterning technique for structuring the rear side of IBC solar cells using the enhanced oxidation characteristics under the locally laser-doped n++ back surface field (BSF) regions with high-phosphorous surface concentrations. Phosphosilicate glass layers deposited via POCl3 diffusion serve as a precursor layer for the formation of local heavily laser-doped n++ BSF regions. The laser-doped n++ BSF regions exhibit a 2.6-fold increase in oxide thickness compared to the nonlaser-doped n+ BSF regions after undergoing high-temperature wet thermal oxidation. The utilization of oxide thickness selectivity under laser-doped and nonlaser-doped regions serves two purposes in the context of the IBC solar cell, first patterning rear side and second acting as a masking layer for the subsequent boron diffusion. Proof-of-concept solar cells are fabricated using this novel patterning technique with a mean conversion efficiency of 20.41%.","interdigitated back contact solar cells; laser doping; patterning techniques; SiO as diffusion barriers","en","journal article","","","","","","","","","","","Photovoltaic Materials and Devices","","",""
"uuid:7ef08cdd-3a17-43a3-8175-961c3f051bee","http://resolver.tudelft.nl/uuid:7ef08cdd-3a17-43a3-8175-961c3f051bee","Optimum-pursuing method for constrained optimization and reliability-based design optimization problems using Kriging model","Meng, Zeng (Hefei University of Technology; Dalian University of Technology); Kong, Lin (Xi'an Modern Chemistry Research Institute); Jiaxiang, Y. (TU Delft Team Marcel Sluiter); Peng, Hao (Dalian University of Technology)","","2024","This paper proposes a new active learning method named as optimum-pursuing method (OPM) from the viewpoint of optimization theory, which aims to provide an effective tool for solving constrained optimization and reliability-based design optimization (RBDO) problems with low computation cost. It uses the cheap Kriging metamodel to replace the expensive physical response. The novelty of the proposed OPM primarily lies in two aspects. First, the OPM utilizes the advantage of the optimization theory rather than sampling technology. By using the augmented Lagrangian approach, it comprehensively considers the objective, constraints, and their relations, thereby automatic identification of important region in the vicinity of the optimum. Second, the accordingly optimum-pursuing function consists of three parts: Kriging mean, Kriging standard deviation, and merit function. Also, the target reliability surface is further considered to enhance the local accuracy of the reliability analysis. The performance of OPM is tested for both deterministic optimization and problems, in which two mathematical and three real-world engineering examples are selected to showcase the feasibility and validity. The results demonstrate that OPM is promising for solving both deterministic optimization and RBDO problems by comparing with the well-known active learning methods.","Active learning; Deterministic optimization; Kriging; Optimum-pursuing method; Reliability-based design optimization","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-23","","","Team Marcel Sluiter","","",""
"uuid:55e273f1-f0c0-492f-9b80-0aa730780b2c","http://resolver.tudelft.nl/uuid:55e273f1-f0c0-492f-9b80-0aa730780b2c","Distribution of global sea turtle nesting explained from regional-scale coastal characteristics","Christiaanse, Jakob (TU Delft Coastal Engineering); Antolínez, José A. Á. (TU Delft Coastal Engineering); Luijendijk, Arjen (TU Delft Coastal Engineering; Deltares); Athanasiou, Panagiotis (Deltares); Duarte, Carlos M. (King Abdullah University of Science and Technology); Aarninkhof, S.G.J. (TU Delft Civil Engineering & Geosciences)","","2024","Climate change and human activity threaten sea turtle nesting beaches through increased flooding and erosion. Understanding the environmental characteristics that enable nesting can aid to preserve and expand these habitats. While numerous local studies exist, a comprehensive global analysis of environmental influences on the distribution of sea turtle nesting habitats remains largely unexplored. Here, we relate the distribution of global sea turtle nesting to 22 coastal indicators, spanning hydrodynamic, atmospheric, geophysical, habitat, and human processes. Using state-of-the-art global datasets and a novel 50-km-resolution hexagonal coastline grid (Coastgons), we employ machine learning to identify spatially homogeneous patterns in the indicators and correlate these to the occurrence of nesting grounds. Our findings suggest sea surface temperature, tidal range, extreme surges, and proximity to coral and seagrass habitats significantly influence global nesting distribution. Low tidal ranges and low extreme surges appear to be particularly favorable for individual species, likely due to reduced nest flooding. Other indicators, previously reported as influential (e.g., precipitation and wind speed), were not as important in our global-scale analysis. Finally, we identify new, potentially suitable nesting regions for each species. On average, 23 % of global coastal regions between - 39 ∘ and 48 ∘ latitude could be suitable for nesting, while only 7 % is currently used by turtles, showing that the realized niche is significantly smaller than the fundamental niche, and that there is potential for sea turtles to expand their nesting habitat. Our results help identify suitable nesting conditions, quantify potential hazards to global nesting habitats, and lay a foundation for nature-based solutions to preserve and potentially expand these habitats.","OA-Fund TU Delft","en","journal article","","","","","","","","","Civil Engineering & Geosciences","","Coastal Engineering","","",""
"uuid:58766487-693a-4e90-be77-e0e8c5f79764","http://resolver.tudelft.nl/uuid:58766487-693a-4e90-be77-e0e8c5f79764","Multi-objective design of 3D phononic crystal waveguide by design space trimming","Valiya Valappil, S. (TU Delft Computational Design and Mechanics); Goosen, J.F.L. (TU Delft Computational Design and Mechanics); Aragon, A.M. (TU Delft Computational Design and Mechanics)","","2024","Ultrasonic flowmeters face unique challenges since, in addition to withstanding high fluid pressures, they have to avoid crosstalk, which is the interaction of the signals traveling through the fluid and the solid pipe. To avoid the crosstalk, which leads to poor accuracy or complete loss of the required signal, we develop a mounting mechanism based on phononic crystals (PnCs), which are artificial periodic materials possessing band gaps (BGs) due to Bragg scattering. These PnC structures should also possess high mechanical strength to sustain the fluid pressure. Designing PnCs for such applications is challenging as the BG width and the resistance to mechanical loading are conflicting objectives. To circumvent this, we propose a step-by-step design procedure to optimize both mechanical strength and wave attenuation performance of a single-phase 3D PnC waveguide using parametric sweeping and sensitivity analysis. We use finite element analysis (FEA) to characterize the behavior of the periodic unit cell and the waveguide. Since accurate dynamic FEA at high frequencies is computationally demanding, we develop surrogate models at different levels of the design process. We also consider additive manufacturing aspects in the design procedure, which we validate by 3D-printing the final design and measuring the parameters via computer tomography.","Band structure; Multi-objective design; Parametric sweeping; Phononic crystal; Sensitivity analysis; Static analysis; Transmissibility","en","journal article","","","","","","","","","","","Computational Design and Mechanics","","",""
"uuid:57a4fe0a-75c2-4e5f-887f-d428da31d7d1","http://resolver.tudelft.nl/uuid:57a4fe0a-75c2-4e5f-887f-d428da31d7d1","Volcanic unrest as seen from the magmatic source: Reyðarártindur pluton, Iceland","Rhodes, Emma (Uppsala University); Burchardt, Steffi (Uppsala University); Greiner, Sonja H.M. (Uppsala University; University of Iceland); Mattsson, Tobias (University of St Andrews; Stockholm University); Sigmundsson, Freysteinn (University of Iceland); Schmiedel, T. (TU Delft Resource Engineering); Barker, Abigail K. (Uppsala University); Witcher, Taylor (Uppsala University)","","2024","How the Earth’s crust accommodates magma emplacement influences the signals that can be detected by monitoring volcano seismicity and surface deformation, which are routinely used to forecast volcanic eruptions. However, we lack direct observational links between deformation caused by magma emplacement and monitoring signals. Here we use field mapping and photogrammetry to quantify deformation caused by the emplacement of at least 2.5 km3 of silicic magma in the Reyðarártindur pluton, Southeast Iceland. Our results show that magma emplacement triggered minor and local roof uplift, and that magma reservoir growth was largely aseismic by piecemeal floor subsidence. The occurrence and arrangement of fractures and faults in the reservoir roof can be explained by magmatic overpressure, suggesting that magma influx was not fully accommodated by floor subsidence. The tensile and shear fracturing would have caused detectable seismicity. Overpressure eventually culminated in eruption, as evidenced by exposed conduits that are associated with pronounced local subsidence of the roof rocks, corresponding to the formation of an asymmetric graben at the volcano surface. Hence, the field observations highlight processes that may take place within silicic volcanoes, not accounted for in widely used models to interpret volcanic unrest.","","en","journal article","","","","","","","","","","","Resource Engineering","","",""
"uuid:5b798218-d0c9-4c89-a966-daf4b622fa80","http://resolver.tudelft.nl/uuid:5b798218-d0c9-4c89-a966-daf4b622fa80","Noise-robust latent vector reconstruction in ptychography using deep generative models","Seifert, Jacob (Universiteit Utrecht); Shao, Y. (TU Delft ImPhys/Coene group); Mosk, Allard P. (Universiteit Utrecht)","","2024","Computational imaging is increasingly vital for a broad spectrum of applications, ranging from biological to material sciences. This includes applications where the object is known and sufficiently sparse, allowing it to be described with a reduced number of parameters. When no explicit parameterization is available, a deep generative model can be trained to represent an object in a low-dimensional latent space. In this paper, we harness this dimensionality reduction capability of autoencoders to search for the object solution within the latent space rather than the object space. We demonstrate what we believe to be a novel approach to ptychographic image reconstruction by integrating a deep generative model obtained from a pre-trained autoencoder within an automatic differentiation ptychography (ADP) framework. This approach enables the retrieval of objects from highly ill-posed diffraction patterns, offering an effective method for noise-robust latent vector reconstruction in ptychography. Moreover, the mapping into a low-dimensional latent space allows us to visualize the optimization landscape, which provides insight into the convexity and convergence behavior of the inverse problem. With this work, we aim to facilitate new applications for sparse computational imaging such as when low radiation doses or rapid reconstructions are essential.","","en","journal article","","","","","","","","","","","ImPhys/Coene group","","",""
"uuid:6836db07-c692-40f9-91bc-409feaba2d28","http://resolver.tudelft.nl/uuid:6836db07-c692-40f9-91bc-409feaba2d28","Evolutions of Microstructure and Crystallographic Texture in an Fe-1.2 wt.% Si Alloy After (A)Symmetric Warm Rolling and Annealing","Nguyen-Minh, Tuan (Universiteit Gent); Petrov, R.H. (TU Delft Team Maria Santofimia Navarro; Universiteit Gent); Cicalè, Stefano (Rina Consulting - Centro Sviluppo Materiali); Kestens, L.A.I. (TU Delft Team Maria Santofimia Navarro; Universiteit Gent)","","2024","Rolling and annealing is a crucial technology to produce electrical steel sheets. This technology is not just aimed to control the geometry of steel sheets but more importantly to enhance the magnetic properties of the final products via appropriate microstructure and crystallographic texture. In this study, the evolution of microstructures and textures of an Fe-1.2 wt.% Si alloy through the entire processing route (from reheating, warm rolling to annealing) is monitored by electron back-scatter diffraction. Plastic flows of the material during conventional and asymmetric rolling are analyzed in detail based on geometric parameters of the rolling gaps. Deformation textures are accurately predicted by the full-constraint Taylor and advanced Lamel (ALAMEL) crystal plasticity models. The development of recrystallization textures is accounted for by the plastically stored energy in deformed crystals, which in turn is approximated by the plastically dissipated power (i.e., the Taylor factor) as predicted by the full constraint Taylor model. Although asymmetric warm rolling does not produce an improved texture or microstructure for electrical steels, the present study provides useful information on the evolution of the recrystallization microstructure and texture in steels with a complex strain history after asymmetric warm rolling.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-08","","","Team Maria Santofimia Navarro","","",""
"uuid:370113ef-a243-424d-9e6c-007a1a5d1dd6","http://resolver.tudelft.nl/uuid:370113ef-a243-424d-9e6c-007a1a5d1dd6","Temperature effects on fatigue delamination behavior in thermoset composite laminates","Yao, L. (TU Delft Structural Integrity & Composites; Harbin Institute of Technology; Aircraft Strength Research Institute); Chuai, Mingyue (Harbin Institute of Technology); Li, Hanyue (Harbin Institute of Technology); Chen, Xiangming (Aircraft Strength Research Institute); Quan, D. (TU Delft Structural Integrity & Composites; Shandong University); Alderliesten, R.C. (TU Delft Group Alderliesten); Beyens, M. (Student TU Delft)","","2024","Temperature can significantly affect fatigue delamination growth (FDG) behavior in composites, while fiber bridging has been frequently reported during FDG. The focus of this study was therefore on investigating temperature effects on FDG behavior with fiber bridging. Mode I fatigue delamination experiments were conducted on a thermoset composite laminates M30SC/DT120 at different temperatures. The Paris relation and fatigue resistance curve (i.e. fatigue R-curve) were used to interpret bridging effects on FDG behavior and to explore temperature effects on fiber bridging development. A modified Paris relation was employed to determine the effects of temperature on the intrinsic FDG behavior at the crack front excluding fiber bridging. The Paris interpretations clearly demonstrate that fiber bridging can significantly retard FDG behavior at different temperatures. Temperature can have different effects on fiber bridging development and the intrinsic FDG behavior. Particularly, elevated temperature can promote more bridging fibers, whereas decreased temperature has negligible influence on fiber bridging. When looking at the intrinsic delamination resistance, mode I FDG can accelerate at elevated temperature but decrease at freezing temperature. Fractographic examinations indicate that fiber/matrix interface debonding is the dominant damage mechanism in mode I FDG at different temperatures. Elevated temperature can lead to the weakening of interface adhesion, contributing to faster intrinsic mode I FDG behavior and more fiber bridging development. And a semi-empirical fatigue model based on normalization was finally proposed to determine mode I intrinsic FDG behavior at different temperatures for engineering applications.","Fatigue delamination growth; Fiber bridging retardation; Polymer-matrix composites; Temperature effects","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-10","","","Structural Integrity & Composites","","",""
"uuid:6231852e-42cc-469b-9e77-f9134726cf38","http://resolver.tudelft.nl/uuid:6231852e-42cc-469b-9e77-f9134726cf38","Selecting for a high lipid accumulating microalgae culture by dual growth limitation in a continuous bioreactor","Policastro, G. (TU Delft BT/Environmental Biotechnology; Università degli Studi di Napoli Federico II); Ebrahimi, S. (TU Delft BT/Environmental Biotechnology; Sahand University of Technology); Weissbrodt, D.G. (TU Delft BT/Environmental Biotechnology; Norwegian University of Science and Technology (NTNU)); Fabbricino, Massimiliano (Università degli Studi di Napoli Federico II); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology)","","2024","A dual-growth-limited continuous operated bioreactor (chemostat) was used to enhance lipid accumulation in an enrichment culture of microalgae. The light intensity and nitrogen concentration where both limiting factors resulting in high lipid accumulation in the mixed culture. Both conditions of light and nitrogen excess and deficiency were tested. Strategies to selectively enrich for a phototrophic lipid-storing community, based on the use of different nitrogen sources (ammonium vs. nitrate) and vitamin B supplementation in the growth medium, were evaluated. The dual limitation of both nitrogen and light enhanced the accumulation of storage compounds. Ammoniacal nitrogen was the preferred nitrogen source. Vitamin B supplementation led to a doubling of the lipid productivity. The availability of vitamins played a key role in selecting an efficient lipid-storing community, primarily consisting of Trebouxiophyceae (with an 82 % relative abundance among eukaryotic microorganisms). The obtained lipid volumetric productivity (387 mg L−1 d−1) was among the highest reported in literature for microalgae bioreactors. Lipid production by the microalgae enrichment surpassed the efficiencies reported for continuous microalgae pure cultures, highlighting the benefits of mixed-culture photo-biotechnologies for fuels and food ingredients in the circular economy.","Ammonium; Dual growth limitation; Lipid production; Mixed culture microalgae; Vitamin B","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-12","","","BT/Environmental Biotechnology","","",""
"uuid:cc6e2ae1-2500-4339-ab9c-bcb8ba8eb9ce","http://resolver.tudelft.nl/uuid:cc6e2ae1-2500-4339-ab9c-bcb8ba8eb9ce","Integrated CO2 capture and reduction catalysis: Role of γ-Al2O3 support, unique state of potassium and synergy with copper","Pinto, D. (TU Delft ChemE/Catalysis Engineering); Minorello, S. (TU Delft ChemE/Catalysis Engineering); Zhou, Zhouping (Student TU Delft); Urakawa, A. (TU Delft ChemE/Catalysis Engineering)","","2024","Carbon dioxide capture and reduction (CCR) process emerges as an efficient catalytic strategy for CO2 capture and conversion to valuable chemicals. K-promoted Cu/Al2O3 catalysts exhibited promising CO2 capture efficiency and highly selective conversion to syngas (CO + H2). The dynamic nature of the Cu-K system at reaction conditions complicates the identification of the catalytically active phase and surface sites. The present work aims at more precise understanding of the roles of the potassium and copper and the contribution of the metal oxide support. While γ-Al2O3 guarantees high dispersion and destabilisation of the potassium phase, potassium and copper act synergistically to remove CO2 from diluted streams and promote fast regeneration of the active phase for CO2 capture releasing CO while passing H2. A temperature of 350℃ is found necessary to activate H2 dissociation and generate the active sites for CO2 capture. The effects of synthesis parameters on the CCR activity are also described by combination of ex-situ characterisation of the materials and catalytic testing.","Aluminium oxide; Carbon capture and utilisation; Carbon dioxide; CO capture and reduction; Copper catalysts; Heterogeneous catalysis; Potassium; Solid CO sorbents","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-07","","","ChemE/Catalysis Engineering","","",""
"uuid:1451be4e-fb3b-4bf3-b3d6-8957edc50a01","http://resolver.tudelft.nl/uuid:1451be4e-fb3b-4bf3-b3d6-8957edc50a01","How do system and user characteristics, along with anthropomorphism, impact cognitive absorption of chatbots – Introducing SUCCAST through a mixed methods study","Sarraf, Shagun (Indian Institute of Technology Delhi); Kar, Arpan Kumar (Indian Institute of Technology Delhi); Janssen, M.F.W.H.A. (TU Delft Engineering, Systems and Services)","","2024","Chatbots are radically redefining the customer service landscape. With the advent of AI-enabled chatbots, like ChatGPT, organizations are adopting chatbots to provide better customer services; however, the user experience has been given less attention. Building on IS success model and cognitive absorption theory, we posit that system and user characteristics enhance cognitive absorption amongst users, such that the relationship varies between anthropomorphic (e.g., human-like) and non-anthropomorphic chatbots. We undertook a cross-sectional comparative study, which was analyzed using PLS-SEM and fsQCA. Where PLS-SEM provided limited inferential insights about the differences between anthropomorphic and non-anthropomorphic chatbots, the FsQCA analysis resulted in three configurations of attributes for non-anthropomorphic and two configurations for anthropomorphic chatbots, which lead to higher cognitive absorption. The findings extend the existing literature, suggesting that anthropomorphic and non-anthropomorphic chatbots impact cognitive absorption through separate system and user characteristics configurations.","Anthropomorphism; Artificial intelligence; Chatbots; Cognitive absorption; Generative artificial intelligence; Qualitative comparative analysis","en","journal article","","","","","","","","","","Engineering, Systems and Services","","","",""
"uuid:cbc8e62f-0959-4ca6-9d42-bdb2af00c88c","http://resolver.tudelft.nl/uuid:cbc8e62f-0959-4ca6-9d42-bdb2af00c88c","Design optimisation for hybrid metal additive manufacturing for sustainable construction","Baqershahi, Mohammad Hassan (Leibniz Universität); Ayas, C. (TU Delft Computational Design and Mechanics); Ghafoori, Elyas (Leibniz Universität)","","2024","Wire arc additive manufacturing (WAAM) enables the manufacturing of efficient and lightweight structural elements in which material can be utilised wherever needed in an optimised shape, in contrast to standard prismatic profiles used in construction. However, the specific energy consumption (SEC) of WAAM is higher than that of conventional manufacturing (CM) techniques (i.e., hot-rolling) for standard profiles. Therefore, it is an open question whether the material savings through computational design realised via WAAM is environmentally beneficial or not. This systematic study aims to provide a better understanding of the environmental impact of hybrid manufacturing, which is defined as the combination of WAAM and CM rather than using any of them alone. Topology optimisation (TO) is used to design a series of beams with an identical performance (i.e., stiffness) but with a reduced material consumption depending on the hybrid ratio. The environmental impact of the designs has been used to determine when and how hybridisation can become advantageous. The results show that although the optimal proportions of WAAM and CM are dependent on their relative SEC, the hybrid solutions have always been environmentally superior compared to that of WAAM or CM alone for the realistic SEC values, exhibiting up to a 60% reduction in environmental impact compared to that of CM.","Design for sustainability; Environmental assessment; Hybrid manufacturing; Metal additive manufacturing; Topology optimisation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-21","","","Computational Design and Mechanics","","",""
"uuid:39c20063-e4b7-4d77-be36-a49072230d98","http://resolver.tudelft.nl/uuid:39c20063-e4b7-4d77-be36-a49072230d98","Regional design: A transformative approach to planning","Balz, Verena Elisabeth (TU Delft Spatial Planning and Strategy)","","2024","Spatial planning approaches have changed since the 1990s. Major shifts in the institutional architecture of planning schemes have occurred: plan-led planning approaches – characterized by fixed administrative boundaries, statutory frameworks, and paternalistic forms of government – have turned into development-led approaches, in which soft planning follows and facilitates development proposals by market actors and the civil society. Dilemmas that are triggered by an accumulation of competing spatial claims – often due to highly urgent climate mitigation and adaptation measures – and a coupling of structural social, economic and political change have resulted in a greater appreciation of soft, adaptive, and flexible spatial planning approaches. Such approaches involve knowledge about particular areas, draw on place-based community-led initiatives and tailored temporary governance arrangements. They employ more transformative perceptions of natural, metabolic and evolutionary spatial change. In a context of uncertainty, contentiousness and complexity, they aim at unlocking more immediate and effective societal responses to problems in the built environment while maintaining robust, longterm planning rationales at the same time (Van Buuren et al., 2013; Nadin et al., 2021).","spatial design; regional planning; regional design","en","contribution to periodical","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-19","","","Spatial Planning and Strategy","","",""
"uuid:62835275-1aec-4d5f-a326-94192279b3bb","http://resolver.tudelft.nl/uuid:62835275-1aec-4d5f-a326-94192279b3bb","Navigating the perils of artificial intelligence: a focused review on ChatGPT and responsible research and innovation","Polyportis, A. (TU Delft BT/Biotechnology and Society); Pachos-Fokialis, N. (TU Delft Economics of Technology and Innovation)","","2024","While the rise of artificial intelligence (AI) tools holds promise for delivering benefits, it is important to acknowledge the associated risks of their deployment. In this article, we conduct a focused literature review to address two central research inquiries concerning ChatGPT and similar AI tools. Firstly, we examine the potential pitfalls linked with the development and implementation of ChatGPT across the individual, organizational, and societal levels. Secondly, we explore the role of a multi-stakeholder responsible research and innovation framework in guiding chatbots’ sustainable development and utilization. Drawing inspiration from responsible research and innovation and stakeholder theory principles, we underscore the necessity of comprehensive ethical guidelines to navigate the design, inception, and utilization of emerging AI innovations. The findings of the focused review shed light on the potential perils of ChatGPT implementation across various societal levels, including issues such as devaluation of relationships, unemployment, privacy concerns, bias, misinformation, and digital inequities. Furthermore, the proposed multi-stakeholder Responsible Research and Innovation framework can empower AI stakeholders to proactively anticipate and deliberate upon AI’s ethical, social, and environmental implications, thus substantially contributing to the pursuit of responsible AI implementation.","OA-Fund TU Delft","en","journal article","","","","","","","","","","","BT/Biotechnology and Society","","",""
"uuid:3f015c0d-f06e-4c04-a2f0-34b28640141a","http://resolver.tudelft.nl/uuid:3f015c0d-f06e-4c04-a2f0-34b28640141a","Approximate dynamic programming for constrained linear systems: A piecewise quadratic approximation approach","He, K. (TU Delft Team Bart De Schutter); Shi, S. (TU Delft Team Bart De Schutter); van den Boom, A.J.J. (TU Delft Team Ton van den Boom); De Schutter, B.H.K. (TU Delft Delft Center for Systems and Control)","","2024","Approximate dynamic programming (ADP) faces challenges in dealing with constraints in control problems. Model predictive control (MPC) is, in comparison, well-known for its accommodation of constraints and stability guarantees, although its computation is sometimes prohibitive. This paper introduces an approach combining the two methodologies to overcome their individual limitations. The predictive control law for constrained linear quadratic regulation (CLQR) problems has been proven to be piecewise affine (PWA) while the value function is piecewise quadratic. We exploit these formal results from MPC to design an ADP method for CLQR problems with a known model. A novel convex and piecewise quadratic neural network with a local–global architecture is proposed to provide an accurate approximation of the value function, which is used as the cost-to-go function in the online dynamic programming problem. An efficient decomposition algorithm is developed to generate the control policy and speed up the online computation. Rigorous stability analysis of the closed-loop system is conducted for the proposed control scheme under the condition that a good approximation of the value function is achieved. Comparative simulations are carried out to demonstrate the potential of the proposed method in terms of online computation and optimality.","Approximate dynamic programming; Constrained linear quadratic regulation; Model predictive control; Neural networks; Reinforcement learning; Value function approximation","en","journal article","","","","","","","","","","Delft Center for Systems and Control","Team Bart De Schutter","","",""
"uuid:74caa480-7234-4270-a231-9f6bb1903951","http://resolver.tudelft.nl/uuid:74caa480-7234-4270-a231-9f6bb1903951","Reset-free data-driven gain estimation: Power iteration using reversed-circulant matrices","Oomen, T.A.E. (TU Delft Team Jan-Willem van Wingerden; Eindhoven University of Technology); Rojas, Cristian R. (KTH Royal Institute of Technology)","","2024","A direct data-driven iterative algorithm is developed to accurately estimate the H∞ norm of a linear time-invariant system from continuous operation, i.e., without resetting the system. The main technical step involves a reversed-circulant matrix that can be evaluated in a model-free setting by performing experiments on the real system.","Data-driven control; Data-driven robust control; Identification and control methods; Identification for control; Input and excitation design","en","journal article","","","","","","This work is part of the research programme VIDI with project number 15698, which is (partly) financed by the Netherlands Organisation for Scientific Research (NWO), by the Digital Futures project EXTREMUM, and by the Swedish Research Council under contract number 2016-06079 (NewLEADS).","","","","","Team Jan-Willem van Wingerden","","",""
"uuid:4ea63b71-5872-4cab-b82d-c703aff84755","http://resolver.tudelft.nl/uuid:4ea63b71-5872-4cab-b82d-c703aff84755","Greening the dike revetment with historic sod transplantation technique in a living lab","van den Hoven, Kim (Wageningen University & Research); Grashof-Bokdam, Carla J. (Wageningen University & Research); Slim, Pieter A. (Wageningen University & Research); Wentholt, Ludolph (STOWA); Peeters, Patrik (Flanders Hydraulics Research); Depreiter, Davy (Flanders Hydraulics Research); Koelewijn, André R. (Deltares); Stoorvogel, Marte M. (NIOZ Royal Netherlands Institute for Sea Research); van den Berg, M. (TU Delft Hydraulic Engineering); Kroeze, Carolien (Wageningen University & Research); van Loon-Steensma, Jantsje M. (Wageningen University & Research; Van Hall Larenstein)","","2024","Coastal flood managers seek to anticipate future flood risk and as a result consider the adaptation of flood defences. Instead of crest heightening, dikes can be adapted to include hydrodynamic reducing vegetated foreshores to form a nature-based hybrid flood defence, for instance; at managed realignments. In this study we investigated the potential of vegetated revetments as a natural continuous connection between the realigned dike and restored foreshore. We applied the historic grass sod transplantation technique with the aim to improve our understanding of the strength of a transplanted sod revetment. In Living Lab Hedwige-Prosperpolder, dikes were available for in-situ experiments during managed realignment preparations. We transplanted grass sods and studied erosion resistance after one growth season. Our results show transplanted sod vegetation continued to grow and started to attach to the clay layer. While erosion occurred under extreme wave impact and overflow, the sod pulling method revealed individual sod strength. In conclusion, sod transplantation is a good technique to source local material for green realigned dike revetments. A vegetated dike revetment can hereby create a natural continuous connection between the realigned dike and foreshore, which benefits flood protection as well as flora and fauna.","hybrid flood defence; in-situ experiment; living lab Hedwige-Prosperpolder; managed realignment; nature-based flood protection; realigned dike; sod transplantation; vegetated dike revetment","en","journal article","","","","","","","","","","Hydraulic Engineering","","","",""
"uuid:093c0762-24b2-4e78-bd77-99076996de17","http://resolver.tudelft.nl/uuid:093c0762-24b2-4e78-bd77-99076996de17","An integrated single-cell RNA-seq atlas of the mouse hypothalamic paraventricular nucleus links transcriptomic and functional types","Berkhout, J. B. (Leiden University Medical Center); Poormoghadam, D. (Netherlands Institute for Neuroscience NIN - KNAW; Amsterdam UMC); Yi, C. (Amsterdam UMC); Kalsbeek, A. (Netherlands Institute for Neuroscience NIN - KNAW; Amsterdam UMC); Meijer, O. C. (Leiden University Medical Center); Mahfouz, A.M.E.T.A. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center)","","2024","The hypothalamic paraventricular nucleus (PVN) is a highly complex brain region that is crucial for homeostatic regulation through neuroendocrine signaling, outflow of the autonomic nervous system, and projections to other brain areas. In the past years, single-cell datasets of the hypothalamus have contributed immensely to the current understanding of the diverse hypothalamic cellular composition. While the PVN has been adequately classified functionally, its molecular classification is currently still insufficient. To address this, we created a detailed atlas of PVN transcriptomic cell types by integrating various PVN single-cell datasets into a recently published hypothalamus single-cell transcriptome atlas. Furthermore, we functionally profiled transcriptomic cell types, based on relevant literature, existing retrograde tracing data, and existing single-cell data of a PVN-projection target region. Finally, we validated our findings with immunofluorescent stainings. In our PVN atlas dataset, we identify the well-known different neuropeptide types, each composed of multiple novel subtypes. We identify Avp-Tac1, Avp-Th, Oxt-Foxp1, Crh-Nr3c1, and Trh-Nfib as the most important neuroendocrine subtypes based on markers described in literature. To characterize the preautonomic functional population, we integrated a single-cell retrograde tracing study of spinally projecting preautonomic neurons into our PVN atlas. We identify these (presympathetic) neurons to cocluster with the Adarb2+ clusters in our dataset. Further, we identify the expression of receptors for Crh, Oxt, Penk, Sst, and Trh in the dorsal motor nucleus of the vagus, a key region that the pre-parasympathetic PVN neurons project to. Finally, we identify Trh-Ucn3 and Brs3-Adarb2 as some centrally projecting populations. In conclusion, our study presents a detailed overview of the transcriptomic cell types of the murine PVN and provides a first attempt to resolve functionality for the identified populations.","hypothalamus; neuroendocrine; paraventricular; preautonomic; single-cell","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:cf5921b2-6655-41c6-a88e-173e0ac3c93b","http://resolver.tudelft.nl/uuid:cf5921b2-6655-41c6-a88e-173e0ac3c93b","Revealing The Degradation Mechanism of (Sr,Ca)AlSiN3:Eu2+ Phosphor Aged Under Thermal-Moisture-Sulfur Conditions: A Combined Experimental and Ab Initio Study","Guo, Baotong (Fudan University); Wen, Minzhen (Fudan University); Tang, Hongyu (Fudan University); Lishik, Sergey (Center of LED and Optoelectronic Technologies of NAS Belarus); Fan, X. (TU Delft Electronic Components, Technology and Materials; Lamar University College of Engineering); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University; Changchun Institute of Optics Fine Mechanics and Physics Chinese Academy of Sciences; Fudan Zhangjiang Institute)","","2024","MAlSiN3:Eu2+ (M = Ca, Sr) is commonly used in high-power phosphor-converted white-light-emitting diodes and laser diodes to promote their color-rendering index. However, the wide application of this phosphor is limited by the degradation of its luminescent properties in high-temperature, high-humidity, and high-sulfur-content environment. Here, the degradation mechanism of the (Sr,Ca)AlSiN3:Eu2+ (SCASN) red phosphor under thermal-moisture-sulfur coupling conditions is investigated. Furthermore, by performing first-principles calculations, the hydrolysis mechanism on an atomic scale is assessed. The adsorption energy (Eads) and charge transfer (ΔQ) results showed that H2O chemically adsorbed on the (0 1 0), (3 1 0), and (0 0 1) surfaces of the CaAlSiN3 (CASN) host lattice. The energy barrier for H2O dissociation is only 29.73 kJ mol−1 on the CASN (0 1 0) surface, indicating a high dissociation probability. The formation of NH3, Ca(OH)2, and CaAl2Si2O8 is confirmed by H+ tended to combine with surface N atoms, while OH− combined with the surface Al/Si or Ca atoms. Moreover, ab initio molecular dynamics simulations were performed to further understand the hydrolysis process. This work offers a guidance on the design and applications of luminescent materials in LED packages with higher reliability and stability requirements in harsh environment.","(Sr; Ca)AlSiN:Eu phosphor; degradation mechanism; first-principles calculation; hydrolysis reaction; sulfur and hydrogen sulfide","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:9998406a-cf6f-4d03-adbe-de712b422701","http://resolver.tudelft.nl/uuid:9998406a-cf6f-4d03-adbe-de712b422701","Settlement success of European flat oyster (Ostrea edulis) on different types of hard substrate to support reef development in offshore wind farms","ter Hofstede, R. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Van Oord DMC); Witte, Sterre (NIOZ Royal Netherlands Institute for Sea Research; Rijksuniversiteit Groningen); Kamermans, Pauline (Wageningen University & Research); van Koningsveld, M. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Van Oord DMC); Tonk, Linda (Wageningen University & Research)","","2024","The native European flat oyster (Ostrea edulis) is an ecosystem engineer providing important ecosystem services, but became nearly extinct from the North Sea due to diseases and overfishing. There's a growing interest to restore these oyster reefs for their valuable contribution in re-establishing a rich ecosystem in the North Sea. In order to reintroduce the flat oyster population, the availability of hard substrate is crucial for initial settlement and reef development. Such substrate is offered by the infrastructure in offshore wind farms, by means of quarried rock placed at the base of the wind turbine foundations and on top of cable crossings to prevent scouring of the seabed. Further anthropogenic disturbances of the seabed are largely restricted, making wind farm areas promising sites for oyster reef restoration. For successful oyster reef initiation, offering a suitable type of substrate for larvae settlement is important. Here, we assess the settlement preference of flat oysters on 9 different types of substrate, by comparing total settlement, spat densities and spat survival. Oyster larvae settlement preference based on the total number of spat per surface area of the substrate was the highest for granite, a rock type conventionally used as scour protection in offshore wind farms. The lowest settlement preference was observed for steel and the biodegradable polymer BESE. The experiments were performed in a spatting pond and in a natural bay to be able to compare spat collection under controlled and natural conditions. Settlement rates in the spatting pond were much higher than in the natural environment, though survival rates were lower. Our results provide insight in the settlement preference of the European flat oyster for different types of substrate under controlled and natural conditions. Knowing these favorable substrates and conditions for oyster larvae settlement allows for the selection of pro-active measures that contribute to flat oyster reef restoration in the North Sea.","Flat oyster; Hard substrates; Infrastructure; Offshore wind; Reef restoration; Settlement","en","journal article","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:350b7c3d-c628-407b-8dfb-b327f2205bae","http://resolver.tudelft.nl/uuid:350b7c3d-c628-407b-8dfb-b327f2205bae","Mapping the spatiotemporal variability in global storm surge water levels using satellite radar altimetry","Bij de Vaate, I. (TU Delft Water Resources); Slobbe, D.C. (TU Delft Physical and Space Geodesy); Verlaan, M. (TU Delft Mathematical Physics; Deltares)","","2024","Multi-mission satellite altimetry data have been used to study the spatial and temporal variability in global storm surge water levels. This was done by means of a time-dependent extreme value analysis applied to the monthly maximum detided water levels. To account for the limited temporal resolution of the satellite data, the data were first stacked on a 5∘× 5∘ grid. Moreover, additional scaling was applied to the extreme value analysis for which the scaling factors were determined by means of a resampling method using reanalysis data. In addition to the conventional analysis using data from tide gauges, this study provides an insight in the ocean-wide storm surge properties. Nonetheless, where possible, results were compared to similar information derived from tide gauge data. Except for secular changes, the satellite-derived results are comparable to the information derived from tide gauges (correlation > 0.5), although the tide gauges show more local variability. Where limited correlation was observed for the secular change, it was suggested that the satellites may not be able to fully capture the temporal variability in the short-lived, tropical storms, as opposed to extra-tropical storms.","Extreme value analysis; Global change; Satellite radar altimetry; Sea level; Storm surges","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:e16bfa00-f7c2-4cf8-a815-abb0d54257f6","http://resolver.tudelft.nl/uuid:e16bfa00-f7c2-4cf8-a815-abb0d54257f6","Label synchronization for Hybrid Federated Learning in manufacturing and predictive maintenance","Llasag Rosero, Raúl (University of Coimbra, Centre for Informatics and System); Silva, Catarina (University of Coimbra, Centre for Informatics and System); Ribeiro, Bernardete (University of Coimbra, Centre for Informatics and System); Santos, Bruno F. (TU Delft Air Transport & Operations)","","2024","Artificial Intelligence (AI) is transforming the future of industries by introducing new paradigms. To address data privacy and other challenges of decentralization, research has focused on Federated Learning (FL), which combines distributed Machine Learning (ML) models from multiple parties without exchanging confidential information. However, conventional FL methods struggle to handle situations where data samples have diverse features and sizes. We propose a Hybrid Federated Learning solution with label synchronization to overcome this challenge. Our FedLabSync algorithm trains a feed-forward Artificial Neural Network while alerts that it can aggregate knowledge of other ML architectures compatible with the Stochastic Gradient Descent algorithm by conducting a penalized collaborative optimization. We conducted two industrial case studies: product inspection in Bosch factories and aircraft component Remaining Useful Life predictions. Our experiments on decentralized data scenarios demonstrate that FedLabSync can produce a global AI model that achieves results on par with those of centralized learning methods.","Artificial Neural Network; Federated Learning; Hybrid Federated Learning; Machine Learning; Stochastic Gradient Descent","en","journal article","","","","","","","","","","","Air Transport & Operations","","",""
"uuid:0708f9cb-8de2-43cd-af3c-38bd68ee25f5","http://resolver.tudelft.nl/uuid:0708f9cb-8de2-43cd-af3c-38bd68ee25f5","Synchronized optimization of wind farm start-stop and yaw control based on 3D wake model","Mr. Wang, Q. (TU Delft Wind Energy; Hubei University of Technology); Xu, Tangjie (Hubei University of Technology); von Terzi, D.A. (TU Delft Wind Energy); Xia, Wei (Hubei University of Technology); Wang, Zhenhai (Hubei University of Technology); Zhang, Haoran (Hubei University of Technology)","","2024","In existing wind farms, the overall power output can be increased through yaw control. However, the cooperative control of start/stop, yaw and turbines positions is often overlooked, leading to wake superposition to downstream wind turbines and suboptimal power output. This paper proposes a synchronized optimized method that considers start/stop, yaw and turbines positions control based on a three-dimensional wake model and yaw flow superposition model. The objective function of the proposed strategy is to maximize the power output of the Chapman Ranch (CR) wind farm. Four cases are considered: start-stop, yaw control, start-stop & yaw control and start-stop & yaw & turbines positions control. The particle swarm algorithm is introduced to optimize the wind farm layout. According to the results, considering start-stop, yaw and turbines positions optimization can not only increase the annual power output of the wind farm by 8.85 %, but also avoid the colliding wake in the CR wind farm. However, the other three cases will cause colliding wake in some fields of the CR wind farm. This study provides important guidance on improving the overall power output of existing wind farms.","3D wake model; Optimization; Start-stop; Wind farm layout; yaw control","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-05","","","Wind Energy","","",""
"uuid:6e04b6b9-5aae-4c63-b923-354f13a3085a","http://resolver.tudelft.nl/uuid:6e04b6b9-5aae-4c63-b923-354f13a3085a","The interface structural, electronic and optical properties of ZnO nanowires/Graphene nanohybrid (ZnO NWs/G): Experimental and theoretical DFT investigations","Boukhoubza, Issam (National Institute of Materials Physics); Achehboune, Mohamed (University of Namur); Derkaoui, Issam (University Sidi Mohammed Ben Abdellah); Apostol, Mariana Mihaela (National Institute of Materials Physics; Politehnica University of Bucharest); Basyooni, Mohamed A. (TU Delft Dynamics of Micro and Nano Systems; Selçuk University); Khenfouch, Mohammed (Ibn Zohr University); Nedelcu, Liviu (National Institute of Materials Physics); Enculescu, Ionut (National Institute of Materials Physics); Matei, Elena (National Institute of Materials Physics)","","2024","In this work, a ZnO nanowires/graphene nanohybrid was synthesized by a three steps approach. Copper substrates were covered with graphene by chemical vapor deposition, further ZnO nanowires were electrochemically deposited on the as grown graphene on copper and finally a transfer process was employed for moving the heterostructure onto a different substrate. A comprehensive structural analysis which included scanning electron microscopy, X-ray diffraction and Raman measurements revealed that the ZnO nanowires crystallize in wurtzite structure perpendicular to graphene, the process leading to the formation of a nanohybrid heterostructure. The band gap energy of the ZnO nanowires deposited on graphene was estimated to be 3.11 eV, as calculated from the reflectance spectrum analysis. The GGA-PBE+U within Grimme (DFT-D) approach was used to provide an accurate description of the interface structure in terms of electronic and optical properties, confirming that the decrease in the band gap energy of ZnO nanowires is caused by the interaction with the graphene surface. The findings of this study could serve as an experimental and theoretical reference for upcoming studies on ZnO NWs/Graphene nanohybrid-based optoelectronic applications.","Electrochemical deposition; GGA-PBE+U; Optoelectronic properties; ZnO NWs/Graphene","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-12","","","Dynamics of Micro and Nano Systems","","",""
"uuid:f8962315-d49a-498b-944f-6bdbd421f6cf","http://resolver.tudelft.nl/uuid:f8962315-d49a-498b-944f-6bdbd421f6cf","Impact of calibrating a low-cost capacitance-based soil moisture sensor on AquaCrop model performance","Adla, Soham (Technische Universität München); Bruckmaier, Felix (Technische Universität München); Arias-Rodriguez, Leonardo F. (Technische Universität München); Tripathi, Shivam (Indian Institute of Technology Kanpur); Pande, S. (TU Delft Water Resources); Disse, Markus (Technische Universität München)","","2024","Sensor data and agro-hydrological modeling have been combined to improve irrigation management. Crop water models simulating crop growth and production in response to the soil-water environment need to be parsimonious in terms of structure, inputs and parameters to be applied in data scarce regions. Irrigation management using soil moisture sensors requires them to be site-calibrated, low-cost, and maintainable. Therefore, there is a need for parsimonious crop modeling combined with low-cost soil moisture sensing without losing predictive capability. This study calibrated the low-cost capacitance-based Spectrum Inc. SM100 soil moisture sensor using multiple least squares and machine learning models, with both laboratory and field data. The best calibration technique, field-based piece-wise linear regression (calibration r2 = 0.76, RMSE = 3.13 %, validation r2 = 0.67, RMSE = 4.57 %), was used to study the effect of sensor calibration on the performance of the FAO AquaCrop Open Source (AquaCrop-OS) model by calibrating its soil hydraulic parameters. This approach was tested during the wheat cropping season in 2018, in Kanpur (India), in the Indo-Gangetic plains, resulting in some best practices regarding sensor calibration being recommended. The soil moisture sensor was calibrated best in field conditions against a secondary standard sensor (UGT GmbH. SMT100) taken as a reference (r2 = 0.67, RMSE = 4.57 %), followed by laboratory calibration against gravimetric soil moisture using the dry-down (r2 = 0.66, RMSE = 5.26 %) and wet-up curves respectively (r2 = 0.62, RMSE = 6.29 %). Moreover, model overfitting with machine learning algorithms led to poor field validation performance. The soil moisture simulation of AquaCrop-OS improved significantly by incorporating raw reference sensor and calibrated low-cost sensor data. There were non-significant impacts on biomass simulation, but water productivity improved significantly. Notably, using raw low-cost sensor data to calibrate AquaCrop led to poorer performances than using the literature. Hence using literature values could save sensor costs without compromising model performance if sensor calibration was not possible. The results suggest the essentiality of calibrating low-cost soil moisture sensors for crop modeling calibration to improve crop water productivity.","AquaCrop; Calibration; Crop modeling; Low-cost soil moisture sensor; Machine learning; Water productivity","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:c18d2ca0-48c3-4cbb-af67-ae9e6764be68","http://resolver.tudelft.nl/uuid:c18d2ca0-48c3-4cbb-af67-ae9e6764be68","An adaptive agent-based approach for instant delivery order dispatching: Incorporating task buffering and dynamic batching strategies","Lu, Miaojia (Tongji University; Ministry of Education, Shanghai); Yan, Xinyu (The Hong Kong Polytechnic University); Sharif Azadeh, S. (TU Delft Transport and Planning); Wang, P. (TU Delft Transport and Planning; Tongji University)","","2024","The volume of instant delivery has witnessed a significant growth in recent years. Given the involvement of numerous heterogeneous stakeholders, instant delivery operations are inherently characterized by dynamics and uncertainties. This study introduces two order dispatching strategies, namely task buffering and dynamic batching, as potential solutions to address these challenges. The task buffering strategy aims to optimize the assignment timing of orders to couriers, thereby mitigating demand uncertainties. On the other hand, the dynamic batching strategy focuses on alleviating delivery pressure by assigning orders to couriers based on their residual capacity and extra delivery distances. To model the instant delivery problem and evaluate the performances of order dispatching strategies, Adaptive Agent-Based Order Dispatching (ABOD) approach is developed, which combines agent-based modelling, deep reinforcement learning, and the Kuhn-Munkres algorithm. The ABOD effectively captures the system's uncertainties and heterogeneity, facilitating stakeholders learning in novel scenarios and enabling adaptive task buffering and dynamic batching decision-makings. The efficacy of the ABOD approach is verified through both synthetic and real-world case studies. Experimental results demonstrate that implementing the ABOD approach can lead to a significant increase in customer satisfaction, up to 275.42%, while simultaneously reducing the delivery distance by 11.38% compared to baseline policies. Additionally, the ABOD approach exhibits the ability to adaptively adjust buffering times to maintain high levels of customer satisfaction across various demand scenarios. As a result, this approach offers valuable support to logistics providers in making informed decisions regarding order dispatching in instant delivery operations.","Agent-based modelling; Deep reinforcement learning; Dynamic batching; Instant delivery; Task buffering","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:ab572c7a-efc9-4c55-8190-d81edd4929c3","http://resolver.tudelft.nl/uuid:ab572c7a-efc9-4c55-8190-d81edd4929c3","Assessing sampling and retrieval errors of GPROF precipitation estimates over the Netherlands","Bogerd, Linda (Wageningen University & Research; Royal Netherlands Meteorological Institute (KNMI)); Leijnse, Hidde (Royal Netherlands Meteorological Institute (KNMI)); Overeem, A. (TU Delft Water Resources; Royal Netherlands Meteorological Institute (KNMI)); Uijlenhoet, R. (TU Delft Water Resources)","","2024","The Goddard Profiling algorithm (GPROF) converts radiometer observations from Global Precipitation Measurement (GPM) constellation satellites into precipitation estimates. Typically, high-quality ground-based estimates serve as reference to evaluate GPROF's performance. To provide a fair comparison, the ground-based estimates are often spatially aligned to GPROF. However, GPROF combines observations from various sensors and channels, each associated with a distinct footprint. Consequently, uncertainties related to the representativeness of the sampled areas are introduced in addition to the uncertainty when converting brightness temperatures into precipitation intensities. The exact contribution of resampling precipitation estimates, required to spatially and temporally align different resolutions when combining or comparing precipitation observations, to the overall uncertainty remains unknown. Here, we analyze the current performance of GPROF over the Netherlands during a 4-year period (2017-2020) while investigating the uncertainty related to sampling. The latter is done by simulating the reference precipitation as satellite footprints that vary in size, geometry, and applied weighting technique. Only GPROF estimates based on observations from the conical-scanning radiometers of the GPM constellation are used. The reference estimates are gauge-adjusted radar precipitation estimates from two ground-based weather radars from the Royal Netherlands Meteorological Institute (KNMI). Echo top heights (ETHs) retrieved from the same radars are used to classify the precipitation as shallow, medium, or deep. Spatial averaging methods (Gaussian weighting vs. arithmetic mean) minimally affect the magnitude of the precipitation estimates. Footprint size has a higher impact but cannot explain all discrepancies between the ground- and satellite-based estimates. Additionally, the discrepancies between GPROF and the reference are largest for low ETHs, while the relative bias between the different footprint sizes and implemented weighting methods increase with increasing ETHs. Lastly, our results do not show a clear difference between coastal and land simulations. We conclude that the uncertainty introduced by merging different channels and sensors cannot fully explain the discrepancies between satellite- and ground-based precipitation estimates. Hence, uncertainties related to the retrieval algorithm and environmental conditions are found to be more prominent than resampling uncertainties, in particular for shallow and light precipitation.","","en","journal article","","","","","","Funding Information: This research has been supported by the Dutch Research Council (NWO, grant no. ALWGO.2018.048). Publisher Copyright: © 2024 Linda Bogerd et al.","","","","","Water Resources","","",""
"uuid:a568ba90-4266-4e09-9f5d-5be3b52116b3","http://resolver.tudelft.nl/uuid:a568ba90-4266-4e09-9f5d-5be3b52116b3","Thermally self-sufficient process for single-step coproduction of methanol and dimethyl ether by CO2 hydrogenation","Vaquerizo, L. (TU Delft ChemE/Product and Process Engineering; University of Valladolid); Kiss, A.A. (TU Delft ChemE/Product and Process Engineering)","","2024","Methanol and DME are highly efficient fuels and relevant building blocks that can be synthesized by CO2 hydrogenation. While several alternatives for methanol production by CO2 hydrogenation have already been developed at a commercial scale, DME production is still based on methanol dehydration. In this sense, the development of bifunctional methanol synthesis/dehydration catalysts is a clear opportunity for the simultaneous coproduction of methanol and DME in a single-step process. Although a few alternatives for DME-methanol coproduction have been proposed, either they need external fuels or refrigerants, or part of the CO2 used as raw material is purged, resulting in a loss of methanol and DME yields. This work presents a novel thermally self-sufficient process that hydrogenates CO2 into methanol and DME in a single reactor at 100 % yield (only water as a byproduct at 0.94 kgwater/kgproduct), that only consumes air, cooling water (0.006 m3 water/kgproducts) and electricity (net CO2 emissions of −1.20 or 0.64 kgCO2eq/kgproducts when the plant is operated with green or grey electricity, respectively). The innovative design, based on the combination of a top-divided wall column, an integrated heat network, and limited pressure drop in the reaction-separation loop, results in a thermally self-sufficient process that uses only 0.76 kWh per kg products.","Dividing-wall column; Dual catalyst; Energy efficiency; Process design; Process integration","en","journal article","","","","","","","","","","","ChemE/Product and Process Engineering","","",""
"uuid:fc0cc2b0-b2e5-4c69-a32c-fac5d145b70b","http://resolver.tudelft.nl/uuid:fc0cc2b0-b2e5-4c69-a32c-fac5d145b70b","Volumetric Pothole Detection from UAV-Based Imagery","Chen, Siyuan (University College Dublin; Hunan Institute of Science and Technology); Laefer, Debra F. (New York University; University College Dublin); Zeng, Xiangding (Hunan Institute of Science and Technology); Truong-Hong, Linh (TU Delft Optical and Laser Remote Sensing); Mangina, Eleni (University College Dublin)","","2024","Road networks are essential elements of a community's infrastructure and need regular inspection. Present practice requires traffic interruptions and safety risks for inspectors. The road detection system based on vehicle-mounted lasers is also quite mature, offering advantages such as high-precision defect detection, high automation, and fast detection speed. However, it does have drawbacks such as high equipment procurement and maintenance costs, limited flexibility, and insufficient coverage range. Therefore, this paper proposes a low-cost unmanned aerial vehicle (UAV)-based alternative using imagery for automatic road pavement inspection focusing on pothole detection and classification. A slicing-based method, entitled the Pavement Pothole Detection Algorithm, is applied to the imagery after it is converted into a three-dimensional point cloud. When compared with manually extracted results, the proposed UAV-structure-from-motion (SfM) method and the associated algorithm achieved 0.01 m level accuracy for pothole depth detection and maximum errors of 0.0053 m3 in volume evaluation for cases studies of both a road and a bridge deck.","Pavement evaluation; Photogrammetry; Point cloud; Structure from motion (SfM); Unmanned aerial vehicle (UAV)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-27","","","Optical and Laser Remote Sensing","","",""
"uuid:bcdbec1a-009e-4c63-a6c6-841d22bc7270","http://resolver.tudelft.nl/uuid:bcdbec1a-009e-4c63-a6c6-841d22bc7270","Modeling low saline carbonated water flooding including surface complexes","Alvarez, A. C. (Universidade Federal do Rio de Janeiro); Bruining, J. (TU Delft Reservoir Engineering); Marchesin, D. (Instituto Nacional de Matemática Pura e Aplicada - IMPA)","","2024","Carbonated water flooding (CWI) increases oil production due to favorable dissolution effects and viscosity reduction. Accurate modeling of CWI performance requires a simulator with the ability to capture the true physics of such process. In this study, compositional modeling coupled with surface complexation modeling (SCM) are done, allowing a unified study of the influence in oil recovery of reduction of salt concentration in water. The compositional model consists of the conservation equations of total carbon, hydrogen, oxygen, chloride and decane. The coefficients of such equations are obtained from the equilibrium partition of chemical species that are soluble both in oleic and the aqueous phases. SCM is done by using the PHREEQC program, which determines concentration of the master species. Estimation of the wettability as a function of the Total Bound Product (TBP) that takes into account the concentration of the complexes in the aqueous, oleic phases and in the rock walls is performed. We solve analytically and numerically these equations in 1 - D in order to elucidate the effects of the injection of low salinity carbonated water into a reservoir containing oil equilibrated with high salinity carbonated water.","Carbonate water flooding; Conservation laws; Surface complexation modeling; Wettability","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-01","","","Reservoir Engineering","","",""
"uuid:eb98389a-10c5-4e3c-b199-a1de87e81618","http://resolver.tudelft.nl/uuid:eb98389a-10c5-4e3c-b199-a1de87e81618","Autogenous deformation-induced stress evolution in cementitious materials considering viscoelastic properties: A review of experiments and models","Liang, M. (TU Delft Materials and Environment); Xie, J. (TU Delft Materials and Environment); He, S. (TU Delft Materials and Environment); Chen, Y. (TU Delft Materials and Environment); Schlangen, E. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment)","","2024","Early-age cracking risk induced by autogenous deformation is high for cementitious materials of low water-binder ratios. The autogenous deformation, viscoelastic properties, and stress evolution are three important factors for understanding and quantifying the early-age cracking risk. This paper systematically reviewed the experimental and modelling techniques of the three factors. It is found that the Temperature Stress Testing Machine is a unified experimental method for all these three factors, with a strain-controlled mode for stress evolution, hourly-repeated loading scheme for viscoelastic properties, and free condition for autogenous deformation. Such unified method provides basis for developing various models. By coupling a hydration model for volume fractions of hydrates, a homogenization model for upscaling of viscoelastic properties, and capillary pressure theory for self-desiccation shrinkage, a unified model directly mapping the mix design to the early-age stress can be constructed, which can help optimize the mix design to reduce the early-age cracking risk.","Autogenous deformation; Cementitious materials; Creep; Early-age cracking; Elastic modulus; Relaxation; Stress evolution","en","review","","","","","","","","","","","Materials and Environment","","",""
"uuid:1da56723-f07c-4f83-bb56-7401482d9071","http://resolver.tudelft.nl/uuid:1da56723-f07c-4f83-bb56-7401482d9071","RFID-based material passport system in a recycled concrete circular chain","Vahidi, A. (TU Delft Resources & Recycling); Gebremariam, A.T. (TU Delft Resources & Recycling); Di Maio, F. (TU Delft Resources & Recycling); Meister, K.R. (TU Delft Environmental Technology and Design); Koulaeian, T. (TU Delft Resources & Recycling); Rem, P.C. (TU Delft Resources & Recycling)","","2024","The construction industry urgently requires a resilient information system for effective coordination of data transmission among various stakeholders, including both the public and private sectors. Such an advanced digital solution would not only enhance transparency along the value chain but also improve both the quality of and confidence in recycled materials. Achieving circularity and reducing environmental impact are closely tied to the efficient management of material flows and life cycles. Within this context, Material Passports (MPs) are posited as a foundational element, particularly when integrated with a digital database. This integration is particularly beneficial for increasing the circularity of concrete, beginning with end-of-life concrete, a major contributor to global construction and demolition waste. MPs effectively transmit crucial information about the quality of recycled aggregates, thereby enabling their use in future construction projects. This study explores the feasibility of employing Radio Frequency Identification (RFID) technology as an MP, aiming to enhance sustainability in the concrete industry by improving transparency, traceability, and data reliability in the recycled concrete supply chain. Extensive laboratory tests carried out in three distinct experimental phases revealed that RFID tags exhibit remarkable resilience to mechanical stress typical in the supply chain and consistently maintain readability when embedded in concrete. The water content in concrete samples was identified as a significant factor influencing initial tag readability, although readability improved over time. Other factors, such as the type of aggregates, particle size distribution, and proximity to steel rebar, had minimal to modest impacts on tag performance. Additionally, the study confirmed that the readability of RFID tags remains robust at typical transport speeds, which highlights the potential of an RFID-based system in advancing supply chain management. This study provides a solid foundation for future research in this evolving area.","Circular economy; End-of-life concrete; Material passport; Recycling; RFID","en","journal article","","","","","","","","","","","Resources & Recycling","","",""
"uuid:97830b21-60d2-48bf-81c5-02112c1348c6","http://resolver.tudelft.nl/uuid:97830b21-60d2-48bf-81c5-02112c1348c6","Responsible mission governance: An integrative framework and research agenda","Wiarda, M.J. (TU Delft Values Technology and Innovation); Janssen, Matthijs J. (Universiteit Utrecht); Coenen, Tom B.J. (University of Twente); Doorn, N. (TU Delft Values Technology and Innovation)","","2024","Governance lies at the heart of instigating, steering, and creating the conditions for mission-oriented transitions that potentially help resolve some of our grand societal challenges. In doing so, policymakers will need to navigate both epistemic and normative considerations to develop, implement, and evaluate missions responsibly. A number of scholars have therefore expressed the need for a better conceptualization of responsible mission governance as a procedural approach, particularly with the aim of coping with the complexity, uncertainty, and contestation that render these wicked problems intractable. In this paper we develop an integrative framework for responsible mission governance by taking wickedness dimensions as our entry point. Accordingly, we argue that responsible mission governance should integrate various complementary governance responsibilities (e.g., reflexivity) and modes (e.g., reflexive governance) that potentially improve the effectiveness and desirability of missions.","Innovation policy; Mission; Responsible innovation; Societal challenge; Transformation; Transition","en","journal article","","","","","","","","","","Values Technology and Innovation","","","",""
"uuid:86a53abd-52a3-4ec7-b733-e4fdf374ab22","http://resolver.tudelft.nl/uuid:86a53abd-52a3-4ec7-b733-e4fdf374ab22","Embedding Fe(0) electrocoagulation in a biologically active As(III) oxidising filter bed","Roy, M. (TU Delft Sanitary Engineering); Kraaijeveld, E. (TU Delft Sanitary Engineering); Gude, J.C.J. (NX Filtration); van Genuchten, Case M. (Geological Survey of Denmark and Greenland); Rietveld, L.C. (TU Delft Sanitary Engineering); van Halem, D. (TU Delft Sanitary Engineering)","","2024","Long-term consumption of groundwater containing elevated levels of arsenic (As) can have severe health consequences, including cancer. To effectively remove As, conventional treatment technologies require expensive chemical oxidants to oxidise neutral arsenite (As(III)) in groundwater to negatively charged arsenate (As(V)), which is more easily removed. Rapid sand filter beds used in conventional aeration-filtration to treat anaerobic groundwater can naturally oxidise As(III) through biological processes but require an additional step to remove the generated As(V), adding complexity and cost. This study introduces a novel approach where As(V), produced through biological As(III) oxidation in a sand filter, is effectively removed within the same filter by embedding and operating an iron electrocoagulation (FeEC) system inside the filter. Operating FeEC within the biological filter achieved higher As(III) removal (81 %) compared to operating FeEC in the filter supernatant (67 %). This performance was similar to an analogous embedded-FeEC system treating As(V)-contaminated water (85 %), confirming the benefits of incorporating FeEC in a biological bed for comparable As(III) and As(V) removal. However, operating FeEC in the sand matrix consumed more energy (14 Wh/m3) compared to FeEC operated in a water matrix (7 Wh/m3). The efficiency of As removal increased and energy requirements decreased in such embedded-FeEC systems by deep-bed infiltration of Fe(III)-precipitates, which can be controlled by adjusting flow rate and pH. This study is one of the first to demonstrate the feasibility of embedding FeEC systems in sand filters for groundwater arsenic removal. Such systems capitalise on biological As(III) oxidation in aeration-filtration, effectively eliminating As(V) within the same setup without the need for chemicals or major modifications.","Arsenic; Drinking water; Groundwater; Iron electrocoagulation","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:719350bd-9ac1-4ba8-93d1-b6edf3e7852c","http://resolver.tudelft.nl/uuid:719350bd-9ac1-4ba8-93d1-b6edf3e7852c","Potential of BREEAM-C to support building circularity assessment: Insights from case study and expert interview","Wong, Dominique Hiulong (Universiteit Leiden); Zhang, Chunbo (Universiteit Leiden; University College London (UCL)); Di Maio, F. (TU Delft Resources & Recycling); Hu, Mingming (Universiteit Leiden)","","2024","Lack of knowledge and tools hampers circular transition in the construction industry. This study analyzes the potential of a framework of circular indicators put forward by the Building Research Establishment Environmental Assessment Method (BREEAM-C) as an answer to the prevailing need of a metric for building circularity assessment to promote circular construction. A qualitative analysis approach is adopted, involving literature review, comparative case study and semi-structured interviews conducted for collecting expert opinions. An in-depth scrutiny of the BREEAM-C indicators revealed that they are rooted in circular principles, cover building circularity realizable through circular strategies, and have given due consideration to circularity in different impact areas, structural layers and life-cycle stages of buildings. Moreover, BREEAM-C indicators not only show capacity in identifying CE-related practices implemented, but also serve as benchmarks testifying that CE principles/strategies are incorporated in the design, construction, operation and management of the buildings. Despite having room for expansion, BREEAM-C has proven to be applicable and practical with potential for use in Taiwan as confirmed by expert opinions. Nevertheless, adaptation/localization is required to cater for different concerns with respect to climate and safety as well as local context and legislations.","BREEAM; Building circularity; Built environment; Circular construction; Circular economy; Circularity assessment","en","journal article","","","","","","","","","","","Resources & Recycling","","",""
"uuid:3dcfb0ec-d106-4134-851f-c8d935054f53","http://resolver.tudelft.nl/uuid:3dcfb0ec-d106-4134-851f-c8d935054f53","CFD-DEM study on agglomeration and spout-assisted fluidization of cohesive particles","Zou, Yi (Southeast University – Monash University Joint Research Institute); Zou, Ruiping (Monash University); Wu, Yongli (TU Delft Resources & Recycling)","","2024","The agglomeration of cohesive particles can deteriorate fluidization quality and cause the defluidization of a bed, which is a common issue found in the applications of fluidized beds. This study aims to gain a better understanding of particle cohesion on agglomeration/fluidization behaviors and the effective methods for achieving a better fluidization quality, through numerical simulations based on the coupled approach of computational fluid dynamics and discrete element method (CFD-DEM). The effects of particle cohesion, gas velocities or flow conditions, and the bed geometry on the agglomeration and fluidization behaviors are analyzed. It is shown that the increase of particle cohesion can lead to deteriorated particle mixing, significant agglomeration of particles, and defluidization of the bed; the agglomeration-induced defluidization of highly cohesive particles is difficult to mitigate in a conventional flat-bottom fluidized bed. As large-sized agglomerates are more frequently found in the bottom of the bed, the spouted gas flow is then utilized and demonstrated to be effective in assisting the deagglomeration and fluidization of highly cohesive particles. Through the comparison of various spouted beds and spouted fluidized beds, the effective design of the bed bottom is identified for achieving a higher fluidization quality. Corresponding mechanisms underlying spout-assisted deagglomeration and fluidization are found to be much related to not only the enhanced particle-fluid but also particle-wall interactions in the confined space of a conical bed bottom, thus explaining the effectiveness and the importance of the bottom conical geometry of spouted beds. The obtained findings may help to understand the agglomeration-induced defluidization of fluidized beds and assist the fluidization of highly cohesive particles by the effective design of spouted beds.","Agglomeration; CFD-DEM; Cohesive particles; Fluidization; Spouted bed","en","journal article","","","","","","","","","","","Resources & Recycling","","",""
"uuid:31036a2d-8b58-4fd6-917d-9570168153c5","http://resolver.tudelft.nl/uuid:31036a2d-8b58-4fd6-917d-9570168153c5","Application of thermodynamics at different scales to describe the behaviour of fast reacting binary mixtures in vapour-liquid equilibrium","Lasala, Silvia (Lorraine University); Samukov, Konstantin (Lorraine University); Polat, H.M. (TU Delft Engineering Thermodynamics); Lachet, Véronique (IFP Energies Nouvelles); Herbinet, Olivier (Lorraine University); Privat, Romain (Lorraine University); Jaubert, Jean Noël (Lorraine University); Moultos, O. (TU Delft Process and Energy); De Ras, Kevin (Universiteit Gent); Vlugt, T.J.H. (TU Delft Process and Energy)","","2024","The use of reactive working fluids in thermodynamic cycles is currently being considered as an alternative to inert working fluids, because of the preliminarily attested higher energy-efficiency potential. The current needs to simulate their use in thermodynamic cycles, which may operate in liquid, vapour or vapour-liquid state, are an accurate real-fluid equation of state and ideal gas thermochemical properties of each molecule constituting the mixture, to calculate the equilibrium constant. To this end, the appeal to a multi-scale theoretical methodology is paramount and its definition represents the objective of the present work. This methodology is applied and validated on the system N2O4 ⇌ 2NO2. Firstly, the equations solved for simultaneous two-phase and reaction equilibrium are presented. Secondly, ideal gas thermochemical properties of N2O4 and NO2 are computed at atomic scale by quantum mechanics simulations. Then, to apply the selected cubic equation of state, pure-component properties of the species forming the reactive mixture (critical point coordinates and acentric factor) are required as input. However, these properties are not measurable, since NO2 and N2O4 do not exist in nature as pure components. To get around this difficulty, the methodology relies on molecular Monte Carlo simulations of the pure N2O4 and NO2, as well as on the reactive N2O4 ⇌ 2NO2, enabling the determination of those missing pure-component properties and thus the calculation, on a macroscopic scale, of the reactive mixture properties. Finally, the comparison of calculated mixture properties with available experimental data leads to validate the accuracy of the proposed methodology.","Equations of state; Monte Carlo simulations; Quantum Mechanics simulations; Reactive mixtures; Vapour-liquid equilibrium","en","journal article","","","","","","","","","","Process and Energy","Engineering Thermodynamics","","",""
"uuid:3927a57e-328c-4607-9ca3-652f97ecf648","http://resolver.tudelft.nl/uuid:3927a57e-328c-4607-9ca3-652f97ecf648","Aberration-driven tilted emission in degenerate cavities","Gurevich, S. V. (University of Münster; University of the Balearic Islands); Maucher, F. (TU Delft Optical Technologies; University of the Balearic Islands); Javaloyes, J. (University of the Balearic Islands)","","2024","The compensation of chromatic dispersion opened new avenues and extended the level of control upon pattern formation in the temporal domain. In this paper, we propose the use of a nearly degenerate laser cavity as a general framework allowing for the exploration of higher contributions to diffraction in the spatial domain. Our approach leverages the interplay between optical aberrations and the proximity to the self-imaging condition, which allows us to cancel or reverse paraxial diffraction. As an example, we show how spherical aberrations materialize into a transverse bi-Laplacian operator and, thereby, explain the stabilization of temporal solitons traveling off-axis in an unstable mode-locked broad-area surface-emitting laser. We disclose an analogy between these regimes and the dynamics of a quantum particle in a double-well potential.","","en","journal article","","","","","","","","","","","Optical Technologies","","",""
"uuid:ac6b78bb-57f2-4420-85d9-a308469263fb","http://resolver.tudelft.nl/uuid:ac6b78bb-57f2-4420-85d9-a308469263fb","Experimental and numerical study on earthquake-fire coupling failure mechanism of steel cylindrical tanks","Men, Jinkun (South China University of Technology; Guangdong Provincial Science and Technology Collaborative Innovation Center for Work Safety; Katholieke Universiteit Leuven); Chen, Guohua (South China University of Technology; Guangdong Provincial Science and Technology Collaborative Innovation Center for Work Safety); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Katholieke Universiteit Leuven; Universiteit Antwerpen); Wu, Yue (South China University of Technology; Guangdong Provincial Science and Technology Collaborative Innovation Center for Work Safety); Huang, Hailing (South China University of Technology; Guangdong Provincial Science and Technology Collaborative Innovation Center for Work Safety)","","2024","An earthquake-triggered fire domino scenario (E-FDS) is an example of a typical multi-hazard coupling event. The seismic damage can affect the fire resistance of engineering structures, leading to significant mutually amplified phenomena. In this work, a two-stage experimental program is designed to expound the earthquake-fire coupling failure mechanism of steel cylindrical tanks (SCTs). Quasi-static tests are adopted to simulate the damage characteristics of SCTs under seismic excitation (Stage I). Fire tests are adopted to investigate the fire-resistance performance of pre-damaged SCTs (Stage II). The influences of seismic damage on the fire resistance of SCTs are particularly of interest. Three potential seismic damage degrees are considered. The experimental results show that tank specimens exhibit typical diamond-shaped buckling after Stage I. The coupling failure analysis of SCTs is conducted through sequential thermodynamic coupling simulations. Due to factors such as geometric deformation, residual stress, and thermal radiation absorption capacity, the fire resistance of SCTs is significantly attenuated by seismic damage. For the three damage states, fire resistance time attenuation coefficients (0.868, 0.716, 0.511) and critical temperature attenuation coefficients (0.910, 0.779, 0.672) were obtained. This work provides pivotal insights into the mutually amplified phenomena in E-FDSs.","Coupling failure mechanism; Earthquake-triggered fire domino scenario; Steel cylindrical tanks; Two-stage experimental program","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-13","","","Safety and Security Science","","",""
"uuid:cccce194-9309-4754-b26a-7e1f76973109","http://resolver.tudelft.nl/uuid:cccce194-9309-4754-b26a-7e1f76973109","Using participatory systems approaches to improve healthcare delivery","Lamé, Guillaume (Université Paris-Saclay, Paris); Tako, Antuela (Loughborough University, School of Business and Economics); Kleinsmann, M.S. (TU Delft Design, Organisation and Strategy)","","2024","","","en","contribution to periodical","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-13","","Design, Organisation and Strategy","","","",""
"uuid:eb661d08-fc10-4b5c-824d-72d68e00da85","http://resolver.tudelft.nl/uuid:eb661d08-fc10-4b5c-824d-72d68e00da85","Successive approximations and interval halving for fractional BVPs with integral boundary conditions","Marynets, K. (TU Delft Mathematical Physics); Pantova, D.H. (TU Delft Mathematical Physics)","","2024","We study a system of non-linear fractional differential equations, subject to integral boundary conditions. We use a parametrization technique and a dichotomy-type approach to reduce the original problem to two “model-type” fractional boundary value problems with linear two-point boundary conditions. A numerical-analytic technique is applied to analytically construct approximate solutions to the “model-type” problems. The behaviour of these approximate solutions is governed by a set of parameters, whose values are obtained by numerically solving a system of algebraic equations. The obtained results are confirmed by an example of the fractional order problem that in the case of the second order differential equation models the Antarctic Circumpolar Current.","Approximation of solutions; Dichotomy-type approach; Fractional differential equations; Fractional geophysical model; Integral boundary conditions; Parametrization","en","journal article","","","","","","","","","","","Mathematical Physics","","",""
"uuid:94b8d501-7ad8-4077-b3c1-620df02a5a27","http://resolver.tudelft.nl/uuid:94b8d501-7ad8-4077-b3c1-620df02a5a27","Oil Spaces: Extended Urbanization from Sea to Land","Hein, C.M. (TU Delft History, Form & Aesthetics)","","2024","Flows of petroleum have shaped buildings, cities and landscape around the world on sea and land. This paper shows how industrially drilled petroleum and its refined products have played a major role in transforming the built environment over the last century and a half, in ways that are often not visible or recognized. Understanding the multiple links between refineries, gas stations, headquarters or cities around the world, and acknowledging the path dependencies that these flows have created, provides a concrete example for extended urbanization and its role in fueling ways of life and visions of progress, modernity, and disaster.
Through detailed international case studies the paper considers petroleum’s role in the development of the built environment and the imagination. It explores how petroleum and its infrastructure have served in shaping extended urbanization as a source of military conflict and political and economic power, inspiring efforts to create territories and reshape geographies and national boundaries. The paper explores ruptures and continuities between colonial and postcolonial frameworks through diverse case studies with diverse functions from around the world, including heritage sites.
By revealing petroleum’s role in organizing and imagining space globally, this paper takes up a key task in imagining the possibilities of a post-oil future. It explores diverse contributions made by students in courses at Delft University of Technology to show visualize them.","petroleumscape; history of urbanism; post-oil futures; extended urbanization","en","abstract","","","","","","","","","","","History, Form & Aesthetics","","",""
"uuid:bfd0d299-b573-4a1d-aab8-a085d9284d87","http://resolver.tudelft.nl/uuid:bfd0d299-b573-4a1d-aab8-a085d9284d87","Blind Polarization Demultiplexing of Shaped QAM Signals Assisted by Temporal Correlations","Bajaj, V. (TU Delft Team Sander Wahls); Van de Plas, Raf (TU Delft Team Raf Van de Plas; VanderBilt University); Wahls, S. (Karlsruhe Institut für Technologie)","","2024","While probabilistic constellation shaping (PCS) enables rate and reach adaption with finer granularity [1] (Cho and Winzer, 2009), it imposes signal processing challenges at the receiver. Since the distribution of PCS-quadrature amplitude modulation (QAM) signals tends to be Gaussian, conventional blind polarization demultiplexing algorithms are not suitable for them [2] (Johnson et al., 1998). It is known that independently and identically distributed (iid) Gaussian signals, when mixed, cannot be recovered/separated from their mixture. For PCS-QAM signals, there are algorithms such as [3] and [4] Dris et al. (2019) and Athuraliya et al. (2004) which are designed by extending conventional blind algorithms used for uniform QAM signals. In these algorithms, an initialization point is obtained by processing only a part of the mixed signal, which have non-Gaussian statistics. In this article, we propose an alternative method wherein we add temporal correlations at the transmitter, which are subsequently exploited at the receiver in order to separate the polarizations. We will refer to the proposed method as frequency domain (FD) joint diagonalization (JD) probability aware-multi modulus algorithm (pr-MMA), and it is suited to channels with moderate polarization mode dispersion (PMD) effects. Furthermore, we extend our previously proposed JD-MMA [5] (Bajaj et al., 2022) by replacing the standard MMA with a pr-MMA, improving its performance. Both FDJD-pr-MMA and JD-pr-MMA are evaluated for a diverse range of PCS (entropy $\mathcal {H}$) of 64-QAM over a first-order PMD channel that is simulated in a proof-of-concept setup. A MMA initialized with a memoryless constant modulus algorithm (CMA) is used as a benchmark. We show that at a differential group delay (DGD) of 10% of symbol period T$_{\text{symb}}$ and 18 dB SNR/pol., JD-pr-MMA successfully demultiplexes the PCS signals, while CMA-MMA fails drastically. Furthermore, we demonstrate that the newly proposed FDJD-pr-MMA is robust against moderate PMD effects by evaluating it over a DGD of up to 40% of T$_{\text{symb}}$. Our results show that the proposed FDJD-pr-MMA successfully equalizes PMD channels with a DGD up to 20% of T$_{\text{symb}}$.","and optical fiber communication; Correlation; Demultiplexing; digital signal processing; Optical fiber dispersion; Polarization demultiplexing; probabilistic constellation shaping; Programmable logic arrays; Quadrature amplitude modulation; Signal processing algorithms; Symbols","en","journal article","","","","","","","","2024-03-14","","","Team Sander Wahls","","",""
"uuid:78b3ee0c-71ac-41f6-8564-53b3e1e4d31c","http://resolver.tudelft.nl/uuid:78b3ee0c-71ac-41f6-8564-53b3e1e4d31c","Measurements of morphodynamics of a sheltered beach along the Dutch Wadden Sea","van der Lugt, M.A. (TU Delft Coastal Engineering; Deltares); Bosma, Jorn W. (Universiteit Utrecht); de Schipper, M.A. (TU Delft Coastal Engineering); Price, Timothy D. (Universiteit Utrecht); van Maarseveen, Marcel C. G. (Universiteit Utrecht); van der Gaag, P. (TU Delft Lab Hydraulic Engineering); Ruessink, Gerben (Universiteit Utrecht); Reniers, A.J.H.M. (TU Delft Environmental Fluid Mechanics); Aarninkhof, S.G.J. (TU Delft Civil Engineering & Geosciences)","","2024","A field campaign was carried out at a sheltered sandy beach with the aim of gaining new insights into the driving processes behind sheltered beach morphodynamics. Detailed measurements of the local hydrodynamics, bed-level changes and sediment composition were collected at a man-made beach on the leeside of the barrier island Texel, bordering the Marsdiep basin that is part of the Dutch Wadden Sea. The dataset consists of (1) current, wave and turbidity measurements from a dense cross-shore array and a 3 km alongshore array; (2) sediment composition data from beach surface samples; (3) high-temporal-resolution RTK-GNSS beach profile measurements; (4) a pre-campaign spatially covering topobathy map; and (5) meteorological data. This paper outlines how these measurements were set up and how the data have been processed, stored and can be accessed. The novelty of this dataset lies in the detailed approach to resolve forcing conditions on a sheltered beach, where morphological evolution is governed by a subtle interplay between tidal and wind-driven currents, waves and bed composition, primarily due to the low-energy (near-threshold) forcing. The data are publicly available at 4TU Centre for Research Data at: https://doi.org/10.4121/19c5676c-9cea-49d0-b7a3-7c627e436541 (Van der Lugt et al., 2023).","","en","journal article","","","","","","","","","Civil Engineering & Geosciences","","Coastal Engineering","","",""
"uuid:6dbba8e0-f142-4308-94a5-9aeb2ee1e734","http://resolver.tudelft.nl/uuid:6dbba8e0-f142-4308-94a5-9aeb2ee1e734","The chromatin landscape of healthy and injured cell types in the human kidney","Gisch, Debora L. (Indiana University - Purdue University); Brennan, Michelle (Saint Louis University); Lake, Blue B. (University of California; San Diego Institute of Science); Basta, Jeannine (Washington University in St. Louis); Keller, Mark S. (Harvard Medical School); P. Gonçalves, Joana (TU Delft Pattern Recognition and Bioinformatics); Migas, L.G. (TU Delft Team Raf Van de Plas); Van de Plas, Raf (TU Delft Team Raf Van de Plas); Lardenoije, R. (TU Delft Pattern Recognition and Bioinformatics)","","2024","There is a need to define regions of gene activation or repression that control human kidney cells in states of health, injury, and repair to understand the molecular pathogenesis of kidney disease and design therapeutic strategies. Comprehensive integration of gene expression with epigenetic features that define regulatory elements remains a significant challenge. We measure dual single nucleus RNA expression and chromatin accessibility, DNA methylation, and H3K27ac, H3K4me1, H3K4me3, and H3K27me3 histone modifications to decipher the chromatin landscape and gene regulation of the kidney in reference and adaptive injury states. We establish a spatially-anchored epigenomic atlas to define the kidney’s active, silent, and regulatory accessible chromatin regions across the genome. Using this atlas, we note distinct control of adaptive injury in different epithelial cell types. A proximal tubule cell transcription factor network of ELF3, KLF6, and KLF10 regulates the transition between health and injury, while in thick ascending limb cells this transition is regulated by NR2F1. Further, combined perturbation of ELF3, KLF6, and KLF10 distinguishes two adaptive proximal tubular cell subtypes, one of which manifested a repair trajectory after knockout. This atlas will serve as a foundation to facilitate targeted cell-specific therapeutics by reprogramming gene regulatory networks.","","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:1adbdab8-a4fa-408b-a933-2825db8aeb36","http://resolver.tudelft.nl/uuid:1adbdab8-a4fa-408b-a933-2825db8aeb36","Contribution of capital goods production to social impacts: A life cycle perspective for a circular desalination plant","Tsalidis, G.A. (TU Delft BT/Biotechnology and Society; Communication and Knowledge Technologies; Brunel University); Kokubo Roche, A.M.B. (TU Delft BT/Biotechnology and Society); Randazzo, Serena (Università degli Studi di Palermo); Posada Duque, J.A. (TU Delft BT/Biotechnology and Society)","","2024","The production of capital goods is often ignored in the life cycle inventory phase of life cycle assessment studies. In this study, we investigated whether capital goods production, i.e., manufacturing of capital equipment and construction of infrastructure, and operation affect the results of the social life cycle assessment (S-LCA), using a case study of a desalination plant with multiple co-products in Lampedusa, Italy. The assessment was conducted using the PSILCA database to evaluate 20 impact subcategories and four stakeholder categories: Workers, Value chain actors, Society and Local community. Monetary data were collected for the manufacturing of equipment, labor and miscellaneous work during plant construction, working hours of employees during operation, consumed electricity and chemicals, and recovered materials during operation. Furthermore, multi-functionality was addressed through substitution, system expansion, and economic allocation to examine how these approaches affected the results. The functional unit was 1 m3 industrial water. Equipment manufacturing and plant construction contributed up to 15% to stakeholder categories and between 2% and 75% to impact subcategories of the substitution approach, and up to 51% for impact subcategories of system expansion and economic allocation. Equipment manufacturing and plant construction contributed to a high extent to “Health and safety” (of Workers), “Discrimination” and “Local employment” due to the construction and electrical sectors. Credits in substitution lead to a lower contribution of the operational stage and negative societal impact values. If S-LCA practitioners must limit the considered impact subcategories, for generic or site-specific analysis, the “Health and safety” (Workers), “Local employment”, and “Fair salary” should be investigated.","Construction; Infrastructure; Local community; PSILCA; Reference scale approach; Social life cycle assessment; Worker","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-26","","","BT/Biotechnology and Society","","",""
"uuid:6db4d1fa-a4ec-4374-8154-e226fdbf194d","http://resolver.tudelft.nl/uuid:6db4d1fa-a4ec-4374-8154-e226fdbf194d","Robust additive manufacturable Ni superalloys designed by the integrated optimization of local elemental segregation and cracking susceptibility criteria","Yu, Hao (Northeastern University China); Fu, Jiabo (Northeastern University China); Wang, Chenchong (Northeastern University China); Chen, Yinping (Northeastern University China); Wang, Lingyu (Northeastern University China); Fang, Haixing (European Synchrotron Radiation Facility; Grenoble INP; Université Grenoble Alpes; Université de Lyon); Li, Jinguo (Chinese Academy of Sciences); van der Zwaag, S. (TU Delft Group Garcia Espallargas); Xu, Wei (Northeastern University China)","","2024","To achieve an effective design of additively manufacturable Ni superalloys with decent service performance, a hybrid computational design model has been developed, where the strategy to tailor local elemental segregations was integrated within a scheme of minimizing the cracking susceptibility. More specifically, the phase boundary of primary NbC / γ matrix was introduced into the design routine to tune the spatial distribution of critical solutes at an atomic scale, thereby inhibiting the formation of borides and segregation-induced cracking. Based on the output of the design, new grades of Ni superalloy have been developed with excellent additive manufacturability, as confirmed by the robustness of printing parameters in fabricating low-defect-density samples. The capability of the phase boundaries to evenly distribute boron atoms was validated experimentally, and the cracking induced by uncontrolled boron segregation at grain boundaries was effectively prevented. The newly designed alloys showed good tensile properties and decent oxidation resistance at different service temperatures, which are comparable to those of conventionally produced superalloys. The finding that phase boundaries can be employed to prevent undesirable clustering of boron atoms can be extended to manipulate the distributions of other critical elements, which provides a new path for designing novel Ni superalloys with balanced printability and mechanical properties.","Additive manufacturability; Boron segregation; Computational design; Ni superalloys; Phase boundaries","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-08","","","Group Garcia Espallargas","","",""
"uuid:cef343c1-e212-4ad0-a5ba-bdc4219ef106","http://resolver.tudelft.nl/uuid:cef343c1-e212-4ad0-a5ba-bdc4219ef106","Structure and bonding in TiNiSi type LaMgSnH intermetallic hydride","Yartys, Volodymyr A. (Institute for Energy Technology); Denys, Roman V. (Institute for Energy Technology); Akselrud, Lev G. (Ivan Franko National University of Lviv, Lviv); Vajeeston, Ponniah (Universitetet i Oslo); Dankelman, B.J.R. (TU Delft RID/TS/Technici Pool); Plomp, J. (TU Delft RST/Neutron and Positron Methods in Materials; TU Delft RID/TS/Instrumenten groep); Block, Theresa (University of Münster); Pöttgen, Rainer (University of Münster); Wragg, David (Institute for Energy Technology)","","2024","The work was aimed on reaching a better understanding of the effect of magnesium as a component of the hydride-forming LaMgSn intermetallic compound crystallising with the orthorhombic TiNiSi type of structure on the hydrogenation behaviours, crystal structure and bonding interactions with hydrogen. The LaMgSn structure is significantly expanded as compared to the earlier studied isotypic LaNiSn H storage material (volume expansion of 23%), as a result of a substitution of the smaller Ni atoms by much larger Mg atoms. This significantly affects the chemistry of the interaction of the intermetallic compound with hydrogen because a transition metal, Ni, in replaced by an active hydride-forming metal, Mg. The work involved computational studies of the electronic structure of the intermetallic compound and its hydride, and experimental studies of the hydrogenation behaviour and thermal stability of the formed hydride LaMgSnH, its structural characterisation by SR XRD and neutron powder diffraction, and Mössbauer spectroscopic studies of the stannide and its hydride. These studies showed that in the system LaMgSn-H2 a monohydride LaMgSnH is a thermodynamically favourable hydride composition. PDOS levels show that hydrogen and all constituting elemental metals, La, Mg and Sn, have peaks of electron density in the range between − 6 and − 4 eV indicating their hybridisation. The results show the hybridization of H atoms not only with bonded La and Mg atoms forming H-filled tetrahedra La3Mg, but also with Sn despite its atoms do not have bonding interactions with H. This explains the high stability of the metal substructure which does not disproportionate into the binary hydrides of La and Mg even when heated to 200 °C @ 20 bar H2, but instead forms an insertion type hydride. Formation of the monohydride LaMgSnH (Sp.gr. Pnma; a=8.1628(4); b= 4.5555(3); c= 9.2391(5) Å; V= 343.56(5) Å3) causes a small (1.26%) expansion of the unit cell volume compared to LaMgSn, and mainly proceeds along the [100] direction. Hydrogen absorption-desorption cycle results in a reversible formation of the initial compound LaMgSn, with the peak of hydrogen release occurring in vacuum at 355 °C, which is intermediate between the temperatures for the vacuum decomposition of the dihydrides MgH2 and LaH2. From the combined refinements of the Synchrotron (SR) XRD and Neutron Powder Diffraction (NPD) data, deuterium atoms completely and in an ordered way fill a half of the available La3Mg interstitial sites with metal-H/D distances of Mg-D= 2.026 Å; La-D= 2.381 and 2.502 Å. The occupied La3Mg sites are smaller in size than the vacant Mg3La tetrahedra. Sn and D exhibit a nonbonding interaction with the closest Sn-D separation of 3.033 Å. 119Sn Mössbauer spectra of LaMgSn and LaMgSnH show isomer shifts of 1.98(2) and 1.99(1) mm/s which are typical for the chemically similar stannides.","DFT studies; LaMgSn stannide; Metal hydrides; Mössbauer spectroscopy; Neutron diffraction","en","journal article","","","","","","","","","","","RID/TS/Technici Pool","","",""
"uuid:dbe6227b-ce75-4c53-a415-cdc313d10d66","http://resolver.tudelft.nl/uuid:dbe6227b-ce75-4c53-a415-cdc313d10d66","Stroke patients’ motivation for home-based upper extremity rehabilitation with eHealth tools","Langerak, A.J. (Erasmus MC); D'Olivo, P. (TU Delft Design Aesthetics); Thijm, O. S.A. (Erasmus MC; Leiden University Medical Center); Regterschot, G.R.H. (Erasmus MC); Meskers, C. G.M. (Amsterdam UMC); Rozendaal, M.C. (TU Delft Human Information Communication Design); Visch, V.T. (TU Delft Design Aesthetics); Bussmann, J.B.J. (Erasmus MC)","","2024","Purpose: eHealth-based exercise therapies were developed to increase stroke patients’ adherence to home-based motor rehabilitation. However, these eHealth tools face a rapid decrease in use after a couple of weeks. This study investigates stroke patients’ motivation for home-based upper extremity rehabilitation with eHealth tools and their relation with Basic Psychological Needs. Materials and methods: This is a qualitative study using thematic analysis. We conducted semi-structured interviews with stroke patients with upper extremity motor impairments, who were discharged home from a rehabilitation centre, after they interacted with a novel eHealth coach demonstrator in their homes for five consecutive days. Results: We included ten stroke patients. Thematic analysis resulted in eight themes for home-based rehabilitation motivation: Curiosity, Rationale, Choice, Optimal challenge, Reference, Encouragement, Social Support and Trustworthiness. Those themes are embedded into three Basic Psychological Needs: “Autonomy”, “Competence”, and “Relatedness”. Conclusion: Eight motivational themes related to the three Basic Psychological Needs describe stroke patients’ motivation for home-based upper extremity rehabilitation. We recommend considering those themes when developing a home-based eHealth intervention for stroke patients to increase the alignment of eHealth tools to the patient’s needs and reduce motivational decreases in home-based rehabilitation.","adherence; eHealth; motivation; rehabilitation; Stroke; upper extremity","en","journal article","","","","","","","","","","","Design Aesthetics","","",""
"uuid:6ef66de3-b3b1-4f9f-bed0-14301f753645","http://resolver.tudelft.nl/uuid:6ef66de3-b3b1-4f9f-bed0-14301f753645","Pointing Calibration of GroundBIRD Telescope Using Moon Observation Data","Sueno, Y. (Graduate School of Science); Baselmans, J.J.A. (TU Delft Tera-Hertz Sensing; SRON Netherlands Institute for Space Research); Coppens, A. (SRON Netherlands Institute for Space Research); Génova-Santos, R. T. (Instituto de Astrofísica de Canarias; Universidad de la Laguna); Hattori, M. (Tohoku University); Karatsu, K. (SRON Netherlands Institute for Space Research); Lee, K. (Korea University; Student TU Delft); Suzuki, J. (Graduate School of Science); Thoen, David (SRON Netherlands Institute for Space Research)","","2024","Understanding telescope pointing (i.e. line of sight) is important for observing the cosmic microwave background (CMB) and astronomical objects. The Moon is a candidate astronomical source for pointing calibration. Although the visible size of the Moon (30`) is larger than that of the planets, we can frequently observe the Moon once a month with a high signal-to-noise ratio. We developed a method for performing pointing calibration using observational data from the Moon. We considered the tilts of the telescope axes as well as the encoder and collimation offsets for pointing calibration. In addition, we evaluated the effects of the nonuniformity of the brightness temperature of the Moon, which is a dominant systematic error. As a result, we successfully achieved a pointing accuracy of 3.3`. This is one order of magnitude smaller than an angular resolution of 36`. This level of accuracy competes with past achievements in other ground-based CMB experiments using observational data from the planets.","","en","journal article","","","","","","","","","","","Tera-Hertz Sensing","","",""
"uuid:b1684432-c423-4ba8-adcb-41ad5fe1bfb0","http://resolver.tudelft.nl/uuid:b1684432-c423-4ba8-adcb-41ad5fe1bfb0","Time to failure analysis of wood adhesives: a non-linear approach based on chemical reaction kinetics","van de Kuilen, J.W.G. (TU Delft Bio-based Structures & Materials; Technische Universität München); Clerc, G. (Swiss Wood Solutions AG); Mosleh, Yasmine (TU Delft Bio-based Structures & Materials); Gard, W.F. (TU Delft Bio-based Structures & Materials); Richter, K. (Technische Universität München)","","2024","Similar to wood, adhesives may exhibit duration of load effects. When loaded for longer periods of time, damage processes in the material may develop, eventually leading to failure. From wood research it is known that load level, temperature and relative humidity have an important influence on this behaviour. In general, higher stress levels, temperatures, and moisture content will lead to shorter times to failure and these effects may be more pronounced in loading directions such as shear or tension perpendicular to the grain. It is shown that the reaction kinetics based approach for damage accumulation effects in polyurethane based adhesives can be described using the same non-linear damage accumulation expression as used for wood. The relationship between the time to failure and load-level as influenced by for instance temperature is determined for lap joints, immersed in hot water with temperature of 60oC and 90oC, and at load levels varying between 30 and 90% of the mean short term shear strength.
It is shown that a non-linear damage accumulation expression as used for wood, can also be used for damage accumulation effects in melamine-urea-formaldehyde adhesives. The relationship between the time to failure and load-level as influenced by temperature is determined for beech lap joints loaded in tensile shear. The specimens have been immersed in hot water with temperatures of 60oC and 90oC respectively, and at load levels varying between 30 and 90% of the mean short term shear strength.","adhesive; wood; reaction kinetic; failure analysis; reaction kinetics; temperature effect","en","journal article","","","","","","","","","","","Bio-based Structures & Materials","","",""
"uuid:127b7fc0-cb2b-4691-b97f-430d576bf661","http://resolver.tudelft.nl/uuid:127b7fc0-cb2b-4691-b97f-430d576bf661","Analytical treatments of time-fractional seventh-order nonlinear equations via Elzaki transform","Ali, Liaqat (Southern University of Science and Technology; Zhejiang University); Zou, Guang (Southern University of Science and Technology); Li, Na (Southern University of Science and Technology); Mehmood, Kashif (Zhejiang University); Fang, P. (TU Delft Transport Engineering and Logistics); Khan, Adnan (Abdul Wali Khan University Mardan)","","2024","In this article, we’ll show how to solve the time-fractional seventh-order Lax’s Korteweg–de Vries and Kaup–Kupershmidt equations analytically using the homotopy perturbation approach, the Adomian decomposition method, and the Elzaki transformation. The KdV equation is a general integrable equation with an inverse scattering transform-based solution that arises in a variety of physical applications, including surface water waves, internal waves in a density stratified fluid, plasma waves, Rossby waves, and magma flow. Fractional derivative is described in the Caputo sense. The solutions to fractional partial differential equation is computed using convergent series. The numerical computations and graphical representations of the analytical results obtained using the homotopy perturbation and decomposition techniques. Moreover, plots that are simple to grasp are used to compare the integer order and fractional-order solutions. After only a few iterations, we may easily obtain numerical results that provide us better approximations. The exact solutions and the derived solutions were observed to be very similar. The suggested methods have also acquired the highest level of accuracy. The most prevalent and convergent techniques for resolving nonlinear fractional-order partial differential issues are the applied techniques.","26A33; 34A25; 35A20; 35Q53; Analytical techniques; Caputo operator; Elzaki Transform; Kaup–Kupershmidt (KK) equation; Lax’s Korteweg–de Vries equation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-17","","","Transport Engineering and Logistics","","",""
"uuid:dd1db052-8834-4c07-af2b-3fe1bdeb64a6","http://resolver.tudelft.nl/uuid:dd1db052-8834-4c07-af2b-3fe1bdeb64a6","Unraveling the hydrogen sulfide aging mechanism on electrical-thermal–mechanical property degradation of sintered nanocopper interconnects used in power electronics packaging","Chen, Wei (Fudan University); Liu, Xu (Fudan University); Hu, D. (TU Delft Electronic Components, Technology and Materials); Liu, X. (TU Delft Electronic Components, Technology and Materials); Zhu, Xi (Fudan University; Research Institute of Fudan University, Ningbo); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University; Research Institute of Fudan University, Ningbo)","","2024","During operation in environments containing hydrogen sulfide (H2S), such as in offshore and coastal environments, sintered nanoCu in power electronics is susceptible to degradation caused by corrosion. In this study, experimental and molecular dynamics (MD) simulation analyses were conducted to investigate the evolution and mechanism of H2S-induced corrosion of sintered nanoCu, and bulk Cu was used as the reference. The following results are obtained: (1) Both sintered nanoCu and bulk Cu reacted with O2 prior to reacting with H2S, forming Cu2O, Cu2S, CuO, and CuS. In addition, sintered nanoCu exhibited more severe corrosion. (2) For both sintered nanoCu and bulk Cu, H2S-induced corrosion resulted in the deterioration of electrical, thermal, and mechanical properties, and sintered nanoCu experienced a greater extent of deterioration. (3) As was ascertained through Reactive Force Field (ReaxFF) MD simulations, the penetration of H2S and O2 combined with the upward migration of Cu resulted in the formation of a corrosion film. In addition, compared to bulk Cu, the H2S and O2 penetration in the sintered nanoCu structure was observed to occur to a greater depth, accounting for the more pronounced performance degradation.","HS corrosion; Performance degradation; ReaxFF; Shear strength; Sintered nanocopper","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:97cfad13-ee97-4ec2-b8e8-ea029adde6bf","http://resolver.tudelft.nl/uuid:97cfad13-ee97-4ec2-b8e8-ea029adde6bf","Interdependence in rare earth element supply between China and the United States helps stabilize global supply chains","Chen, Wei (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Eckelman, Matthew J. (Northeastern University); Sprecher, B. (TU Delft Design for Sustainability); Chen, Wei (Chinese Academy of Sciences; University of Science and Technology of China); Wang, Peng (Chinese Academy of Sciences; University of Chinese Academy of Sciences)","","2024","Rare earth elements (REEs) are vital to the development of low-carbon technologies. There are rising concerns in the United States and elsewhere about REE supply chain stability and risks given the unvalidated perception in the heavy reliance of China, by far the largest REE supplier. However, the relationship between key countries at different stages of global REE supply chains remains unclear. Here, we use a dynamic flow analysis to explore supply dependence between the United States and China by tracing REE flows from mineral mining to market between 2000 and 2022. Our results indicate complementary and cooperative US–China interactions, especially after 2018 when the United States became a net exporter of REE and China's largest supplier, and China became the largest importer of the US REEs and manufacturer of REE-enabled low-carbon technologies. This intensifying interdependence stabilizes REE supply chains and highlights the importance of cooperative REE trade networks.","critical raw materials; material flow analysis; rare earth elements; sustainable development; US–China cooperation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-05","","","Design for Sustainability","","",""
"uuid:1a534a77-ee67-4431-819a-1a50fe7cdd70","http://resolver.tudelft.nl/uuid:1a534a77-ee67-4431-819a-1a50fe7cdd70","Charge carrier trapping management in Bi3+ and lanthanides doped Li(Sc,Lu)GeO4 for x-ray imaging, anti-counterfeiting, and force recording","Lyu, Tianshuai (Huaqiao University); Dorenbos, P. (TU Delft RST/Luminescence Materials)","","2024","Discovering energy storage materials with rationally controlled trapping and de-trapping of electrons and holes upon x-rays, UV-light, or mechanical force stimulation is challenging. Such materials enable promising applications in various fields, for instance in multimode anti-counterfeiting, x-ray imaging, and non-real-time force recording. In this work, photoluminescence spectroscopy, the refined chemical shift model, and thermoluminescence studies will be combined to establish the vacuum referred binding energy (VRBE) diagrams for the LiSc1-xLuxGeO4 family of compounds containing the energy level locations of Bi2+, Bi3+, and the lanthanides. The established VRBE diagrams are used to rationally develop Bi3+ and lanthanides doped LiSc1-xLuxGeO4 storage phosphors and to understand trapping and de-trapping processes of charge carriers with various physical excitation means. The thermoluminescence intensity of x-ray irradiated LiSc0.25Lu0.75GeO4:0.001Bi3+,0.001Eu3+ is about two times higher than that of the state-of-the-art x-ray storage phosphor BaFBr(I):Eu2+. Particularly, a force induced charge carrier storage phenomenon appears in Eu3+ co-doped LiSc1-xLuxGeO4. Proof-of-concept non-real-time force recording, anti-counterfeiting, and x-ray imaging applications will be demonstrated. This work not only deepens our understanding of the capturing and de-trapping processes of electrons and holes with various physical excitation sources, but can also trigger scientists to rationally discover new storage phosphors by exploiting the VRBEs of bismuth and lanthanide levels.","","en","journal article","","","","","","","","2024-08-21","","","RST/Luminescence Materials","","",""
"uuid:5c00b6ee-4dc8-452b-865a-ae69ae187aa5","http://resolver.tudelft.nl/uuid:5c00b6ee-4dc8-452b-865a-ae69ae187aa5","Assessing the feasibility of CO2 removal strategies in achieving climate-neutral power systems: Insights from biomass, CO2 capture, and direct air capture in Europe","Béres, Rebeka (University Medical Center Groningen); Junginger, Martin (Universiteit Utrecht); van den Broek, M.A. (TU Delft Energie and Industrie)","","2024","To achieve the European Union's goal of climate neutrality by 2050, negative emissions may be required to compensate for emissions exceeding allocated carbon budgets. Therefore, carbon removal technologies such as bioenergy with carbon capture (BECCS) and direct air capture (DAC) may need to play a pivotal role in the power system. To design carbon removal strategies, more insights are needed into the impact of sustainable biomass availability and the feasibility of carbon capture and storage (CCS), including the expensive and energy-intensive DAC on achieving net-zero and net-negative targets. Therefore, in this study the European power system in 2050 is modelled at an hourly resolution in the cost-minimization PLEXOS modelling platform. Three climate-neutral scenarios with targets of 0, -1, and -3.9 Mt CO2/year (which agree with varying levels of climate justice) are assessed for different biomass levels, and CCS availability. Findings under baseline assumptions reveal that in a climate-neutral power system with biomass and CCS options, it is cost-effective to complement variable renewable energy with a mix of combined cycle natural gas turbines (CCNGT) for flexibility and BECCS as base load to compensate for the CO2 emissions from natural gas and additional carbon removal in the net-negative scenarios. The role of these technologies becomes more prominent, with -3.9 GtCO2/year target. Limited biomass availability necessitates additional 0.4–4 GtCO2/year DAC, 10–50 GW CCNGT with CCS, and 10–50 GW nuclear. Excluding biomass doubles system costs and increases reliance on nuclear energy up to 300 TWh/year. The absence of CCS increases costs by 78%, emphasizing significant investments in bioenergy, nuclear power, hydrogen storage, and biogas. Sensitivity analysis and limitations of the study are fully discussed.","Bioenergy with carbon capture; Direct air capture; European green deal; Negative emissions; Power system modelling","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:bd02c390-2e97-4ff5-89de-fdcd16a3615b","http://resolver.tudelft.nl/uuid:bd02c390-2e97-4ff5-89de-fdcd16a3615b","‘‘It’s okay because I’m just driving’’: an exploration of self-reported mobile phone use among Mexican drivers","Useche, Sergio A. (Universidad de Valencia (ICMol)); Alonso, Francisco (Universidad de Valencia (ICMol)); Faus, Mireia (Universidad de Valencia (ICMol)); Trejo, Arturo Cervantes (Anahuac University); Castaneda, Isaac (Anahuac University); Oviedo-Trespalacios, O. (TU Delft Safety and Security Science)","","2024","Introduction. Technological advancements have the potential to enhance people’s quality of life, but their misuse can have a detrimental impact on safety. A notable example is the escalating issue of distracted driving resulting from the use of mobile phones behind the wheel, leading to severe crashes and injuries. Despite these concerns, both drivers’ usage patterns and their risk-related associations remain scarcely documented in Mexico. Therefore, this descriptive study aimed to examine the mobile phone usage of Mexican drivers, its relationships to risk awareness and near-miss/crash involvement, and the self-reported underlying reasons for this behavior. Methods. This cross-sectional study utilized a sample of 1,353 licensed Mexican drivers who took part in a nationwide series of interviews regarding their onboard phone use settings. Results. A significant percentage of drivers (96.8%) recognize using a mobile phone while driving as high-risk behavior. However, only 7.4% reported completely avoiding its use while driving, with 22.4% identified as high-frequency users. Frequency was also found positively associated with the self-reported rate of near-misses and crashes. Furthermore, qualitative data analysis highlights the emergence of a ‘sense of urgency’ to attend to phone-related tasks in response to daily demands and life dynamics, offering a potential explanation for this behavior. Conclusion. The results of this study suggest common patterns of onboard mobile use among Mexican drivers concerning driving situations and associated risks. This underscores the need for increased efforts to discourage onboard phone use in the country.","Cell phone; Driving; Habits; Road distractions; Texting","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:e4066dd5-6409-47dd-8176-f2b9c75c0632","http://resolver.tudelft.nl/uuid:e4066dd5-6409-47dd-8176-f2b9c75c0632","When does infrastructure hybridisation outperform centralised infrastructure paradigms? – Exploring economic and hydraulic impacts of decentralised urban wastewater system expansion","Duque, Natalia (Swiss Federal Institute of Aquatic Science and Technology; ETH Zürich); Scholten, L. (TU Delft Policy Analysis); Maurer, Max (Swiss Federal Institute of Aquatic Science and Technology; ETH Zürich)","","2024","We explore the dynamics of centralised and decentralised wastewater infrastructure across various scenarios and introduce novel insights into their performance regarding structural vulnerability, hydraulic capacity, and costs. This study determines circumstances under which infrastructure hybridisation outperforms traditional centralised infrastructure paradigms. We combined system analysis to map out the modelling problem with the model-based exploration of the transition space using the novel TURN-Sewers model. System diagramming was used to identify the parameters or combinations of parameters that significantly influence the performance indicators being assessed. This allowed the creation of relevant simulation scenarios to identify circumstances where a decentralised sewer system could outperform a centralised one. TURN-Sewers was applied to model the infrastructure maintenance and generation of new infrastructure over 20 years for a municipality on the Swiss Plateau, considering a population growth rate of 0.03 a−1. Results show that decentralisation in expansion areas with higher densification can outperform the hydraulic performance and structural vulnerability of expanding centralised sanitary wastewater infrastructure. Decentralised systems can also offer economic advantages when capital expenditure costs for small-scale wastewater treatment plants are significantly reduced compared to current costs, particularly at higher discount rates, e.g. reaping effects of economies of scale. The findings of this study emphasise the potential of transition pathways towards decentralisation in urban water infrastructures and the value of models that allow the exploration of this transition space.","Performance assessment; System diagramming; Transition planning; Urban drainage; Wastewater infrastructure","en","journal article","","","","","","","","","","","Policy Analysis","","",""
"uuid:2f0f4ac3-66de-45cb-83c0-2a258fdd634a","http://resolver.tudelft.nl/uuid:2f0f4ac3-66de-45cb-83c0-2a258fdd634a","Assessment criteria for inter-organizational collaboration in interconnected infrastructure projects","Rikhtegarnezami, M. (TU Delft Integral Design & Management); de Bruijne, M.L.C. (TU Delft Organisation & Governance); Hertogh, M.J.C.M. (TU Delft Integral Design & Management); Bakker, H.L.M. (TU Delft Integral Design & Management)","","2024","Purpose: Societies depend on interconnected infrastructures that are becoming more complex over the years. Multi-disciplinary knowledge and skills are essential to develop modern infrastructures, requiring close collaboration of various infrastructure owners. To effectively manage and improve inter-organizational collaboration (IOC) in infrastructure construction projects, collaboration status should be assessed continually. This study identifies the assessment criteria, forming the foundation of a tool for assessing the status of IOC in interconnected infrastructure projects.
Design/methodology/approach: A systematic literature study and in-depth semi-structured interviews with practitioners in interconnected infrastructure construction projects in the Netherlands are performed to identify the criteria for assessing the status of IOC in infrastructure construction projects, based on which an assessment tool is developed.
Findings: The identified assessment criteria through the literature and the practitioner’s perspectives results in the designing and development of a collaboration assessment tool. The assessment tool consists of 12 criteria and 36 sub-criteria from three different categories of collaborative capacity: individual, relational, and organizational.
Originality/value: The assessment tool enables practitioners to monitor the status of IOC between infrastructure owners and assists them in making informed decisions to enhance collaboration. The assessment tool provides the opportunity to assess and analyze the status of collaboration based on three categories (i.e., individual, relational, and organizational).","Assessment tool; Construction industry; Horizontal collaboration; Inter-organizational collaboration; Interconnected infrastructure","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:3a14246a-ee80-4335-9458-5695493ac77c","http://resolver.tudelft.nl/uuid:3a14246a-ee80-4335-9458-5695493ac77c","Cultural Heritage as an Inspiration for Placemaking in the Historic City: A Transversal Approach","García-Esparza, Juan A. (Universitat Jaume I); Hein, C.M. (TU Delft History, Form & Aesthetics); Rogac Mijatovic, Ljiljana (University of Arts in Belgrade); Negru, Mircea (Spiru Haret University)","Smaniotto Costa, Carlos (editor); Fathi, Mastoureh (editor); García-Esparza, Juan A. (editor); Djukic, Aleksandra (editor); Horan, Conor (editor); Rotondo, Francesco (editor)","2024","Many European cities are in crisis since most of them are struggling to socially and culturally move forward from the historic and recreational values that made them of interest to the global visitor. In 2011, the United Nations Educational, Scientific and Cultural Organization (UNESCO) started assessing new forms of placemaking in the historic city through the UNESCO Historic Urban Landscape Approach. The same year, the International Council on Monuments and Sites (ICOMOS) highlighted the importance of developing innovative and transversal approaches to examine cultural development in historic human settlements. Within this context, this chapter analyses placemaking in four European historic cities. Transversal approaches on how relational dynamics impact on the historic context will be scrutinized from the realm of the site-specific arts in the city of Belgrade (Serbia), for archaeology the case of analysis is in Bucharest (Romania), for architecture the context is Ávila (Spain) and for urban planning it is Hamburg (Germany). This transversal approach involves using different disciplines to comprehend placemaking from a polyhedral point of view. Therefore, it brings together complementary forms of heritage appropriation – including the construction of the heritage concept over time – methods and strategies that help integrate cultural expressions, practices and products as potential relational dynamics. To do so, we will define stakeholders such as minorities, communities and powerful entities and target groups. Methodologically, approaches will deepen the understanding of phenomenological, dynamic-relational and contextual notions of placemaking that help clarify how historic cities, tools and communities are all interconnected. The discussion will establish parallelisms between the experiences to clarify the implications of placemaking according to the field of approach and the prospects for those places. Cultural expressions and heritage regulation can provide new forms of appropriation and integration in permanent scenarios of the past. Accordingly, contemporary mutable relationships between places and society are at stake in the context of urban planning and the UNESCO Historic Urban Landscape Approach and in defining the future of historic cities. In conclusion, we point towards (1) imagining how placemaking and cultural heritage serve to delineate new forms of heritage-making in the historic city, and (2) to what extent this requires defining ethical forms of culture-based placemaking practices.","cultural heritage; historic city; mutable places; heritage futures","en","book chapter","Brill","","","","","","","","","","History, Form & Aesthetics","","",""
"uuid:c13dd1e6-1704-4f5b-9b45-95de34eb7ef2","http://resolver.tudelft.nl/uuid:c13dd1e6-1704-4f5b-9b45-95de34eb7ef2","Integrating simulation and measurement techniques to model outdoor noise and heat in airport neighbourhoods with varying urban geometries","Wuite, F.G.E. (TU Delft Environmental & Climate Design; TU Delft Amsterdam Institute for Advanced Metropolitan Solutions); Peng, Zhikai (TU Delft Environmental & Climate Design; TU Delft Amsterdam Institute for Advanced Metropolitan Solutions); Kim, K.J. (TU Delft Environmental & Climate Design); Lugten, M.C. (TU Delft Environmental & Climate Design; TU Delft Amsterdam Institute for Advanced Metropolitan Solutions); Tenpierik, M.J. (TU Delft Environmental & Climate Design)","","2024","This study aims to evaluate the impact of different urban building geometries (six courtyards, two canyons, two slabs) on heat mitigation and aircraft noise attenuation, in order to support an evidence-based retrofit plan for future airport neighborhoods. Using ’Pachyderm + ENVI-met simulations + field measurements’, we found that the slanted-roof, low-rise courtyard exhibited optimal acoustic-thermal performance (SPLmin = 71.1 dB(A), σU T CI < 5 ◦C), while the mid-rise canyon demonstrated limited performance (SPLmin = 93.4 dB(A), σU T CI > 10 ◦C). These findings were observed under averaged boundary conditions of a 140 dB(A) aircraft sound source and a diurnal MRT range of 60 ◦C on a heatwave day in July 2022.","noise and heat; parametric design; airport neighbourhood; courtyard; outdoor comfort","en","conference paper","IBPSA","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-13","","Amsterdam Institute for Advanced Metropolitan Solutions","Environmental & Climate Design","","",""
"uuid:22163f75-f825-4257-9cf4-7f1c479da4ad","http://resolver.tudelft.nl/uuid:22163f75-f825-4257-9cf4-7f1c479da4ad","Response of Late-Eocene warmth to incipient glaciation on Antarctica","Vermeulen, D.H.A. (TU Delft Environmental Fluid Mechanics); Baatsen, Michiel (Universiteit Utrecht); Heydt, Anna von der (Universiteit Utrecht)","","2024","The Eocene-Oligocene Transition is marked by a sudden δ18O excursion occurring in two distinct phases: a precursor event at 34.15±0.04 Ma and the Earliest Oligocene oxygen Isotope Step at 33.65±0.04 Ma. These events signal a shift from the warm Late-Eocene greenhouse climate to cooler conditions, with temperature decreases of 3-5 °C, and the emergence of the first continent-wide Antarctic Ice Sheet (AIS). Despite clear evidence from proxy data, general circulation models (GCMs) struggle to replicate this Antarctic transition accurately, failing to capture the shift from warm, ice-free to cold, glaciated conditions. Even with unrealistically low pCO2 levels, Late-Eocene Antarctic summers in GCMs remain too warm and moist for snow or ice to survive. This study evaluates CESM1.0.5 simulations conducted by Baatsen et al. (2020), using a 38 Ma geo- and topographical reconstruction, considering different radiative (4 pre-industrial carbon levels (PIC) and 2 PIC) and orbital (present-day insolation and low Antarctic summer insolation) forcings. The climate is found to be highly seasonal, characterised by hot and wet summers and cold and dry winters. While reduced radiative and summer insolation forcing weaken this seasonality, the persistent atmospheric circulation still impedes ice sheet growth by limiting summer snow survival. For that reason, a new simulation is conducted with regional, moderately-sized ice sheets imposed on the continent, in order to investigate their stability and their influence on the atmospheric circulation. These ice sheets demonstrate self-sustaining and even expansion potential under 2 PIC and low summer insolation conditions. However, correlating resulting temperature and precipitation patterns with proxy data proves challenging, given the absence of terrestrial proxies. Extended simulations with coupled GCM-ISM models are therefore recommended, allowing for more dynamic atmosphere-ice-ocean-vegetation feedback mechanisms and dynamic radiative and orbital forcing.","","en","abstract","","","","","","","","","","","Environmental Fluid Mechanics","","",""
"uuid:6a2d6ba5-1eaf-4b41-83f8-1ae45f976896","http://resolver.tudelft.nl/uuid:6a2d6ba5-1eaf-4b41-83f8-1ae45f976896","Impact of geometrical resolution on long-term climate-based daylight metrics","Forouzandeh Shahraki, N. (TU Delft Environmental & Climate Design); Brembilla, E. (TU Delft Environmental & Climate Design); Stoter, J.E. (TU Delft Urban Data Science); Nan, L. (TU Delft Urban Data Science)","","2024","3D modeling of indoor spaces is a prerequisite for daylight simulation, and the accuracy of the 3D models has a significant impact on the simulation. The goal of this study was to quantify the errors caused by modeling indoor spaces at different accuracy levels to find the optimal balance between the reliability of the results and labor investment. For this purpose, we introduce a level of detail (LOD) concept for indoor spaces based on the size of non-permanent indoor objects by inclusion and exclusion from the simulation scene. The errors corresponding to models with low accuracies are measured by climate-based simulation using an improved two-phase method. Our results show that inaccurate modeling of indoor spaces causes between 10-70% error in TAI with 25% median across all spaces.","daylight; blinds automated control; complex fenestration systems; radiance matrix methods","en","conference paper","IBPSA","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-13","","","Environmental & Climate Design","","",""
"uuid:7bc0145f-3e4b-4947-9617-9f71462394be","http://resolver.tudelft.nl/uuid:7bc0145f-3e4b-4947-9617-9f71462394be","A 0D Model for the Comparative Analysis of Hydrogen Carriers in Ship’s Integrated Energy Systems","Van Rheenen, E.S. (TU Delft Ship Design, Production and Operations); Padding, J.T. (TU Delft Complex Fluid Processing); Visser, K. (TU Delft Ship Design, Production and Operations)","","2024","Hydrogen carriers are attractive alternative fuels for the shipping sectors. They are zero-emission, have high energy densities, and are safe, available, and easy to handle. Sodium borohydride, potassium borohydride, dibenzyltoluene, n-ethylcarbazole, and ammoniaborane are interesting hydrogen carriers, with high theoretical energy densities. The exact energy density of these hydrogen carriers depends on the integration of heat and mass with the energy converters. This combination defines the energy efficiency and, thus, the energy density of the system. Using a 0D model, we combined the five carriers with two types of fuel cells (PEM and SOFC), an internal combustion engine and a gas turbine. This resulted in 20 combinations. Despite the limitations of the 0D model and the occasional difficulty of validating input values, this model still produces exciting findings, which are valuable for further research. For the dehydrogenation of both dibenzyltoluene and n-ethylcarbazole, an external hydrogen burner is required if no waste heat resources from the integrated system are available. For the borohydrides, on the other hand, energy integration is essential for reducing cooling power. Dehydrogenation produces substantial energy, but only a fraction of this energy can be used for internal preheating. Dehydrogenation of ammoniaborane produces less energy. Among all hydrogen carriers, both ammoniaborane and sodium borohydride provide energy densities comparable to that of marine diesel oil. In particular, ammoniaborane possesses a remarkably high energy density. Thus, we conclude, that hydrogen carriers are attractive alternative fuels that deserve more attention, including their potential performance for hydrogen imports.
−1 in vitamin-free synthetic medium. This growth rate was only 17 % lower than that of a congenic reference strain in vitamin-supplemented medium. Physiological parameters of the engineered vitamin-independent strain in aerobic glucose-limited chemostat cultures (dilution rate 0.10 h−1) grown on vitamin-free synthetic medium were similar to those of similar cultures of the parental strain grown on vitamin-supplemented medium. Transcriptome analysis revealed only few differences in gene expression between these cultures, which primarily involved genes with roles in Class-B vitamin metabolism. These results pave the way for development of fast-growing vitamin-independent industrial strains of S. cerevisiae.","","en","journal article","","","","","","","","","","BT/Biotechnologie","BT/Industriele Microbiologie","","",""
"uuid:45496b8b-2ff4-4c71-a854-c027d4532cb7","http://resolver.tudelft.nl/uuid:45496b8b-2ff4-4c71-a854-c027d4532cb7","Visualizing greener cities","Metze, T.A.P. (TU Delft Organisation & Governance); Rojas-Padilla, Eduardo (Wageningen University & Research)","","2024","To realize sustainability transitions, there is a need for broad societal support. A study now shows that images can be influential in building that support, even in the case of policy decisions to invest in greener urban transportation, which more sceptical citizens would typically not endorse.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-23","","","Organisation & Governance","","",""
"uuid:58070867-ed09-4481-ad80-f5430929205e","http://resolver.tudelft.nl/uuid:58070867-ed09-4481-ad80-f5430929205e","To Share or Not to Share: Understanding and Modeling Individual Disclosure Preferences in Recommender Systems for the Workplace","Musick, Geoff (Clemson University); Duan, Wen (Clemson University); Najafian, S. (TU Delft Web Information Systems); Sengupta, Subhasree (Clemson University); Flathmann, Christopher (Clemson University); Knijnenburg, Bart (Clemson University); McNeese, Nathan (Clemson University)","","2024","Newly-formed teams often encounter the challenge of members coming together to collaborate on a project without prior knowledge of each other’s working and communication styles. This lack of familiarity can lead to conflicts and misunderstandings, hindering effective teamwork. Derived from research in social recommender systems, team recommender systems have shown the ability to address this challenge by providing personality-derived recommendations that help individuals interact with teammates with differing personalities. However, such an approach raises privacy concerns as to whether teammates would be willing to disclose such personal information with their team. Using a vignette survey conducted via a research platform that hosts a team recommender system, this study found that context and individual differences significantly impact disclosure preferences related to team recommender systems. Specifically, when working in interdependent teams where success required collective performance, participants were more likely to disclose personality information related to Emotionality and Extraversion unconditionally. Drawing on these findings, this study created and evaluated a machine learning model to predict disclosure preferences based on group context and individual differences, which can help tailor privacy considerations in team recommender systems prior to interaction.","Additional Key Words and Phrases: Group recommender systems; Individual difference; Privacy; Teamwork","en","journal article","","","","","","","","","","","Web Information Systems","","",""
"uuid:66d406bf-c98d-43de-bc64-0053546ef45d","http://resolver.tudelft.nl/uuid:66d406bf-c98d-43de-bc64-0053546ef45d","Target-oriented acquisition geometry design based on full-wavefield migration","Revelo Obando, B.A. (TU Delft Applied Geophysics and Petrophysics); Blacquière, G. (TU Delft Applied Geophysics and Petrophysics)","","2024","The ultimate goal of survey design is to find the acquisition parameters that enable acquiring high-quality data suitable for optimal imaging, while fulfilling budget, health, safety, and environmental constraints. We develop a target-oriented acquisition design algorithm based on full-wavefield migration. The algorithm optimizes a receiver density function that indicates the number of receivers per unit area required for obtaining the best possible image quality. The method makes use of available seismic data to create a reference model that is included in our objective function. To make the design target oriented, the objective function is multiplied with a mask that gives more weight to the target areas of interest. The results of the 2D and 3D implementations indicate an optimized receiver density function with higher values at the zones where more data are needed for improving image quality. The corresponding receiver geometries have more receivers placed in these areas. We validate the results by computing the images of the target zone using uniform and optimized geometries. The use of the latter indicates an improvement in the image quality at the target zone. In addition, we compute the number of receivers required for achieving a certain signal-to-noise ratio after imaging based on the optimized receiver density function.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-26","","","Applied Geophysics and Petrophysics","","",""
"uuid:fbf7b930-a863-456a-88e6-f157d09aa141","http://resolver.tudelft.nl/uuid:fbf7b930-a863-456a-88e6-f157d09aa141","TOSCA – an open-source, finite-volume, large-eddy simulation (LES) environment for wind farm flows","Stipa, S. (University of British Columbia); Ajay, Arjun (University of British Columbia); Allaerts, D.J.N. (TU Delft Wind Energy); Brinkerhoff, Joshua (University of British Columbia)","","2024","The growing number and growing size of wind energy projects coupled with the rapid growth in high-performance computing technology are driving researchers toward conducting large-scale simulations of the flow field surrounding entire wind farms. This requires highly parallel-efficient tools, given the large number of degrees of freedom involved in such simulations, and yields valuable insights into farm-scale physical phenomena, such as gravity wave interaction with the wind farm and farm–farm wake interactions. In the current study, we introduce the open-source, finite-volume, large-eddy simulation (LES) code TOSCA (Toolbox fOr Stratified Convective Atmospheres) and demonstrate its capabilities by simulating the flow around a finite-size wind farm immersed in a shallow, conventionally neutral boundary layer (CNBL), ultimately assessing gravity-wave-induced blockage effects. Turbulent inflow conditions are generated using a new hybrid off-line–concurrent-precursor method. Velocity is forced with a novel pressure controller that allows us to prescribe a desired average hub-height wind speed while avoiding inertial oscillations above the atmospheric boundary layer (ABL) caused by the Coriolis force, a known problem in wind farm LES studies. Moreover, to eliminate the dependency of the potential-temperature profile evolution on the code architecture observed in previous studies, we introduce a method that allows us to maintain the mean potential-temperature profile constant throughout the precursor simulation. Furthermore, we highlight that different codes do not predict the same velocity inside the boundary layer under geostrophic forcing owing to their intrinsically different numerical dissipation. The proposed methodology allows us to reduce such spread by ensuring that inflow conditions produced from different codes feature the same hub wind and thermal stratification, regardless of the adopted precursor run time. Finally, validation of actuator line and disk models, CNBL evolution, and velocity profiles inside a periodic wind farm is also presented to assess TOSCA’s ability to model large-scale wind farm flows accurately and with high parallel efficiency.","","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:9e2a881c-0238-4a37-ab2a-54d87d2a19dc","http://resolver.tudelft.nl/uuid:9e2a881c-0238-4a37-ab2a-54d87d2a19dc","Stability of dusty rings in protoplanetary discs","Chan, Kevin (Queen Mary University of London); Paardekooper, S. (TU Delft Planetary Exploration; Queen Mary University of London)","","2024","Dust rings in protoplanetary discs are often observed in thermal dust emission and could be fa v ourable environments for planet formation. While dust rings readily form in gas pressure maxima, their long-term stability is key to both their observability and potential to assist in planet formation. We investigate the stability of the dust ring generated by interactions of a protoplanetary disc with a Neptune-sized planet and consider its possible long-term evolution using the FARGO3D Multifluid code. We look at the onset of the Rossby Wave Instability (RWI) and compare how the addition of dust in a disc can alter the stability of the gas phase. We find that with the addition of dust, the rings generated by planet-disc interactions are more prone to RWI and can cause the gas phase to become unstable. The instability is shown to occur more easily for higher Stokes number dust, as it accumulates into a more narrow ring which triggers the RWI, while the initial dust fraction plays a more minor role in the stability properties. We show that the dusty RWI generates vortices that collect dust in their cores, which could be sites for further planetesimal formation. We conclude that the addition of dust can cause a ring in a protoplanetary disc to become more prone to instability leading to a different long-term evolution compared to gas-only simulations of the RWI.","hydrodynamics; methods: numerical; protoplanetary discs","en","journal article","","","","","","","","","","","Planetary Exploration","","",""
"uuid:23a20d2a-6107-4fd3-a3b9-31649179578a","http://resolver.tudelft.nl/uuid:23a20d2a-6107-4fd3-a3b9-31649179578a","Active Acoustic Metamaterial Based on Helmholtz Resonators to Absorb Broadband Low-Frequency Noise","Hedayati, R. (TU Delft Novel Aerospace Materials); Lakshmanan, Sandhya P. (Delft University of Technology (TU Delft))","","2024","The aim of the present work is to design active acoustic metamaterial consisting of an array of Helmholtz resonators and fabricating them using an additive manufacturing technique in order to assist in a reduction in noise levels in aerospace applications. To this aim, initially, a passive metamaterial consisting of an array of 64 Helmholtz resonator unit cells is designed and tested to establish the effectiveness and region of performance. The selected design variable for change is identified as the resonator cavity depth through the frequency response for each parameter of the Helmholtz resonance equation and randomized to achieve a broadband frequency range of the passive metamaterial. An active model of this design (actuated by a stepper motor) is fabricated and tested. The metamaterials are tested under two acoustic set-ups: a closed system aimed at recreating the environment of a soundproof room and an open-system aimed to recreate the condition of an active liner. For the case of passive system, the metamaterial gave sound attenuation of 18 dB (for f = 150 Hz) in open system configuration and 33 dB (f = 350 Hz) in closed system configuration. The attenuation obtained for the active model was 10–15 dB over the mean line performance for the case of closed system and 15–20 dB for the case of open system. The closed system was also tested for performance at multiple cavity depths by setting two wall depths at 10 mm and three walls at 50 mm. This test yielded an attenuation of 15 dB at 180 Hz, the frequency corresponding to 50 mm cavity depth, and 10 dB at 515 Hz, corresponding to 10 mm cavity depth.","acoustic metamaterial; additive manufacturing; Helmholtz resonator; noise attenuation","en","journal article","","","","","","","","","","","Novel Aerospace Materials","","",""
"uuid:fd4dad4b-495b-496a-83c4-8abd136499e3","http://resolver.tudelft.nl/uuid:fd4dad4b-495b-496a-83c4-8abd136499e3","Migration of low-mass planets in inviscid discs: the effect of radiation transport on the dynamical corotation torque","Ziampras, Alexandros (Queen Mary University of London); Nelson, Richard P. (Queen Mary University of London); Paardekooper, S. (TU Delft Planetary Exploration; Queen Mary University of London)","","2024","Low-mass planets migrate in the type-I regime. In the inviscid limit, the contrast between the vortensity trapped inside the planet's corotating region and the background disc vortensity leads to a dynamical corotation torque, which is thought to slow down inward migration. We investigate the effect of radiative cooling on low-mass planet migration using inviscid 2D hydrodynamical simulations. We find that cooling induces a baroclinic forcing on material U-turning near the planet, resulting in vortensity growth in the corotating region, which in turn weakens the dynamical corotation torque and leads to 2-3 × faster inw ard migration. This mechanism is most efficient when cooling acts on a time-scale similar to the U-turn time of material inside the corotating region, but is none the less rele v ant for a substantial radial range in a typical disc ( R ~5-50 au). As the planet migrates inwards, the contrast between the vortensity inside and outside the corotating region increases and partially regulates the effect of baroclinic forcing. As a secondary ef fect, we sho w that radiati ve damping can further weaken the vortensity barrier created by the planet's spiral shocks, supporting inward migration. Finally, we highlight that a self-consistent treatment of radiative diffusion as opposed to local cooling is critical in order to avoid overestimating the vortensity growth and the resulting migration rate.","hydrodynamics; methods: numerical; planet-disc interactions","en","journal article","","","","","","","","","","","Planetary Exploration","","",""
"uuid:bf89da1d-0ef5-4ec6-b1d0-e39d4bfe3a99","http://resolver.tudelft.nl/uuid:bf89da1d-0ef5-4ec6-b1d0-e39d4bfe3a99","DeltaDTM: A global coastal digital terrain model","Pronk, M.J. (TU Delft Urban Data Science; Deltares); Hooijer, Aljosja (Deltares); Eilander, Dirk (Deltares); Haag, Arjen (Deltares); de Jong, Tjalling (Deltares); Vousdoukas, Michalis (University of the Aegean, Mytilene); Vernimmen, Ronald (Data for Sustainability, Axel); Ledoux, H. (TU Delft Urban Data Science); Eleveld, M.A. (TU Delft Mathematical Geodesy and Positioning; Deltares)","","2024","Coastal elevation data are essential for a wide variety of applications, such as coastal management, flood modelling, and adaptation planning. Low-lying coastal areas (found below 10 m +Mean Sea Level (MSL)) are at risk of future extreme water levels, subsidence and changing extreme weather patterns. However, current freely available elevation datasets are not sufficiently accurate to model these risks. We present DeltaDTM, a global coastal Digital Terrain Model (DTM) available in the public domain, with a horizontal spatial resolution of 1 arcsecond (∼30 m) and a vertical mean absolute error (MAE) of 0.45 m overall. DeltaDTM corrects CopernicusDEM with spaceborne lidar from the ICESat-2 and GEDI missions. Specifically, we correct the elevation bias in CopernicusDEM, apply filters to remove non-terrain cells, and fill the gaps using interpolation. Notably, our classification approach produces more accurate results than regression methods recently used by others to correct DEMs, that achieve an overall MAE of 0.72 m at best. We conclude that DeltaDTM will be a valuable resource for coastal flood impact modelling and other applications.","energy access; energy security; power distribution","en","journal article","","","","","","","","","","","Urban Data Science","","",""
"uuid:447a3d63-20f2-4454-b59c-5494c5c4f671","http://resolver.tudelft.nl/uuid:447a3d63-20f2-4454-b59c-5494c5c4f671","Closing the genome of unculturable cable bacteria using a combined metagenomic assembly of long and short sequencing reads","Hiralal, Anwar (Universiteit Antwerpen); Geelhoed, Jeanine S. (Universiteit Antwerpen); Hidalgo-Martinez, Silvia (Universiteit Antwerpen); Smets, Bent (Universiteit Antwerpen); van Dijk, Jesper R. (Universiteit Antwerpen); Meysman, F.J.R. (TU Delft BT/Environmental Biotechnology; Universiteit Antwerpen)","","2024","Many environmentally relevant micro-organisms cannot be cultured, and even with the latest metagenomic approaches, achieving complete genomes for specific target organisms of interest remains a challenge. Cable bacteria provide a prominent example of a microbial ecosystem engineer that is currently unculturable. They occur in low abundance in natural sediments, but due to their capability for long-distance electron transport, they exert a disproportionately large impact on the biogeochemistry of their environment. Current available genomes of marine cable bacteria are highly fragmented and incomplete, hampering the elucidation of their unique electrogenic physiology. Here, we present a metagenomic pipeline that combines Nanopore long-read and Illumina short-read shotgun sequencing. Starting from a clonal enrichment of a cable bacterium, we recovered a circular metagenome-assembled genome (5.09 Mbp in size), which represents a novel cable bacterium species with the proposed name Candidatus Electrothrix scaldis. The closed genome contains 1109 novel identified genes, including key metabolic enzymes not previously described in incomplete genomes of cable bacteria. We examined in detail the factors leading to genome closure. Foremost, native, non-amplified long reads are crucial to resolve the many repetitive regions within the genome of cable bacteria, and by analysing the whole metagenomic assembly, we found that low strain diversity is key for achieving genome closure. The insights and approaches presented here could help achieve genome closure for other keystone micro-organisms present in complex environmental samples at low abundance.","cable bacteria; Candidatus Electrothrix scaldis; clonal enrichment; genome closure; hybrid sequencing; metagenomics","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:55dd445a-a888-4b92-b89f-0636cda80dec","http://resolver.tudelft.nl/uuid:55dd445a-a888-4b92-b89f-0636cda80dec","How do residents perceive energy-producing kites?: Comparing the community acceptance of an airborne wind energy system and a wind farm in Germany","Schmidt, H.S. (TU Delft Wind Energy); Leschinger, Valentin (University of Applied Science and Medical University; Martin-Luther-Universität Halle-Wittenberg); Müller, Florian J.Y. (University of Applied Science and Medical University); de Vries, G. (TU Delft Organisation & Governance); Renes, Reint Jan (Hogeschool van Amsterdam); Schmehl, R. (TU Delft Wind Energy); Hübner, Gundula (University of Applied Science and Medical University; Martin-Luther-Universität Halle-Wittenberg)","","2024","Airborne wind energy (AWE) is an emerging renewable energy technology that uses kites to harvest winds at higher altitudes than wind turbines. Understanding how residents experience a local AWE system (AWES) is important as the technology approaches commercialization. Such knowledge can help adjust the design and deployment of an AWES to fit locals' needs better, thereby decreasing the technology's burden on people. Although the AWE literature claims that the technology affects nature and residents less than wind turbines, empirical evidence has been lacking. This first community acceptance study recruited residents within a 3.5 km radius of an AWE test site in Northern Germany. Using structured questionnaires, 54 residents rated the AWES and the closest wind farm on visual, sound, safety, siting, environmental, and ecological aspects. Contrary to the literature's claims, residents assessed the noise, ecological, and safety impacts similarly for the AWES and the wind farm. Only visual impacts were rated better for the AWES (e.g., no shadows were perceived). Consistent with research on wind turbines, residents who rated the site operation as fairer and the developer as more transparent tended to have more positive attitudes towards the AWES and to experience less noise annoyance. Consequently, recommendations for the AWE industry and policymakers include mitigating technology impacts and implementing evidence-based strategies to ensure just and effective project development. The findings are limited to one specific AWES using soft-wing kites. Future research should assess community responses across regions and different types of AWESs to test the findings' generalizability.","Airborne wind energy; Wind turbine; Community acceptance; Attitude; Annoyance; Social impacts","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:e48feb37-7327-4c3f-99f5-8facdb84f093","http://resolver.tudelft.nl/uuid:e48feb37-7327-4c3f-99f5-8facdb84f093","Lateral-torsional buckling resistance of non-prismatic and prismatic mono-symmetric I-section steel beams based on stress utilization","Gomes, J. O. (Universidade de Coimbra; Universidade Federal de Minas Gerais); Simões da Silva, L. (Universidade de Coimbra); Tankova, T. (TU Delft Steel & Composite Structures); Carvalho, H. (Universidade Federal de Minas Gerais; Universidade de São Paulo); Filho, J. O. Ferreira (Universidade de Coimbra)","","2024","The lateral-torsional resistance of prismatic double-symmetric I-section beams is accurately predicted using a mechanically consistent Ayrton-Perry approach, combined with a calibrated generalized imperfection. The corresponding design formulation was recently adopted in the revised version of Eurocode 3. However, for prismatic mono-symmetric I-section beams, the General Case shall be used while for non-prismatic beams only the General Method is available. Both methods present a very large scatter and highly underestimate the lateral-torsional buckling resistance. This paper proposes an extension to the General Formulation for non-prismatic beams with arbitrary boundary conditions, partial lateral restraints, and arbitrary loading for mono-symmetric I-sections. Using an advanced numerical model calibrated with experimental test results, a large parametric study is undertaken, and its results are used to assess the available design methodologies and the proposed method. It is concluded that the General Formulation provides excellent safe-sided estimates of the LTB resistance, and it is confirmed the very poor performance of the General Case and the General Method.","Eurocode 3; General formulation; Mono-symmetric beams; Stability; Steel","en","journal article","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:ae1f97a8-00ea-49bd-80dc-7ff0a454b26e","http://resolver.tudelft.nl/uuid:ae1f97a8-00ea-49bd-80dc-7ff0a454b26e","Augmented reality for supporting the interaction between pedestrians and automated vehicles: an experimental outdoor study","Aleva, T.K. (Student TU Delft); Tabone, W. (TU Delft Human-Robot Interaction); Dodou, D. (TU Delft Medical Instruments & Bio-Inspired Technology); de Winter, J.C.F. (TU Delft Human-Robot Interaction)","","2024","Introduction: Communication from automated vehicles (AVs) to pedestrians using augmented reality (AR) could positively contribute to traffic safety. However, previous AR research for pedestrians was mainly conducted through online questionnaires or experiments in virtual environments instead of real ones.
Methods: In this study, 28 participants conducted trials outdoors with an approaching AV and were supported by four different AR interfaces. The AR experience was created by having participants wear a Varjo XR-3 headset with see-through functionality, with the AV and AR elements virtually overlaid onto the real environment. The AR interfaces were vehicle-locked (Planes on vehicle), world-locked (Fixed pedestrian lights, Virtual fence), or head-locked (Pedestrian lights HUD). Participants had to hold down a button when they felt it was safe to cross, and their opinions were obtained through rating scales, interviews, and a questionnaire.
Results: The results showed that participants had a subjective preference for AR interfaces over no AR interface. Furthermore, the Pedestrian lights HUD was more effective than no AR interface in a statistically significant manner, as it led to participants more frequently keeping the button pressed. The Fixed pedestrian lights scored lower than the other interfaces, presumably due to low saliency and the fact that participants had to visually identify both this AR interface and the AV.
Discussion: In conclusion, while users favour AR in AV-pedestrian interactions over no AR, its effectiveness depends on design factors like location, visibility, and visual attention demands. In conclusion, this work provides important insights into the use of AR outdoors. The findings illustrate that, in these circumstances, a clear and easily interpretable AR interface is of key importance.","augmented reality; pedestrian safety; anchoring; see-through AR; head-mounted device (HMD)","en","journal article","","","","","","","","","","","Human-Robot Interaction","","",""
"uuid:9a608f16-a40d-4f81-91b8-9b254d80dbf1","http://resolver.tudelft.nl/uuid:9a608f16-a40d-4f81-91b8-9b254d80dbf1","WhereWeMove: The housing game that supports governments and residents in joining efforts for climate action","Cortes Arevalo, V.J. (TU Delft Policy Analysis); Bekebrede, G. (TU Delft Organisation & Governance); Verbraeck, A. (TU Delft Policy Analysis); Filatova, T. (TU Delft Policy Analysis); Mutlu, A. (TU Delft Policy Analysis); Abebe, Y.A. (TU Delft Hydraulic Structures and Flood Risk); Taylor, Zac (TU Delft Urban Development Management)","","2024","","","en","report","Delft University of Technology","","","","","","","","","","Policy Analysis","","",""
"uuid:aaf79cd7-5d25-4c12-8ccb-45a0345f48f9","http://resolver.tudelft.nl/uuid:aaf79cd7-5d25-4c12-8ccb-45a0345f48f9","The validity of simplifying gaming simulations","van Haaften, M.A. (TU Delft System Engineering; InHolland); Lefter, I. (TU Delft System Engineering); van Kooten, O. (Wageningen University & Research; InHolland); Brazier, F.M. (TU Delft System Engineering)","","2024","Simplifications of the real world affect the validity and reliability of gaming simulations. This challenges the application of gaming simulations as an instrument for experiential learning, reflective practices and data collection. This study investigates the effects of simplification on extracting tacit knowledge from human behavior by answering the research question: Can tacit knowledge in a simplified design of a gaming simulation be transferred without compromising the validity and reliability corresponding to the real-world complexity? By applying a participatory design a gaming simulation is tested as an instrument to extract tacit knowledge. To test and evaluate the validity of this application, simulation sessions have been performed with experts from the field. In simplifying reality, participants' participation emphasized that the most accurate representation of reality is a prerequisite for capturing tacit knowledge. This in turn contributes again to the validity of the simulation design. The results show that simplification of the real world didn't affect participants' perspective on the use of the gaming simulation as an experiential tool to enable learning processes or create awareness. And that a simplified simulation design, is still valid in addressing the real-world complexity, with minimization of the level of abstraction and maximization of the truthfulness.","Gaming simulation design; Horticulture; Participatory design; Simulation validity; Tacit knowledge","en","journal article","","","","","","","","","","","System Engineering","","",""
"uuid:66a1ffe2-9f54-4c7e-b1ab-f203edbd060e","http://resolver.tudelft.nl/uuid:66a1ffe2-9f54-4c7e-b1ab-f203edbd060e","Evaluation of blinds control techniques for daylight and visual comfort in complex real-world conditions","Brembilla, E. (TU Delft Environmental & Climate Design); Wang, Taoning (Lawrence Berkeley National Laboratory); Theodoropoulou, Panagiota (Student TU Delft); Beck, Wouter (Hunter Douglas NL)","","2024","The presence of sensor networks to monitor environmental conditions and the automation of blinds and lighting systems controls is now commonplace in buildings, especially public ones with a high number of occupants. However, implementing control algorithms that are sufficiently reactive to variable sky conditions and that actually meet occupants’ needs is still a challenge. In the present study, we investigate and compare advanced and simple control algorithms developed for a variable occupancy, open space, small sized conference venue. Operation and performance resulting from an optimized approach are assumed to be the benchmark strategy, and two other control algorithms of varying complexity are compared with it. Results show that the optimized control strategy performs best overall, but only marginally compared to the other two strategies. It performed especially well in meeting glare protection requirements, as a glare-related parameter was embedded into its objective function, but it also led to erratic movements of the blind slats’ tilt and it required significantly higher computation times than rule-based control strategies. These two factors make it impossible to implement such strategy as it is in the real building, and indicate that a practical control implementation can be more effective than an optimal one.","daylight; blinds automated control; complex fenestration systems; radiance matrix methods","en","conference paper","IBPSA","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-13","","","Environmental & Climate Design","","",""
"uuid:4afeb65f-c39c-464a-8a5b-dbd75f809a10","http://resolver.tudelft.nl/uuid:4afeb65f-c39c-464a-8a5b-dbd75f809a10","Effects of surface roughness on the propulsive performance of pitching foils","Vilumbrales-Garcia, Rodrigo (University of Southampton); Kurt, Melike (University of Southampton); Weymouth, Gabriel D. (TU Delft Ship Hydromechanics; University of Southampton); Ganapathisubramani, Bharathram (University of Southampton)","","2024","The hydrodynamic influence of surface texture on static surfaces ranges from large drag penalties (roughness) to potential performance benefits (shark-like skin). Although it is of wide-ranging research interest, the impact of roughness on flapping systems has received limited attention. In this work, we explore the effect of roughness on the unsteady performance of a harmonically pitching foil through experiments using foils with different surface roughness, at a fixed Strouhal number and within the Reynolds number range of. The foils' surface roughness is altered by changing the distribution of spherical-cap-shaped elements over the propulsor area. We find that the addition of surface roughness does not improve the performance compared with a smooth surface over the range considered. The analysis of the flow fields shows near-identical wakes regardless of the foil's surface roughness. The performance reduction mainly occurs due to an increase in profile drag. However, we find that the drag penalty due to roughness is reduced from for a static foil to for a flapping foil at the same mean angle of attack, with the strongest decrease measured at the highest. Our findings highlight that the effect of roughness on dynamic systems is very different than that on static systems; thereby, it cannot be estimated by only using information obtained from static cases. This also indicates that the performance of unsteady, flapping systems is more robust to the changes in surface roughness.","flow–structure interactions; swimming/flying; drag reduction","en","journal article","","","","","","","","","","","Ship Hydromechanics","","",""
"uuid:0933be64-edd7-43eb-87f6-055c1bf18b20","http://resolver.tudelft.nl/uuid:0933be64-edd7-43eb-87f6-055c1bf18b20","l-Detect: Life Detection Under Debris in Disaster Zones","Poola, Lakshmi (Indian Institute of Science); John, Luis Henrik; Godkhindi, Shrutkirthi S. (Indian Institute of Science); Reddy, Preetham (Indian Institute of Science); Rao, Deeksha P. (Indian Institute of Science); Prabhakar, T. V. (Indian Institute of Science); Venkatesha Prasad, Ranga Rao (TU Delft Networked Systems)","","2024","The recent spate of natural disasters such as earthquakes and floods destroyed buildings and caused loss of lives. Many times, the loss of life is attributed to slow response and not being able to reach the survivors. In such scenarios, the staggering number of deaths in the aftermath of a disaster can be reduced if information about survivors under debris is available to first responders and rescue workers. Large-scale destruction of roads and other communication infrastructure makes it hard to deploy advanced technologies for life detection. We explore the possibility of using low-cost, low-power, short-range communication technologies to assist rescue personnel in locating life under debris. We have designed and prototyped a thermopile-based sensor and communication device that provides information about the presence of survivors. The system weighs under 20 gm and costs US $30 per unit. The device can easily be fitted on battery-powered toy bugs and robots that can autonomously maneuver under the debris. We have proposed three simple algorithms, which together detect humans with 100% to 88% accuracy for 0.5 to 4.5 m range with fewer false alarms. Our evaluation shows that the detection is robust enough under several harsh ambient conditions, temperature ranges as well and partial exposure of the human body.","thermopile; life-detection; infrared; low cost; debris","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-16","","","Networked Systems","","",""
"uuid:ddb484e7-190d-4c98-8ede-2ab732c7f299","http://resolver.tudelft.nl/uuid:ddb484e7-190d-4c98-8ede-2ab732c7f299","A multi-step fast charging-based battery capacity estimation framework of real-world electric vehicles","Zhang, D. (TU Delft DC systems, Energy conversion & Storage; Beijing Institute of Technology); Wang, Zhenpo (Beijing Institute of Technology); Liu, Peng (Beijing Institute of Technology); She, Chengqi (Hunan University of Science and Technology); Wang, Qiushi (Beijing Institute of Technology); Zhou, Litao (Beijing Institute of Technology); Qin, Z. (TU Delft DC systems, Energy conversion & Storage)","","2024","Accurately evaluating battery degradation is not only crucial for ensuring the safe and reliable operation of electric vehicles (EVs) but also fundamental for their intelligent management and maximum utilization. However, the non-linearity, non-measurability, and multi-stress coupled operating conditions have posed significant challenges for battery health prediction. This paper proposes a battery capacity estimation framework based on real-world operating data. Firstly, a comprehensive feature pool is constructed from the direct external features extracted during multi-step fast charging processes and the quantitative representation of operating conditions. Subsequently, a two-step feature engineering is introduced to select the most relevant features and eliminate the interference components. The battery capacity estimation framework is then implemented using machine learning methods. Validation results demonstrate that the proposed framework achieves superior estimation accuracy with lower computational expense compared to the modelling process without feature engineering. The MAPE and RMSE reach 1.18% and 1.98 Ah, respectively, representing reductions in errors of up to 8.53% and 11.21%. Collectively, the proposed framework paves the foundation for online health prognostics of batteries under practical operating conditions.","Lithium-ion battery; Capacity estimation; Multi-step fast charging; Machine learning; Real-world data","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-22","","","DC systems, Energy conversion & Storage","","",""
"uuid:474ccbb7-d086-471e-a54b-bc9fa9c91444","http://resolver.tudelft.nl/uuid:474ccbb7-d086-471e-a54b-bc9fa9c91444","Flexible High-Sensitivity Strain Sensor Fabricated with Pdms Micro-Channel Array Using Laser Transmission Pyrolysis Technology","Wang, S. (TU Delft Bio-Electronics); Zong, Qihang (Southern University of Science and Technology); Yang, Huiru (Southern University of Science and Technology); Huang, Qianming (Southern University of Science and Technology); Ye, Huaiyu (Southern University of Science and Technology); French, P.J. (TU Delft Bio-Electronics)","","2024","In recent years, flexible strain sensors based on metal cracks have garnered significant interest for their exceptional sensitivity. However, striking a balance between sensitivity and detection range remains a significant challenge, which often limits its wider application. Herein, we introduce an innovative laser transmission pyrolysis technology to fabricate high-performance flexible strain sensors based on (Au) metal cracks with a microchannel array on the PDMS surface. The fabricated flexible strain sensors exhibit high sensitivity, wide detection range, precise strain resolution, fast response and recovery times, and robust durability. Furthermore, this technology has potential applications in microfluidics, microelectromechanical systems, and optical sensing.","Stretchable strain sensor; Metal film; UV laser; Laser transmission pyrolysis; PDMS pattering","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-22","","","Bio-Electronics","","",""
"uuid:4c7c18c0-d7c7-49ab-a37f-646074efafa8","http://resolver.tudelft.nl/uuid:4c7c18c0-d7c7-49ab-a37f-646074efafa8","3D Hall-Effect Magnetometer Using a Single Inverted Pyramid Structure","Ruggeri, J. (TU Delft Electronic Instrumentation); Strube, J. (TU Delft Electronic Instrumentation); Dowling, K.M. (TU Delft Electronic Instrumentation)","","2024","This paper reports on the creation of a novel 3D Hall-effect sensor based on an anisotropically etched, inverted pyramid structure. Specific biasing and sensing contact configurations are employed to extract the in-plane or out-of-plane components of the magnetic field, eliminating cross-sensitivity by symmetry. Simulations were performed to verify the functionality and performance of the device, and the results suggested that sensitivity can be manipulated by varying the size-to-contact ratio. MEMS and CMOS processes were leveraged to create small-footprint, single-structure magnetometers with high in-plane/out-of-plane sensitivity. Four different geometries were characterized and maximum in-plane sensitivities of 80.1 V/A/T and 22.3 mV/V/T and in-plane to out-of-plane sensitivity ratios of up to 0.77/1.09 (current/voltage-related) were measured. The presented pyramid structure enables a path toward CMOS-integrated, spatially isotropic magnetometers using a single Hall sensor.","3D Magnetometer; Hall-Effect; Inverted Pyramid","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-22","","","Electronic Instrumentation","","",""
"uuid:57847760-f408-4c02-a96b-a5aa43b81648","http://resolver.tudelft.nl/uuid:57847760-f408-4c02-a96b-a5aa43b81648","Investigating Mechanical Properties of Silicon Carbide Coated Carbon Nanotube Composite at Elevated Temperatures","Mo, J. (TU Delft Electronic Components, Technology and Materials); Schaffar, Gerald J.K. (Montanuniversität Leoben); Du, L. (TU Delft Electronic Components, Technology and Materials); Maier-Kiener, Verena (Montanuniversität Leoben); Kiener, Daniel (Montanuniversität Leoben); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2024","Silicon carbide (SiC) coated vertically aligned carbon nanotubes (VACNT) are attractive material for fabricating MEMS devices as an alternative for bulk micromachining of SiC. In order to examine the mechanical properties of SiC-CNT composites at high temperatures, we fabricated VACNT micro-pillars with different amounts of SiC coating and performed high-temperature micro-pillar compression on these samples. The indentation result shows that the coating can improve the elastic modulus up to three orders of magnitude. Samples were tested at room temperature, 300°C, 600°C, and 900°C under compressive load. No significant degradation of the mechanical properties was observed at elevated temperatures, demonstrating the harsh environment potential of this composite.","SiC-CNT composite; high-temperature; micro-pillar; compression test","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-22","","","Electronic Components, Technology and Materials","","",""
"uuid:94f8fbdc-cdf8-44a1-8bf8-3a441a5ad631","http://resolver.tudelft.nl/uuid:94f8fbdc-cdf8-44a1-8bf8-3a441a5ad631","Salinity-induced succession of phototrophic communities in a southwestern Siberian soda lake during the solar activity cycle","Samylina, Olga S. (Russian Academy of Sciences); Kosyakova, Anastasia I. (Russian Academy of Sciences; Moscow State University); Krylov, Artem A. (Russian Academy of Sciences); Sorokin, Dimitry Y. (TU Delft BT/Environmental Biotechnology; Russian Academy of Sciences); Pimenov, Nikolay V. (Russian Academy of Sciences)","","2024","A variety of lakes located in the dry steppe area of southwestern Siberia are exposed to rapid climatic changes, including intra-century cycles with alternating dry and wet phases driven by solar activity. As a result, the salt lakes of that region experience significant fluctuations in water level and salinity, which have an essential impact on the indigenous microbial communities. But there are few microbiological studies that have analyzed this impact, despite its importance for understanding the functioning of regional water ecosystems. This work is a retrospective study aimed at analyzing how solar activity-related changes in hydrological regime affect phototrophic microbial communities using the example of the shallow soda lake Tanatar VI, located in the Kulunda steppe (Altai Region, Russia, southwestern Siberia). The main approach used in this study was the comparison of hydrochemical and microscopic data obtained during annual field work with satellite and solar activity data for the 12-year observation period (2011–2022). The occurrence of 33 morphotypes of cyanobacteria, two key morphotypes of chlorophytes, and four morphotypes of anoxygenic phototrophic bacteria was analyzed due to their easily recognizable morphology. During the study period, the lake surface changed threefold and the salinity changed by more than an order of magnitude, which strongly correlated with the phases of the solar activity cycles. The periods of high (2011–2014; 100–250 g/L), medium (2015–2016; 60 g/L), extremely low (2017–2020; 13–16 g/L), and low (2021–2022; 23–34 g/L) salinity with unique biodiversity of phototrophic communities were distinguished. This study shows that solar activity cycles determine the dynamics of the total salinity of a southwestern Siberian soda lake, which in turn determines the communities and microorganisms that will occur in the lake, ultimately leading to cyclical changes in alternative states of the ecosystem (dynamic stability).","Diversity; Dynamic stability; Phototrophs; Salinity; Soda lakes; Solar activity","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:3f609a0a-b277-4595-9061-88160f8a80ef","http://resolver.tudelft.nl/uuid:3f609a0a-b277-4595-9061-88160f8a80ef","Optomechanical methodology for characterizing the thermal properties of 2D materials","Liu, Hanqing (TU Delft Dynamics of Micro and Nano Systems); Brahmi, Hatem (ASML); Boix-Constant, Carla (Universidad de Valencia (ICMol)); van der Zant, H.S.J. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Steeneken, P.G. (TU Delft Dynamics of Micro and Nano Systems; Kavli institute of nanoscience Delft); Verbiest, G.J. (TU Delft Dynamics of Micro and Nano Systems)","","2024","Heat transport in two dimensions is fundamentally different from that in three dimensions. As a consequence, the thermal properties of 2D materials are of great interest, from both scientific and application points of view. However, few techniques are available for the accurate determination of these properties in ultrathin suspended membranes. Here, we present an optomechanical methodology for extracting the thermal expansion coefficient, specific heat, and thermal conductivity of ultrathin membranes made of 2H-TaS2, FePS3, polycrystalline silicon, MoS2, and WSe2. The obtained thermal properties are in good agreement with the values reported in the literature for the same materials. Our work provides an optomechanical method for determining the thermal properties of ultrathin suspended membranes, which are difficult to measure otherwise. It provides a route toward improving our understanding of heat transport in the 2D limit and facilitates engineering of 2D structures with a dedicated thermal performance.","","en","journal article","","","","","","","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:ee4cdfe3-53c1-4962-b2c0-cac021779085","http://resolver.tudelft.nl/uuid:ee4cdfe3-53c1-4962-b2c0-cac021779085","The value of collision feedback in robotic surgical skills training","Postema, R.R. (TU Delft Biomechanical Engineering; Amsterdam UMC); Hardon, Hidde (Amsterdam UMC; Vrije Universiteit Amsterdam); Rahimi, A. Masie (Amsterdam UMC; Amsterdam Skills Centre for Health Sciences); Horeman, Roel; Nickel, Felix (University of Heidelberg); Dankelman, J. (TU Delft Medical Instruments & Bio-Inspired Technology); Bloemendaal, A.L.A. (TU Delft Medical Instruments & Bio-Inspired Technology; Reinier de Graaf Gasthuis); van der Elst, M. (TU Delft Medical Instruments & Bio-Inspired Technology; Reinier de Graaf Gasthuis); van der Peet, Donald L. (Amsterdam UMC); Daams, Freek (Amsterdam UMC); Hardon, S.F. (TU Delft Medical Instruments & Bio-Inspired Technology; Amsterdam UMC); Horeman, T. (TU Delft Medical Instruments & Bio-Inspired Technology)","","2024","Collision feedback about instrument and environment interaction is often lacking in robotic surgery training devices. The PoLaRS virtual reality simulator is a newly developed desk trainer that overcomes drawbacks of existing robot trainers for advanced laparoscopy. This study aimed to assess the effect of haptic and visual feedback during training on the performance of a robotic surgical task. Robotic surgery-naïve participants were randomized and equally divided into two training groups: Haptic and Visual Feedback (HVF) and No Haptic and Visual Feedback. Participants performed two basic virtual reality training tasks on the PoLaRS system as a pre- and post-test. The measurement parameters Time, Tip-to-tip distance, Path length Left/Right and Collisions Left/Right were used to analyze the learning curves and statistically compare the pre- and post-tests performances. In total, 198 trials performed by 22 participants were included. The visual and haptic feedback did not negatively influence the time to complete the tasks. Although no improvement in skill was observed between pre- and post-tests, the mean rank of the number of collisions of the right grasper (dominant hand) was significantly lower in the HVF feedback group during the second post-test (Mean Rank = 8.73 versus Mean Rank = 14.27, U = 30.00, p = 0.045). Haptic and visual feedback during the training on the PoLaRS system resulted in fewer instrument collisions. These results warrant the introduction of haptic feedback in subjects with no experience in robotic surgery. The PoLaRS system can be utilized to remotely optimize instrument handling before commencing robotic surgery in the operating room.","Haptic feedback; Patient safety; Robotic surgery; Simulation training; Skills acquisition; Visual feedback","en","journal article","","","","","","","","","","Biomechanical Engineering","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:ee94c940-6ee0-49bf-8ed4-14c76081484b","http://resolver.tudelft.nl/uuid:ee94c940-6ee0-49bf-8ed4-14c76081484b","An experimental study on the aerodynamic loads of a floating offshore wind turbine under imposed motions","Taruffi, F. (TU Delft Wind Energy); Miranda Novais, F. (Politecnico di Milano; Maritime Research Institute Netherlands (MARIN)); Viré, A.C. (TU Delft Wind Energy)","","2024","The rotor of a floating wind turbine is subject to complex aerodynamics due to changes in relative wind speeds at the blades and potential local interactions between blade sections and the rotor near wake. These complex interactions are not yet fully understood. Lab-scale experiments are highly relevant for capturing these phenomena and provide means for the validation of numerical design tools. This paper presents a new wind tunnel experimental setup able to study the aerodynamic response of a wind turbine rotor when subjected to prescribed motions. The present study uses a 1:148 scale model of the DTU 10 MW reference wind turbine mounted on top of a 6 degrees of freedom parallel kinematic robotic platform. Firstly, the thrust variation of the turbine is investigated when single degree of freedom harmonic motions are imposed by the platform, with surge, pitch and yaw being considered in this study. For reduced frequencies greater than 1.2, it is found that the thrust variation is amplified by up to 150 % compared to the quasi-steady value when the turbine is subject to pitch and surge motions, regardless of the amplitude of motion. A similar behaviour is also noticed under yaw motions. Secondly, realistic 6 degrees of freedom motions are imposed by the platform. The motions are derived from FAST simulations performed on the full-scale turbine coupled with the TripleSpar floater, and the tests aim at exploring the thrust force dynamics for different sea states and wind conditions, obtaining reasonable agreement with the simulations. Finally, the work shows the capabilities of an off-the-shelf hexapod to conduct hybrid testing of floating offshore wind turbines in wind tunnels, as well as its limitations in performing such tests.","","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:15ddc123-7a5c-4083-9dab-662f639a8bb4","http://resolver.tudelft.nl/uuid:15ddc123-7a5c-4083-9dab-662f639a8bb4","Global well-posedness and interior regularity of 2D Navier–Stokes equations with stochastic boundary conditions","Agresti, A. (TU Delft Analysis; Institute of Science and Technology Austria); Luongo, Eliseo (Istituto Nanoscienze-CNR and Scuola Normale Superiore)","","2024","The paper is devoted to the analysis of the global well-posedness and the interior regularity of the 2D Navier–Stokes equations with inhomogeneous stochastic boundary conditions. The noise, white in time and coloured in space, can be interpreted as the physical law describing the driving mechanism on the atmosphere–ocean interface, i.e. as a balance of the shear stress of the ocean and the horizontal wind force.","60H15; 76D03 (47A60, 35J25)","en","journal article","","","","","","","","","","","Analysis","","",""
"uuid:414b851a-b91a-4b32-a23c-600cecf8279c","http://resolver.tudelft.nl/uuid:414b851a-b91a-4b32-a23c-600cecf8279c","Shape-Changing Wood Joints in Crafts and Industry and Their Potential for Building Construction and Wood Culture: State-of-the-Art of Utilizing the Hygrocopicity and Resulting Dimensional Change of Wood for the Moisture-Induced Joining of Wooden Elements","Salzberger, M.F. (TU Delft Architectural Technology)","","2024","Timber has regained popularity in construction in recent years due to its ecological benefits. The connection methods used in this study play a vital role in the sustainability of structures and materials. Monomaterial timber connections are sustainable alternatives to metal fasteners and adhesives commonly used in construction. Wood is an anisotropic material with dimensional changes resulting from changes in atmospheric conditions. Understanding and accounting for this property are crucial for the longevity and functionality of wooden structures. The cumulative knowledge of wood´s material characteristics and its use in design, construction, and human culture can be defined as wood culture developed through artists’ and craftsmen’s experiences, science, and industry. The development of various techniques by artisans to leverage the dimensional change in wood to join timber elements is a major contribution to wood culture. In contrast, until now, the timber industry has mainly focused on limiting or controlling these changes in standardized production and has neglected their use for joining timber elements. However, technological advances have changed dramatically. The digital manufacturing and analysis of wood structures have the potential to guide machine tools and may allow the integration of dimensional changes, especially in the design and construction of timber joints. This study explores the state-of-the-art utilization of dimensional changes in timber to join elements in craft, material science, and industrial production. The potential of techniques utilizing this behavior for innovation in modern design and construction and their implications for wood culture were examined. Research gaps and avenues for further research are identified.","densified wood; dimensional change; timber construction; timber technology; wood connectors; wood; wood joints","en","journal article","","","","","","","","","","","Architectural Technology","","",""
"uuid:d7a3f29d-a0db-4c89-acd1-29a95daa235f","http://resolver.tudelft.nl/uuid:d7a3f29d-a0db-4c89-acd1-29a95daa235f","Morphological and microstructural characterization of an ancient Chola bronze statuette by neutron‑based non‑invasive techniques","Cantini, Francesco (Università degli Studi di Firenze (UNIFI), Dipartimento di Fisica, Sesto Fiorentino, Italy; Consiglio Nazionale delle Ricerche, Istituto di Fisica Applicata Nello Carrara (CNR-IFAC), Sesto Fiorentino, Italy; Istituto Nazionale di Fisica Nucleare, Laboratorio di Tecniche Nucleari per i Beni Culturali (INFN-Labec), Sesto Fiorentino, Italy); Creange, Sara (Rijksmuseum); Li, Y. (TU Delft RST/Neutron and Positron Methods in Materials); van Eijck, L. (TU Delft RST/Neutron and Positron Methods in Materials); Kardjilov, Nikolay (Helmholtz-Zentrum Berlin (HZB), Wannsee, Germany); Kabra, Saurabh (ISIS Neutron and Muon Facility, STFC-UKRI, Rutherford-Appleton Laboratory, Harwell Campus, Didcot OX11 0QX, UK); Grazzi, Francesco (Consiglio Nazionale delle Ricerche, Istituto di Fisica Applicata Nello Carrara (CNR-IFAC), Sesto Fiorentino, Italy; Istituto Nazionale di Fisica Nucleare, Laboratorio di Tecniche Nucleari per i Beni Culturali (INFN-Labec), Sesto Fiorentino, Italy)","","2024","The evolution of metallurgy is a fundamental aspect related to the knowledge of the technological level of ancient civilizations, for which the information was mostly part of an oral tradition. The ancient, preserved artefacts are the only keepers of this long gone knowledge. Most advanced non-invasive techniques provide us the key to access it. Neutron techniques are nowadays the only available approach for revealing, non-destructively and with good spatial resolution, the morphological and microstructural properties within the whole volume of densely composed artefacts such as bronze statues. Application of neutron methods allows us to learn about ancient artefact manufacturing methods and to study at a very detailed level the current conservation status in their different parts. As part of a research project dedicated to the study of ancient Asian bronzes led by the Rijksmuseum Metal Conservation Department, four statues from the Rijksmuseum Asian collection were analysed using non-invasive neutron techniques. In this work, we present the investigation of a South Indian bronze statuette depicting Shiva in the form of Chandrasekhara (AK-MAK-1291, c. 1000–1200 A.D.) by means of white beam tomography, energy-selective neutron imaging (performed on CONRAD-2 at HZB, DE, and on FISH at TU-Delft, NL), and neutron diffraction (on ENGIN-X at ISIS, UK). The application of neutron imaging revealed the inner structure of the statue and allowed us to investigate the conservation state and potential cracking on the surface and in the bulk, to understand the interconnection of the different sections of the statue, and to obtain clues about the manufacturing processes. These morphological and microstructural results were employed to guide neutron diffraction analyses that allowed us to precisely characterize compositional differences, the presence of dendrites and columnar growth peak structures related to casting. This work is a complete non-invasive analytical investigation on an archaeological bronze artefact, providing outstanding results: from a quantitative analysis of the composition and microstructure to an in-depth morphological analysis capable of unveiling details on the ancient casting methods of the statue.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-28","","","RST/Neutron and Positron Methods in Materials","","",""
"uuid:e8bdc6ca-956d-4372-a91f-ae3a7977564a","http://resolver.tudelft.nl/uuid:e8bdc6ca-956d-4372-a91f-ae3a7977564a","Integrated careful homes for differentiated needs","Eijkelenboom, A.M. (TU Delft Environmental & Climate Design; EGM Architects); Alkema, Rosanne (EGM Architects); Meinsma, Heleen (EGM Architects); Hammer, Willemineke (EGM Architects)","","2024","Due to the ageing society, policy focuses on independent living of elderly in need for care. While the preferences of the elderly to live autonomously vary, there is limited information on variation in needs for the physical environment, e.g., shared and private places. A pilot study was done to develop insights into preferences for social and physical environment of the elderly in need for care. A questionnaire (N=52) and workshop (N=22) were conducted with future elderly. The study showed that preferences for ways of living largely varied. Many were willing to share facilities and help each other, although they did not want to provide somatic care. Based on the results two new concepts were explored, i.e. farm like housing in the city centre and small-scale housing with friends. The concepts, resulting from the questionnaire and workshop, suggest that the method can be used to further explore connections between preferences and design.","assisted living; independent living; communal living; preferences; session 4b","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:2bdd5d0a-032a-4b54-906e-32f82f19ab6a","http://resolver.tudelft.nl/uuid:2bdd5d0a-032a-4b54-906e-32f82f19ab6a","The Mechanism behind Vibration Assisted Fluidization of Cohesive Micro-Silica","Kamphorst, R. (TU Delft ChemE/Product and Process Engineering); van der Sande, P.C. (TU Delft ChemE/Product and Process Engineering); Wu, K. (TU Delft ChemE/Product and Process Engineering); Wagner, E.C. (TU Delft ChemE/O&O groep); David, M.K. (TU Delft ChemE/O&O groep); Meesters, G.M.H. (TU Delft ChemE/Product and Process Engineering); van Ommen, J.R. (TU Delft ChemE/Product and Process Engineering)","","2024","Vibro-assisted fluidization of cohesive micro-silica has been studied by means of X-ray imaging, pressure drop measurements, and off-line determination of the agglomerate size. Pressure drop and bed height development could be explained by observable phenomena taking place in the bed; slugging, channeling, fluidization or densification. It was observed that channeling is the main cause of poor fluidization of the micro-silica, resulting in poor gas-solid contact and little internal mixing. Improvement in fluidization upon starting the mechanical vibration was achieved by disrupting the channels. Agglomerate sizes were found to not significantly change during experiments.","agglomeration; assistance methods; cohesive powder; fluidized bed; X-ray imaging","en","journal article","","","","","","","","","","","ChemE/Product and Process Engineering","","",""
"uuid:bdda77a8-1cfc-4a48-aa11-f2cbe5062a57","http://resolver.tudelft.nl/uuid:bdda77a8-1cfc-4a48-aa11-f2cbe5062a57","Preferences and satisfaction with social comfort of outpatient workers in six hospitals before and during the COVID-19 pandemic","Eijkelenboom, A.M. (TU Delft Environmental & Climate Design; EGM Architects)","","2024","A PhD study was carried out on comfort and health of workers in outpatient areas because hospital workers are generally less satisfied with comfort than patients and outpatient areas were understudied. To better understand differences in preferences and satisfaction of individuals, profiles were produced with Two-Step Cluster analysis, based on a questionnaire, responded by 556 outpatient workers, and building inspection of six hospital locations. Thereafter, interviews were performed to explain the preferences. As the COVID-19 pandemic started after production of the profiles, changes due to de pandemic were included. A gap between preferences and satisfaction was identified for all profiles. Also, those with similar preferences for social comfort (privacy, interaction, distraction) performed similar activities. Contact with others was for all profiles important, while satisfaction was overall high before the COVID-19 pandemic. Due to the shift to digital care during the COVID-19 pandemic, impoverished interaction was a main concern of the outpatient workers. In conclusion the profiles for social comfort show that preferences for social comfort are associated with work-related aspects and can change. The profiles may open a new horizon to accommodate for flexibility and variety beyond standardized solutions.","social comfort; hospital staff; COVID-19 pandemic; preferences; section 1c","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:3443ff21-ce7b-4e35-b9a0-a6cee3c297e5","http://resolver.tudelft.nl/uuid:3443ff21-ce7b-4e35-b9a0-a6cee3c297e5","Integration of EBD principles in a futureproof academic hospital from campus to bed","Eijkelenboom, A.M. (TU Delft Environmental & Climate Design; EGM Architects); Hobo, Iris (Radboud University Medical Center); Bleeker, René (Radboud University Medical Center); van den Berg, Daniël (EGM Architects)","","2024","Design solutions bases on Evidence Based Design (EBD) principles vary due to the context and organizational aspects of hospitals. This paper explains how EBD principles were included during the design process of an academic hospital, including design guidelines that were developed with a representative group of key stakeholders from the organization. The hospital comprises several buildings on a campus, that also includes several university buildings. Some of the hospital buildings are connected through “the main axe.” The principles were applied on different scales in the design of a new main building and transformation of an existing building and the main axe, connecting other buildings. Specific design solutions for daylight access, connection to nature, art, privacy, and interaction are discussed.","evidence based design; hospital; architecture; session 1a","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:a29c1fb2-05f7-4560-a053-9e02163a7560","http://resolver.tudelft.nl/uuid:a29c1fb2-05f7-4560-a053-9e02163a7560","Release of phosphorus through pretreatment of waste activated sludge differs essentially from that of carbon and nitrogen resources: Comparative analysis across four wastewater treatment facilities","Deng, Shaoyu (Beijing Forestry University); Liu, J. (Beijing Forestry University); Yang, Xiaofan (Beijing Forestry University); Sun, Dezhi (Beijing Forestry University); Wang, Aijie (Harbin Institute of Technology); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology); Cheng, Xiang (Beijing Forestry University)","","2024","The accumulation of phosphorus in activated sludge in wastewater treatment plants (WWTPs) provides potential for phosphorus recovery from sewage. This study delves into the potential for releasing phosphorus from waste activated sludge through two distinct treatment methods—thermal hydrolysis and pH adjustment. The investigation was conducted with activated sludge sourced from four WWTPs, each employing distinct phosphorus removal strategies. The findings underscore the notably superior efficacy of pH adjustment in solubilizing sludge phosphorus compared to the prevailing practice of thermal hydrolysis, widely adopted to enhance sludge digestion. The reversibility of phosphorus release within pH fluctuations spanning 2 to 12 implies that the release of sludge phosphorus can be attributed to the dissolution of phosphate precipitates. Alkaline sludge treatment induced the concurrent liberation of COD, nitrogen, and phosphorus through alkaline hydrolysis of sludge biomass and the dissolution of iron or aluminium phosphates, offering potential gains in resource recovery and energy efficiency.","Activated sludge; Alkaline treatment; Resource recovery; Thermal hydrolysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-09","","","BT/Environmental Biotechnology","","",""
"uuid:36d17527-0a3a-4322-966d-21d47ed989c2","http://resolver.tudelft.nl/uuid:36d17527-0a3a-4322-966d-21d47ed989c2","Simulation-based mathematical learning for higher education students from heterogeneous backgrounds","Campos, Neila (Universitat Oberta de Catalunya; University of Cantabria); Corlu, Canan G. (Boston University); Nogal Macho, M. (TU Delft Integral Design & Management); Juan, Angel A. (Universitat Oberta de Catalunya; Universitat Politécnica de Valencia); Caliz, Cristina (Euncet Business School)","","2024","This paper explores the use of simulation-based training for mathematical learning in undergraduate and graduate mathematics, science, and engineering courses. Simulation-based training offers the advantages of active learning and inquiry-based learning techniques. Furthermore, it provides extensive flexibility, ranging from user-level usage of simulations to the modification or creation of new possibilities by the student, thus engaging different cognitive levels to achieve the learning objectives. This is particularly interesting in groups consisting of students from diverse backgrounds and levels, due to factors such as their international origin or varying prior education, especially in interdisciplinary Master’s degree programmes. Additionally, in online or blended environments (which have become widespread during the last years), simulation-based learning has the advantage of granting students a certain degree of autonomy, which can, to some extent, compensate for the absence of the instructor’s physical presence.","cognitive levels; Higher education; mathematical e-learning; online education; simulation-based education","en","journal article","","","","","","","","2024-08-13","","","Integral Design & Management","","",""
"uuid:b0950925-9d33-40a6-8d5d-8b2dc1e060a2","http://resolver.tudelft.nl/uuid:b0950925-9d33-40a6-8d5d-8b2dc1e060a2","Comparison of Compact and Decentralized Urban Development Pathways for Flood Mitigation in Urbanizing Deltas: Guangzhou in the Pearl River Delta as a Case Study","Lin, Weibin (Central South University); Sun, Yimin (Central South University); Nijhuis, S. (TU Delft Landscape Architecture)","","2024","Floods are common and inevitable natural disasters. Achieve Sustainable Development Goal (SDG) 11.5 is a critical challenge for coastal cities, especially those in deltaic lowlands such as in the case of Guangzhou, China. Regarding the spatial planning and design of such urban regions, it is crucial to study the impacts of flooding in compact or decentralized spatial development pathways. This reinforces the understanding of the relationship between strategic decisions for spatial planning and flood mitigation. However, the lack of a computer model to assess spatial evolution paths is a significant limitation. The non-dominated Sorting Genetic Algorithm II (NSGA-II) explores the possibility of a compact built-up land layout in 2030. The results showed that, concerning the 2030 decentralized scenario, the 2030 compact scenario presents a large increase in the integrated fitness function value from 0.618 to 0.771 (the increase is equivalent to 0.153 or about 24.75%). In addition, different development scenarios were constructed by setting different target weights. Compared to the decentralized scenario results, the fitness function values of the optimization results of each scenario showed better results at different levels. They could also serve as a reference for other similar coastal areas to achieve SDG 11.5 by 2030.","spatial evolution path; Guangzhou estuary area; multi-objective optimization; flood disaster; SDG 11.5","en","journal article","","","","","","","","","","","Landscape Architecture","","",""
"uuid:f649af0c-c451-4781-895b-e67c34016fbd","http://resolver.tudelft.nl/uuid:f649af0c-c451-4781-895b-e67c34016fbd","Adapting Temperature Predictions to MR Imaging in Treatment Position to Improve Simulation-Guided Hyperthermia for Cervical Cancer","Vilasboas-Ribeiro, Iva (Erasmus MC); Sumser, Kemal (Eindhoven University of Technology); Nouwens, Sven (Eindhoven University of Technology); Feddersen, Theresa (Erasmus MC); Heemels, W. P.M.H. (Eindhoven University of Technology); van Rhoon, G.C. (TU Delft RST/Applied Radiation & Isotopes; Erasmus MC); Paulides, Margarethus M. (Eindhoven University of Technology)","","2024","Hyperthermia treatment consists of elevating the temperature of the tumor to increase the effectiveness of radiotherapy and chemotherapy. Hyperthermia treatment planning (HTP) is an important tool to optimize treatment quality using pre-treatment temperature predictions. The accuracy of these predictions depends on modeling uncertainties such as tissue properties and positioning. In this study, we evaluated if HTP accuracy improves when the patient is imaged inside the applicator at the start of treatment. Because perfusion is a major uncertainty source, the importance of accurate treatment position and anatomy was evaluated using different perfusion values. Volunteers were scanned using MR imaging without ('planning setup') and with the MR-compatible hyperthermia device ('treatment setup'). Temperature-based quality indicators were used to assess the differences between the standard, apparent and the optimized hyperthermia dose. We conclude that pre-treatment imaging can improve HTP predictions accuracy but also, that tissue perfusion modelling is crucial if temperature-based optimization is applied.","Hyperthermia treatment planning (HTP); MR imaging; optimization approach; perfusion; thermal modeling","en","journal article","","","","","","","","","","","RST/Applied Radiation & Isotopes","","",""
"uuid:8babbc9c-1424-42fb-9231-0046e0acc023","http://resolver.tudelft.nl/uuid:8babbc9c-1424-42fb-9231-0046e0acc023","Improving End-to-End Models for Children’s Speech Recognition","Patel, T.B. (TU Delft Multimedia Computing); Scharenborg, O.E. (TU Delft Multimedia Computing)","","2024","Children’s Speech Recognition (CSR) is a challenging task due to the high variability in children’s speech patterns and limited amount of available annotated children’s speech data. We aim to improve CSR in the often-occurring scenario that no children’s speech data is available for training the Automatic Speech Recognition (ASR) systems. Traditionally, Vocal Tract Length Normalization (VTLN) has been widely used in hybrid ASR systems to address acoustic mismatch and variability in children’s speech when training models on adults’ speech. Meanwhile, End-to-End (E2E) systems often use data augmentation methods to create child-like speech from adults’ speech. For adult speech-trained ASRs, we investigate the effectiveness of augmentation methods; speed perturbations and spectral augmentation, along with VTLN, in an E2E framework for the CSR task, comparing these across Dutch, German, and Mandarin. We applied VTLN at different stages (training/test) of the ASR and conducted age and gender analyses. Our experiments showed highly similar patterns across the languages: Speed Perturbations and Spectral Augmentation yield significant performance improvements, while VTLN provided further improvements while maintaining recognition performance on adults’ speech (depending on when it is applied). Additionally, VTLN showed performance improvement for both male and female speakers and was particularly effective for younger children.","children’s speech recognition; speed perturbations; spectral augmentation; vocal tract length normalization; end-to-end automatic speech recognition","en","journal article","","","","","","","","","","","Multimedia Computing","","",""
"uuid:e30d2a88-46f8-4a24-a2b8-36fd684345fe","http://resolver.tudelft.nl/uuid:e30d2a88-46f8-4a24-a2b8-36fd684345fe","Microstrain effects of laser-ablated Au nanoparticles in enhancing CZTS-based 1 Sun photodetector devices","Gezgin, Serap Yiğit (Selçuk University); Belaid, Walid (Selçuk University); Basyooni, Mohamed A. (TU Delft Dynamics of Micro and Nano Systems; Selçuk University; Necmettin Erbakan University); Ramazan Ekerde, Yasin (Necmettin Erbakan University); Kılıç, Hamdi Şükür (Selçuk University)","","2024","Copper zinc tin sulfide (CZTS) thin films were synthesized on soda lime glass using pulsed laser deposition (PLD) at room temperature. Introducing gold nanoparticles (AuNPs) in a sandwich structure led to increased CZTS particle size and a shift in the localized surface plasmon resonance (LSPR) peak of the AuNPs, influenced by different laser energy levels. The absorption measurements revealed intriguing behavior across the visible and near-infrared (NIR) regions, making these films appealing for 1 Sun photodetectors. Furthermore, the presence of AuNPs in the sandwich structure reduced microstrain effects, measuring 1.94 × 10−3 compared to 3.38 × 10−3 in their absence. This reduction directly enhances carrier transport, which is particularly beneficial for accelerating the performance of photodetector devices. This effect of AuNPs also contributed to higher dielectric coefficients, further improving the photodetector performance. Under 1 Sun illumination conditions, this enhancement resulted in a rapid rising time of 95.4 ms, showcasing the potential for faster photodetection.","","en","journal article","","","","","","","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:a22ada54-8856-43e8-a0f0-22d5ec39677a","http://resolver.tudelft.nl/uuid:a22ada54-8856-43e8-a0f0-22d5ec39677a","Explaining Grover's algorithm with a colony of ants: a pedagogical model for making quantum technology comprehensible","Schalkers, M.A. (TU Delft Numerical Analysis); Dankers, K.T. (TU Delft Ethics & Philosophy of Technology; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Wimmer, M.T. (TU Delft QN/Wimmer Group; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Vermaas, P.E. (TU Delft Ethics & Philosophy of Technology)","","2024","The rapid growth of quantum technologies requires an increasing number of physicists, computer scientists, and engineers who can work on these technologies. For educating these professionals, quantum mechanics should stop being perceived as incomprehensible. In this paper we contribute to this change by presenting a pedagogical model for explaining Grover's search algorithm, a prominent quantum algorithm. This model visualizes the three main steps of Grover's algorithm and, in addition to explaining the algorithm itself, introduces three key principles of quantum mechanics: superposition, interference, and state collapse at measurement. The pedagogical model, visualized by a video, is called the ant colony maze model. It represents the search problems as finding the exit of a maze, and visualizes Grover's search algorithm as a strategy by which a colony of ants finds that exit.","","en","journal article","","","","","","","","","","","Numerical Analysis","","",""
"uuid:e9206ded-75e9-44bd-a3b6-e30f1738ad4d","http://resolver.tudelft.nl/uuid:e9206ded-75e9-44bd-a3b6-e30f1738ad4d","Quantifying the minimum localization uncertainty of image scanning localization microscopy","Kalisvaart, D. (TU Delft Team Carlas Smith); Hung, S. (TU Delft Team Carlas Smith); Smith, C.S. (TU Delft BN/Nynke Dekker Lab; TU Delft ImPhys/Rieger group; TU Delft Team Carlas Smith; TU Delft ImPhys/Computational Imaging)","","2024","Modulation enhanced single-molecule localization microscopy (meSMLM), where emitters are sparsely activated with sequentially applied patterned illumination, increases the localization precision over single-molecule localization microscopy (SMLM). The precision improvement of modulation enhanced SMLM is derived from retrieving the position of an emitter relative to individual illumination patterns, which adds to existing point spread function information from SMLM. Here, we introduce SpinFlux: modulation enhanced localization for spinning disk confocal microscopy. SpinFlux uses a spinning disk with pinholes in its illumination and emission paths, to sequentially illuminate regions in the sample during each measurement. The resulting intensity-modulated emission signal is analyzed for each individual pattern to localize emitters with improved precision. We derive a statistical image formation model for SpinFlux and we quantify the theoretical minimum localization uncertainty in terms of the Cramér-Rao lower bound. Using the theoretical minimum uncertainty, we compare SpinFlux to localization on Fourier reweighted image scanning microscopy reconstructions. We find that localization on image scanning microscopy reconstructions with Fourier reweighting ideally results in a global precision improvement of 2.1 over SMLM. When SpinFlux is used for sequential illumination with three patterns around the emitter position, the localization precision improvement over SMLM is twofold when patterns are focused around the emitter position. If four donut-shaped illumination patterns are used for SpinFlux, the maximum local precision improvement over SMLM is increased to 3.5. Localization of image scanning microscopy reconstructions thus has the largest potential for global improvements of the localization precision, where SpinFlux is the method of choice for local refinements.","","en","journal article","","","","","","","","","","","Team Carlas Smith","","",""
"uuid:26ea1f84-4490-47cf-b174-d89238393cf5","http://resolver.tudelft.nl/uuid:26ea1f84-4490-47cf-b174-d89238393cf5","Augmenting Media Experiences with Affective Haptics","Ooms, Simone (Universiteit Utrecht); Röggla, Thomas (Centrum Wiskunde & Informatica (CWI)); Cesar, Pablo (TU Delft Multimedia Computing); El Ali, Abdallah (Centrum Wiskunde and Informatica)","","2024","Within our Distributed and Interactive Systems research group, we focus on affective haptics, where we design and develop systems that can enhance human emotional states through the sense of touch. Such artificial haptic sensations can potentially augment and enhance our mind, body, and (virtual) social connections. In three works—voice communication, news consumption, and virtual embodiment—we explore the effects of enriching media experiences with thermal and vibrotactile affective haptics, and how such stimulation influences our affective perception.","human-centered computing; human computer interaction (HCI); social and professional topics; professional topics; computing and business; socio-technical systems","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-02","","","Multimedia Computing","","",""
"uuid:0eca0fc2-807b-45e8-8fb6-046e9163a8c1","http://resolver.tudelft.nl/uuid:0eca0fc2-807b-45e8-8fb6-046e9163a8c1","Demystifying polyphosphate-accumulating organisms relevant to wastewater treatment: A review of their phylogeny, metabolism, and detection","Ruiz Hadad, L. (King Abdullah University of Science and Technology); Ali, Muhammad (Trinity College Dublin); Pronk, M. (TU Delft BT/Environmental Biotechnology); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology); Saikaly, Pascal E. (King Abdullah University of Science and Technology)","","2024","Currently, the most cost-effective and efficient method for phosphorus (P) removal from wastewater is enhanced biological P removal (EPBR) via polyphosphate-accumulating organisms (PAOs). This study integrates a literature review with genomic analysis to uncover the phylogenetic and metabolic diversity of the relevant PAOs for wastewater treatment. The findings highlight significant differences in the metabolic capabilities of PAOs relevant to wastewater treatment. Notably, Candidatus Dechloromonas and Candidatus Accumulibacter can synthesize polyhydroxyalkanoates, possess specific enzymes for ATP production from polyphosphate, and have electrochemical transporters for acetate and C4-dicarboxylates. In contrast, Tetrasphaera, Candidatus Phosphoribacter, Knoellia, and Phycicoccus possess PolyP-glucokinase and electrochemical transporters for sugars/amino acids. Additionally, this review explores various detection methods for polyphosphate and PAOs in activated sludge wastewater treatment plants. Notably, FISH-Raman spectroscopy emerges as one of the most advanced detection techniques. Overall, this review provides critical insights into PAO research, underscoring the need for enhanced strategies in biological phosphorus removal.","Ca. accumulibacter; Ca. phosphoribacter; Enhanced biological phosphorus removal (EBPR); Knoellia; Phycicoccus; Polyphosphate-accumulating organisms (PAOs); Tetrasphaera","en","review","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:a7582c0e-bbf1-4450-af87-522f72b40123","http://resolver.tudelft.nl/uuid:a7582c0e-bbf1-4450-af87-522f72b40123","Digital twin in high throughput chromatographic process development for monoclonal antibodies","Picanço Castanheira Da Silva, T. (TU Delft BT/Bioprocess Engineering); Eppink, M.H.M. (Wageningen University & Research); Ottens, M. (TU Delft BT/Design and Engineering Education)","","2024","The monoclonal antibody (mAb) industry is becoming increasingly digitalized. Digital twins are becoming increasingly important to test or validate processes before manufacturing. High-Throughput Process Development (HTPD) has been progressively used as a tool for process development and innovation. The combination of High-Throughput Screening with fast computational methods allows to study processes in-silico in a fast and efficient manner. This paper presents a hybrid approach for HTPD where equal importance is given to experimental, computational and decision-making stages. Equilibrium adsorption isotherms of 13 protein A and 16 Cation-Exchange resins were determined with pure mAb. The influence of other components in the clarified cell culture supernatant (harvest) has been under-investigated. This work contributes with a methodology for the study of equilibrium adsorption of mAb in harvest to different protein A resins and compares the adsorption behavior with the pure sample experiments. Column chromatography was modelled using a Lumped Kinetic Model, with an overall mass transfer coefficient parameter (kov). The screening results showed that the harvest solution had virtually no influence on the adsorption behavior of mAb to the different protein A resins tested. kov was found to have a linear correlation with the sample feed concentration, which is in line with mass transfer theory. The hybrid approach for HTPD presented highlights the roles of the computational, experimental, and decision-making stages in process development, and how it can be implemented to develop a chromatographic process. The proposed white-box digital twin helps to accelerate chromatographic process development.","Harvest High-throughput screening; High-throughput process development; Lumped kinetic model; Overall mass transfer coefficient","en","journal article","","","","","","","","","","","BT/Bioprocess Engineering","","",""
"uuid:0caa221f-fad2-4a1f-af88-d615b9394054","http://resolver.tudelft.nl/uuid:0caa221f-fad2-4a1f-af88-d615b9394054","Particle dynamics in horizontal stirred bed reactors characterized by single-photon emission radioactive particle tracking","van der Sande, P.C. (TU Delft ChemE/Product and Process Engineering); Wagner, E.C. (TU Delft ChemE/O&O groep); de Mooij, Jack (Student TU Delft); Meesters, G.M.H. (TU Delft ChemE/Product and Process Engineering); van Ommen, J.R. (TU Delft ChemE/Product and Process Engineering)","","2024","Horizontal stirred bed reactors are widely used in the commercial manufacturing of polypropylene. However, a comprehensive understanding of the particle dynamics in horizontal stirred bed reactors remains elusive, primarily due to the lack of detailed experimental data. In this work, we studied the influence of operating parameters on the particle flow dynamics in a laboratory-scale horizontal stirred bed reactor using single-photon emission radioactive particle tracking. The results show that the general solids flow behavior is strongly affected by both the agitator rotation speed and reactor fill level. Operation at low rotation speed and low fill level results in solids flow with poor radial and circumferential distribution due to internal bed circulation. On the contrary, at increased rotation speeds and fill levels, solids motion throughout the bed is continuous resulting in excellent solids distribution. The solids circulation was found to increase for both an increase in rotation speed and reactor fill level. The axial dispersion coefficient, on the other hand, shows a linear relation with the rotation speed, but no conclusive relation between the axial dispersion coefficient and the reactor fill level was found.","Horizontal stirred bed reactors; Multiphase reactors; Polypropylene; Radioactive particle tracking; Reactor optimization","en","journal article","","","","","","","","","","","ChemE/Product and Process Engineering","","",""
"uuid:c618625b-0193-42be-9edc-dc187a89eea3","http://resolver.tudelft.nl/uuid:c618625b-0193-42be-9edc-dc187a89eea3","Rapid single-shot parity spin readout in a silicon double quantum dot with fidelity exceeding 99%","Takeda, Kenta (RIKEN Center for Emergent Matter Science (CEMS)); Noiri, Akito (RIKEN Center for Emergent Matter Science (CEMS)); Nakajima, Takashi (RIKEN Center for Emergent Matter Science (CEMS)); Camenzind, Leon C. (RIKEN Center for Emergent Matter Science (CEMS)); Kobayashi, Takashi (RIKEN Center for Quantum Computing (RQC), Wako); Sammak, A. (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre; TNO); Scappucci, G. (TU Delft QCD/Scappucci Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Tarucha, Seigo (RIKEN Center for Quantum Computing (RQC), Wako; RIKEN Center for Emergent Matter Science (CEMS))","","2024","Silicon-based spin qubits offer a potential pathway toward realizing a scalable quantum computer owing to their compatibility with semiconductor manufacturing technologies. Recent experiments in this system have demonstrated crucial technologies, including high-fidelity quantum gates and multiqubit operation. However, the realization of a fault-tolerant quantum computer requires a high-fidelity spin measurement faster than decoherence. To address this challenge, we characterize and optimize the initialization and measurement procedures using the parity-mode Pauli spin blockade technique. Here, we demonstrate a rapid (with a duration of a few μs) and accurate (with >99% fidelity) parity spin measurement in a silicon double quantum dot. These results represent a significant step forward toward implementing measurement-based quantum error correction in silicon.","","en","journal article","","","","","","","","","","","BUS/TNO STAFF","","",""
"uuid:9a9d9274-8ef8-453e-80b0-f3da6894e5b3","http://resolver.tudelft.nl/uuid:9a9d9274-8ef8-453e-80b0-f3da6894e5b3","Co-eigenvector Graphs","Van Mieghem, P.F.A. (TU Delft Network Architectures and Services); Jokic, I. (TU Delft Network Architectures and Services)","","2024","Except for the empty graph, we show that the orthogonal matrix X of the adjacency matrix A determines that adjacency matrix completely, but not always uniquely. The proof relies on interesting properties of the Hadamard product Ξ = X ◦ X. As a consequence of the theory, we show that irregular co-eigenvector graphs exist only if the number of nodes N ≥ 6. Coeigenvector graphs possess the same orthogonal eigenvector matrix X, but different eigenvalues of the adjacency matrix. Co-eigenvector graphs are the dual of co-spectral graphs, that share all eigenvalues of the adjacency matrix, but possess a different orthogonal eigenvector matrix. We deduce general properties of co-eigenvector graph and start to enumerate all co-eigenvector graphs on N = 6 and N = 7 nodes. Finally, we list many open problems.","graph spectra; eigenvectors; eigenvalues; co-eigenvector graphs","en","journal article","","","","","","","","","","","Network Architectures and Services","","",""
"uuid:905164f0-b023-4606-9769-1f598907fa43","http://resolver.tudelft.nl/uuid:905164f0-b023-4606-9769-1f598907fa43","Fast-charge high-voltage layered cathodes for sodium-ion batteries","Wang, Q. (TU Delft RST/Storage of Electrochemical Energy); Zhou, Dong (Helmholtz-Zentrum Berlin); Zhao, C. (TU Delft RST/Storage of Electrochemical Energy); Wang, Jianlin (Chinese Academy of Sciences); Guo, Hao (China Institute of Atomic Energy); Wang, Liguang (Zhejiang University); Yao, Zhenpeng (Shanghai Jiao Tong University); Lu, Jun (Zhejiang University); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy)","","2024","Sodium-ion batteries have not only garnered substantial attention for grid-scale energy storage owing to the higher abundance of sodium compared with lithium, but also present the possibility of fast charging because of the inherently higher sodium-ion mobility. However, it remains a phenomenal challenge to achieve a combination of these merits, given the complex structural chemistry of sodium-ion oxide materials. Here we show that O3-type sodium-ion layered cathodes (for example, Na5/6Li2/27Ni8/27Mn11/27Ti6/27O2) have the potential to attain high power density, high energy density (260 Wh kg−1 at the electrode level) and long cycle life (capacity retention of 80% over 700 cycles in full cells). The design involves introduction of characteristic P3-structural motifs into an O3-type framework that serves to promote sodium-ion diffusivity and address detrimental transition metal migration and phase transition at a high state of charge. This study provides a principle for the rational design of sodium-ion layered oxide electrodes and advances the understanding of the composition–structure–property relationships of oxide cathode materials.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-15","","","RST/Storage of Electrochemical Energy","","",""
"uuid:8047abed-13d3-4766-9d1a-899644749ab1","http://resolver.tudelft.nl/uuid:8047abed-13d3-4766-9d1a-899644749ab1","Peroxygenase-Catalyzed Allylic Oxidation Unlocks Telescoped Synthesis of (1S,3R)-3-Hydroxycyclohexanecarbonitrile","Heckmann, C.M. (TU Delft BT/Biocatalysis); Bürgler, Moritz (Bisy GmbH); Paul, C.E. (TU Delft BT/Biocatalysis)","","2024","The unmatched chemo-, regio-, and stereoselectivity of enzymes renders them powerful catalysts in the synthesis of chiral active pharmaceutical ingredients (APIs). Inspired by the discovery route toward the LPA1-antagonist BMS-986278, access to the API building block (1S,3R)-3-hydroxycyclohexanecarbonitrile was envisaged using an ene reductase (ER) and alcohol dehydrogenase (ADH) to set both stereocenters. Starting from the commercially available cyclohexene-1-nitrile, a C-H oxyfunctionalization step was required to introduce the ketone functional group, yet several chemical allylic oxidation strategies proved unsuccessful. Enzymatic strategies for allylic oxidation are underdeveloped, with few examples on selected substrates with cytochrome P450s and unspecific peroxygenases (UPOs). In this case, UPOs were found to catalyze the desired allylic oxidation with high chemo- and regioselectivity, at substrate loadings of up to 200 mM, without the addition of organic cosolvents, thus enabling the subsequent ER and ADH steps in a three-step one-pot cascade. UPOs even displayed unreported enantioselective oxyfunctionalization and overoxidation of the substituted cyclohexene. After screening of enzyme panels, the final product was obtained at titers of 85% with 97% ee and 99% de, with a substrate loading of 50 mM, the ER being the limiting step. This synthetic approach provides the first example of a three-step, one-pot UPO-ER-ADH cascade and highlights the potential for UPOs to catalyze diverse enantioselective allylic hydroxylations and oxidations that are otherwise difficult to achieve.","alcohol dehydrogenase; biocatalysis; cascade; ene reductase; peroxygenase; retrosynthesis","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:4c7eaea2-61fc-479f-9532-f68d3c1e2470","http://resolver.tudelft.nl/uuid:4c7eaea2-61fc-479f-9532-f68d3c1e2470","A new approach for fast field calculation in electrostatic electron lens design and optimization","Hesam Mahmoudi Nezhad, N. (TU Delft ImPhys/Hagen group); Ghaffarian Niasar, M. (TU Delft High Voltage Technology Group); Hagen, C.W. (TU Delft ImPhys/Hagen group); Kruit, P. (TU Delft ImPhys/Hoogenboom group)","","2024","In electron optics, calculation of the electric field plays a major role in all computations and simulations. Accurate field calculation methods such as the finite element method (FEM), boundary element method and finite difference method, have been used for years. However, such methods are computationally very expensive and make the computer simulation challenging or even infeasible when trying to apply automated design of electrostatic lens systems with many free parameters. Hence, for years, electron optics scientists have been searching for a fast and accurate method of field calculation to tackle the aforementioned problem in the design and optimization of electrostatic electron lens systems. This paper presents a novel method for fast electric field calculation in electrostatic electron lens systems with reasonably high accuracy to enable the electron-optical designers to design and optimize an electrostatic lens system with many free parameters in a reasonably short time. The essence of the method is to express the off-axis potential in an axially symmetrical coordinate system in terms of derivatives of the axial potential up to the fourth order, and equate this to the potential of the electrode at that axial position. Doing this for a limited number of axial positions, we get a set of equations that can be solved to obtain the axial potential, necessary for calculating the lens properties. We name this method the fourth-order electrode method because we take the axial derivatives up to the fourth order. To solve the equations, a quintic spline approximation of the axial potential is calculated by solving three sets of linear equations simultaneously. The sets of equations are extracted from the Laplace equation and the fundamental equations that describe a quintic spline. The accuracy and speed of this method is compared with other field calculation methods, such as the FEM and second order electrode method (SOEM). The new field calculation method is implemented in design/optimization of electrostatic lens systems by using a genetic algorithm based optimization program for electrostatic lens systems developed by the authors. The effectiveness of this new field calculation method in optimizing optical parameters of electrostatic lens systems is compared with FEM and SOEM and the results are presented. It should be noted that the formulation is derived for general axis symmetrical electrostatic electron lens systems, however the examples shown in this paper are with cylindrical electrodes due to the simplicity of the implementation in the software.","","en","journal article","","","","","","","","","","","ImPhys/Hagen group","","",""
"uuid:2d922fc6-db78-4c04-9463-904714352cae","http://resolver.tudelft.nl/uuid:2d922fc6-db78-4c04-9463-904714352cae","Amplifying weak signals: a method-building approach for inclusive climate resilience strategy making","Champlin, C.J. (TU Delft Design Conceptualization and Communication); Eapen, Ann (Student TU Delft); Vitkutė, R. (Student TU Delft); Groot, Janne (Student TU Delft); Forgaci, C. (TU Delft Urban Design)","","2024","Socio-ecological inclusion and the impacts of climate change on the built environment are two shared concerns central to the design and planning of the just transition in cities. The just transition leans heavily on inclusive convergence processes that are grounded in knowledge integration and transdisciplinary practice. However, there is a paucity of effective methods for the inclusion of so-called weak signals from actors situated at the periphery of these convergence processes. Building on the concept of structured flexibility, we introduce a building-blocks approach as a modular architecture for constructing methods for distributed engagement and knowledge integration beyond conventional small-group settings. By engaging in research through design, the Amplifying Weak Signals approach was prototyped with students in the context of dealing with heatwaves in The Hague and tested with expert users from the region who facilitate resilience strategy-making processes. Out of 900 possible building block combinations, 18 methods were created during prototyping. The resulting heatwave strategies that were drafted based on the collected peripheral knowledge showed the integration of new socio-ecological issues rather than a drastic departure from the baseline resilience strategy of the city. We discuss the research findings and their use in the production of guidelines for the construction of methods to integrate peripheral knowledge in convergence processes. Ongoing work to develop the guidelines in the form of an open-access, interactive repository of knowledge elicitation methods for urban resilience spatial planning will also be described. Recommendations for scaling the approach are provided.","building blocks; co-design; research through design; strategy making; structured flexibility; toolkitting; transdisciplinarity; urban resilience","en","journal article","","","","","","","","","","","Design Conceptualization and Communication","","",""
"uuid:07288470-d19d-47f7-9814-eabf228a2eab","http://resolver.tudelft.nl/uuid:07288470-d19d-47f7-9814-eabf228a2eab","Series magnetic coupled reactor saturation considerations for high voltage AC and DC power systems","Heidary, Amir (TU Delft Intelligent Electrical Power Grids); Ghaffarian Niasar, M. (TU Delft High Voltage Technology Group); Popov, M. (TU Delft Intelligent Electrical Power Grids)","","2024","The rapid increase of integrated distributed generators results in higher fault currents in the future modern grids. A remedy for the concern is employing series reactors as fault current limiters. This paper elaborates on a ferromagnetic core series reactor, which, when saturated, adversely affects the operation of the series reactor during faults. The main goal of the paper is to calculate grid and series reactor coefficients by applying a simplified power line model during a fault condition. These coefficients are the primary considerations of a series reactor design to avoid its saturation. Moreover, the study of the relationship between the reactor inductance and obtained coefficients will be carried out. The obtained results are validated by simulations performed in MATLAB Simulink.","Fault current limiter; Series reactor; Saturation region","en","journal article","","","","","","","","","","","Intelligent Electrical Power Grids","","",""
"uuid:d2f0dfb9-85c2-4c3d-9607-759315cfce58","http://resolver.tudelft.nl/uuid:d2f0dfb9-85c2-4c3d-9607-759315cfce58","Digital Twin of Calais Canal with Model Predictive Controller: A Simulation on a Real Database","Ranjbar, Roza (Institut Mines-Telecom); Segovia Castillo, P. (TU Delft Transport Engineering and Logistics); Duviella, Eric (Institut Mines-Telecom); Etienne, Lucien (Institut Mines-Telecom); Maestre, José M. (University of Seville); Camacho, Eduardo F. (University of Seville)","","2024","This paper presents the design of a model predictive control (MPC) for the Calais canal, located in the north of France for satisfactory management of the system. To estimate the unknown inputs/outputs arising from the uncontrolled pumps, a digital twin (DT) in the framework of a Matlab-SIC2 is used to reproduce the dynamics of the canal, and the real database corresponding to a period of three days is employed to evaluate the control strategy. The canal is characterized by two operating modes due to high and low tides. As a consequence of this, time-varying constraints on the use of gates must be considered, which leads to the design of two multiobjective control problems, one for the high tide and another for the low tide. Furthermore, a moving horizon estimation (MHE) strategy is used to provide the MPC with unmeasured states. The simulation results show that the different objectives are met satisfactorily.","Digital twin (DT); Inland waterways; Model predictive control (MPC); Real database; Unknown inputs/outputs","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-27","","","Transport Engineering and Logistics","","",""
"uuid:17ed518d-20f2-4147-81e8-557a9f51efd0","http://resolver.tudelft.nl/uuid:17ed518d-20f2-4147-81e8-557a9f51efd0","A linear matrix inequality approach to optimal reset control design for a class of nonlinear systems","Shahbazzadeh, Majid (Babol Noshirvani University of Technology); Sadati, S. Jalil (Babol Noshirvani University of Technology); Hassan HosseinNia, S. (TU Delft Mechatronic Systems Design)","","2024","In this article, the problem of the optimal reset control design for Lipschitz nonlinear systems is addressed. The reset controller includes a base linear controller and a reset law that enforces resets to the controller states. The reset law design is strongly dependent on the appropriate design of the base controller. For this reason, in this article, the base controller and reset law are simultaneously designed. More precisely, an optimal dynamic output feedback is considered as the base controller which minimizes the upper bound of a quadratic performance index, and a reset law is used to improve the transient response of the closed-loop system. This design is done in a full offline procedure. The problem is transformed into a set of linear matrix inequalities (LMIs), and the reset controller is obtained by solving an offline LMI optimization problem. Finally, two examples are presented to illustrate the effectiveness and validity of the proposed method.","dynamic output feedback; linear matrix inequalities; Lipschitz condition; reset control systems; reset law","en","journal article","","","","","","","","2024-07-19","","","Mechatronic Systems Design","","",""
"uuid:68cbed6b-cf92-413a-8454-bc2c95ec30e2","http://resolver.tudelft.nl/uuid:68cbed6b-cf92-413a-8454-bc2c95ec30e2","A bi-level framework for heterogeneous fleet sizing of ride-hailing services considering an approximated mixed equilibrium between automated and non-automated traffic","Fan, Q. (TU Delft Discrete Mathematics and Optimization; TU Delft Transport and Planning); van Essen, J.T. (TU Delft Discrete Mathematics and Optimization); Correia, Gonçalo (TU Delft Transport and Planning)","","2024","Ride-hailing companies will face the emergence and gradual expansion of AVs-only zones in urban areas where only automated vehicles (AVs) are allowed to circulate. When owning a mixed fleet (automated and conventional taxis), a ride-hailing company has to determine the optimal fleet size as a function of the gradually expanding coverage of AVs-only zones while taking into account interactions with privately-owned human-driven vehicles. To model this problem, we propose a bi-level framework in which the lower level captures the mixed routing behaviour of the vehicles and the endogenous traffic congestion, and the upper level determines fleet sizes to maximise profit. A parallel genetic algorithm is introduced to solve this bi-level framework, which is embedded with a tailored algorithm for solving the lower-level model. Numerical experiments are conducted on instances based on a small network and the network of the city of Delft, The Netherlands, to demonstrate the performance of the proposed solution method and investigate the impacts of AVs-only zones on traffic and ride-hailing operations. Results indicate that the fleet size of automated taxis increases nonlinearly with the expansion of the AVs-only zone while that of conventional taxis decreases as demand shifts from human-driven vehicles to automated taxis. The fleet size decision depends heavily on the fleet's cost structure, the location and the distribution of parking depots. Furthermore, the existence of an AVs-only zone leads to detours for human-driven vehicles in the early stages, but it will bring major benefits by reducing congestion as its size increases.","Routing; Fleet sizing; Approximated mixed equilibrium; AVs-only zone; e-hailing services","en","journal article","","","","","","","","","","","Discrete Mathematics and Optimization","","",""
"uuid:08752acf-f898-4c41-ae7b-966f3a8fa17d","http://resolver.tudelft.nl/uuid:08752acf-f898-4c41-ae7b-966f3a8fa17d","The conflicting geographies of social frontiers: Exploring the asymmetric impacts of social frontiers on household mobility in Rotterdam","Olner, Dan (University of Sheffield); Pryce, Gwilym (University of Sheffield); van Ham, M. (TU Delft Urbanism); Janssen, H.J. (TU Delft Urban Studies)","","2024","Social frontiers arise when there are sharp differences in the demographic composition of adjacent communities. This paper provides the first quantitative study of their impact on household mobility. We hypothesise that conflicting forces of white flight and territorial allegiance lead to asymmetrical effects, impacting residents on one side of the frontier more than the other due to differences in the range of housing options available to different groups, and different symbolic interpretations of the frontier. Using Dutch registry data for the city of Rotterdam we identify ethnic social frontier locations using a Bayesian spatial model (Dean et al., 2019), exploiting the data’s one hundred metre resolution to estimate frontiers at a very small spatial scale. Regression analysis of moving decisions finds that the ethnic asymmetry of the frontier matters more than ethnicity of individual households. On the ethnic minority side of the frontier, households of all ethnicities in the 28–37 age range have reduced probability of moving compared to non-frontier parts of the city. The opposite is true on the Dutch native side of the frontier. We supplement this analysis with flow models which again find strong frontier effects. Our findings illustrate how the study of social frontiers can shed light on local population dynamics and neighbourhood change.","geographical mobility; immigration; Netherlands; segregation; Social frontiers","en","journal article","","","","","","","","","","Urbanism","Urban Studies","","",""
"uuid:64c38066-d331-425b-b8a0-710bbc1cfcf5","http://resolver.tudelft.nl/uuid:64c38066-d331-425b-b8a0-710bbc1cfcf5","Multi-wavelength Raman microscopy of nickel-based electron transport in cable bacteria","Smets, Bent (Universiteit Antwerpen); Boschker, H.T.S. (TU Delft BT/Environmental Biotechnology; Universiteit Antwerpen); Wetherington, Maxwell T. (Pennsylvania State University); Lelong, Gérald (Sorbonne Université); Hidalgo-Martinez, Silvia (Universiteit Antwerpen); Polerecky, Lubos (Universiteit Utrecht); Nuyts, Gert (Universiteit Antwerpen); De Wael, Karolien (Universiteit Antwerpen); Meysman, F.J.R. (TU Delft BT/Environmental Biotechnology; Universiteit Antwerpen)","","2024","Cable bacteria embed a network of conductive protein fibers in their cell envelope that efficiently guides electron transport over distances spanning up to several centimeters. This form of long-distance electron transport is unique in biology and is mediated by a metalloprotein with a sulfur-coordinated nickel (Ni) cofactor. However, the molecular structure of this cofactor remains presently unknown. Here, we applied multi-wavelength Raman microscopy to identify cell compounds linked to the unique cable bacterium physiology, combined with stable isotope labeling, and orientation-dependent and ultralow-frequency Raman microscopy to gain insight into the structure and organization of this novel Ni-cofactor. Raman spectra of native cable bacterium filaments reveal vibrational modes originating from cytochromes, polyphosphate granules, proteins, as well as the Ni-cofactor. After selective extraction of the conductive fiber network from the cell envelope, the Raman spectrum becomes simpler, and primarily retains vibrational modes associated with the Ni-cofactor. These Ni-cofactor modes exhibit intense Raman scattering as well as a strong orientation-dependent response. The signal intensity is particularly elevated when the polarization of incident laser light is parallel to the direction of the conductive fibers. This orientation dependence allows to selectively identify the modes that are associated with the Ni-cofactor. We identified 13 such modes, some of which display strong Raman signals across the entire range of applied wavelengths (405–1,064 nm). Assignment of vibrational modes, supported by stable isotope labeling, suggest that the structure of the Ni-cofactor shares a resemblance with that of nickel bis(1,2-dithiolene) complexes. Overall, our results indicate that cable bacteria have evolved a unique cofactor structure that does not resemble any of the known Ni-cofactors in biology.","Raman microscopy; cable bacteria; nickel cofactor; metalloprotein; long-distance electron transport","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:1721cfd3-d50c-42c6-a62b-ab40af15e341","http://resolver.tudelft.nl/uuid:1721cfd3-d50c-42c6-a62b-ab40af15e341","On spatially correlated observations in importance sampling methods for subsidence estimation","Kim, S.S.R. (TU Delft Reservoir Engineering); Vossepoel, F.C. (TU Delft Reservoir Engineering)","","2024","The particle filter is a data assimilation method based on importance sampling for state and parameter estimation. We apply a particle filter in two different quasi-static experiments with models of subsidence caused by a compacting reservoir. The first model considers uncorrelated model state variables and observations, with observed subsidence resulting from a single source of strain. In the second model, subsidence is a summation of subsidence contributions from multiple sources which causes spatial dependencies and correlations in the observed subsidence field. Assimilating these correlated subsidence fields may trigger weight collapse. With synthetic tests, we show in a model of subsidence with 50 independent state variables and spatially correlated subsidence a minimum of 1013 particles are required to have information in the posterior distribution identical to that in a model with 50 independent and spatially uncorrelated observations. Spatial correlations cause an information loss which can be quantified with mutual information. We illustrate how a stronger spatial correlation results in lower information content in the posterior and we empirically derive the required ensemble size for the importance sampling to remain effective. We furthermore illustrate how this loss of information is reflected in the log likelihood, and how this depends on the number of model state variables. Based on these empirical results, we propose criteria to evaluate the required ensemble size in data assimilation of spatially correlated observation fields.","Ensemble size; Information theory; Particle method; Reservoir; Subsidence; Weight collapse","en","journal article","","","","","","","","","","","Reservoir Engineering","","",""
"uuid:9af93419-2648-4a16-89d8-e34aa863e637","http://resolver.tudelft.nl/uuid:9af93419-2648-4a16-89d8-e34aa863e637","A fast and robust constraint-based online re-optimization approach for automated online adaptive intensity modulated proton therapy in head and neck cancer","Oud, Michelle (Erasmus MC; Holland Particle Therapy Centre); Breedveld, Sebastiaan (Erasmus MC); Rojo-Santiago, Jesús (Erasmus MC; Holland Particle Therapy Centre); Giżyńska, Marta Krystyna (Holland Particle Therapy Centre); Kroesen, Michiel (Erasmus MC); Habraken, S.J.M. (Erasmus MC; Holland Particle Therapy Centre); Perko, Z. (TU Delft RST/Reactor Physics and Nuclear Materials); Heijmen, Ben (Erasmus MC); Hoogeman, M.S. (TU Delft RST/Medical Physics & Technology; Erasmus MC; Holland Particle Therapy Centre)","","2024","Objective. In head-and-neck cancer intensity modulated proton therapy, adaptive radiotherapy is currently restricted to offline re-planning, mitigating the effect of slow changes in patient anatomies. Daily online adaptations can potentially improve dosimetry. Here, a new, fully automated online re-optimization strategy is presented. In a retrospective study, this online re-optimization approach was compared to our trigger-based offline re-planning (offlineTB re-planning) schedule, including extensive robustness analyses. Approach. The online re-optimization method employs automated multi-criterial re-optimization, using robust optimization with 1 mm setup-robustness settings (in contrast to 3 mm for offlineTB re-planning). Hard planning constraints and spot addition are used to enforce adequate target coverage, avoid prohibitively large maximum doses and minimize organ-at-risk doses. For 67 repeat-CTs from 15 patients, fraction doses of the two strategies were compared for the CTVs and organs-at-risk. Per repeat-CT, 10.000 fractions with different setup and range robustness settings were simulated using polynomial chaos expansion for fast and accurate dose calculations. Main results. For 14/67 repeat-CTs, offlineTB re-planning resulted in <50% probability of D98% ≥ 95% of the prescribed dose (Dpres) in one or both CTVs, which never happened with online re-optimization. With offlineTB re-planning, eight repeat-CTs had zero probability of obtaining D98% ≥ 95%Dpres for CTV7000, while the minimum probability with online re-optimization was 81%. Risks of xerostomia and dysphagia grade ≥ II were reduced by 3.5 ± 1.7 and 3.9 ± 2.8 percentage point [mean ± SD] (p < 10−5 for both). In online re-optimization, adjustment of spot configuration followed by spot-intensity re-optimization took 3.4 min on average. Significance. The fast online re-optimization strategy always prevented substantial losses of target coverage caused by day-to-day anatomical variations, as opposed to the clinical trigger-based offline re-planning schedule. On top of this, online re-optimization could be performed with smaller setup robustness settings, contributing to improved organs-at-risk sparing.","intensity modulated proton therapy (IMPT); daily online adaptive radiotherapy using dose restoration; head-and-neck cancer; inter-fraction anatomy variation; automated treatment planning","en","journal article","","","","","","","","","","","RST/Reactor Physics and Nuclear Materials","","",""
"uuid:e9f85596-f8eb-415e-873f-d62683515103","http://resolver.tudelft.nl/uuid:e9f85596-f8eb-415e-873f-d62683515103","Where does the AMOC peak? Assesssing regional variations in North Atlantic Overturning from GLORYS12 ","Katsman, C.A. (TU Delft Environmental Fluid Mechanics); Oldenhuis, D.J. (TU Delft Civil Engineering & Geosciences); Vermeulen, D.H.A. (TU Delft Environmental Fluid Mechanics); Gelderloos, R. (TU Delft Environmental Fluid Mechanics)","","2024","The Atlantic Meridional Overturning Circulation (AMOC) transports vast amounts of heat to high latitudes, and is largely responsible for Western Europe’s relatively mild climate. Climate models project the AMOC will weaken substantially over the 21st century, which impacts weather, climate, sea level and the oceanic carbon cycle. In many studies, the AMOC state is described in a condensed two-dimensional view or even by means of a single metric, which leaves many aspects of its complex 3D-structure underexposed. By revealing the sharp contrast in overturning strength between the western and eastern subpolar gyre (SPG), the recent OSNAP observations emphasized the importance of considering the AMOC in 3D.
In this study, we explore this further by analyzing the characteristics of the overturning in density space in the North Atlantic SPG on a regional scale, and over time periods ranging from seasons to decades. For this, we use model data from the high-resolution GLORYS12 reanalysis, spanning the period 1993-2020. Following the approach applied in OSNAP, the overturning is assessed from alongstream changes in boundary current transport in specific density classes. This analysis is performed for the entire SPG, for its major basins (Iceland Basin, Irminger Sea, and Labrador Sea) and for smaller segments along the boundary currents, thus providing detailed insights in variations of the overturning varies along the entire SPG boundary.
The mean overturning from GLORYS12 for 1993-2020 is 23.8 Sv, distributed as 41%, 29%, and 30% for the Iceland Basin, Irminger Sea, and Labrador Sea respectively, and peaking at increasingly higher densities in alongstream direction. Within each basin, a pronounced seasonal cycle can be identified, with the maximum overturning occurring in March and the minimum in September. Over the entire reanalysis period, the overturning strength in both the Iceland Basin and Irminger Sea exhibits a weak decreasing trend, whereas the Labrador Sea displays a weak increasing trend.
The subdivision in shorter segments reveals large spatial differences in overturning, both with regard to its overall strength and its distribution over density classes. However, these outcomes are less robust than the analyses on the scale of the major basins, as the flow is highly variable and numerical uncertainties associated with offline overturning calculations become more prominent.
Further research is needed to properly interpret these regional variations, and thereby improve our understanding of the AMOC dynamics and its sensitivity to changing oceanic and atmospheric forcing conditions. Linking them to local processes known to govern the overturning (i.e., formation of dense waters in the interior of marginal seas and their export, formation of dense waters within the boundary current system itself and the exchange of waters via overflows) seems a viable route.","","en","abstract","","","","","","","","","Civil Engineering & Geosciences","","Environmental Fluid Mechanics","","",""
"uuid:cb6444be-f094-46bd-9b51-2df2ab00dba8","http://resolver.tudelft.nl/uuid:cb6444be-f094-46bd-9b51-2df2ab00dba8","Transforming Engineering Education in Learning Ecosystems for Resilient Engineers","Klaassen, R.G. (TU Delft Policy & Implementation); Hellendoorn, J. (TU Delft Cognitive Robotics); Bossen, R.H. (TU Delft Education and Student Affairs)","","2024","TU Delft education system is transformed on three levels: 1) new courses and projects in existing B.Sc. and M.Sc. programs for multidisciplinary and reflective learning; 2) new M.Sc. programs focusing on multi and interdisciplinarity, personal development, and professional skills; and 3) central Interdisciplinary Projects for Master Students from different programs. With these steps, the university offers students a learning ecosystem where identity-building can occur, fosters interdisciplinary teamwork, and strong interaction with the professional world and government is necessary to finish projects. In this article, the ecosystem will be explained, and results will be shared of surveys among students who experienced learning in the learning ecosystem. The surveys show that students under stand their future role in the community as engineers, feel that they have acquired new skills, feel better about framing complex problems, and are more competent to work in the industry.","Curriculum Renewal; Future Engineer; ecosystem; stakeholders; knolwedge engineering; education; ethics; teamwork; survey","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-18","","Cognitive Robotics","Policy & Implementation","","",""
"uuid:e84fdf90-e77b-4e70-94b6-350e55e17d83","http://resolver.tudelft.nl/uuid:e84fdf90-e77b-4e70-94b6-350e55e17d83","Guest editorial: Integrated corporate real estate and facilities management strategies","van der Voordt, Theo (TU Delft Real Estate Management)","","2024","Seven years ago I wrote a paper about the growing connections between Corporate Real Estate Management (CREM) and Facilities Management (FM) (Van der Voordt, 2017). Last year I coauthored the third edition of a Dutch book on Accommodating People, in which we share insights from practice and research about the main components of CREM/FM strategies and how to implement these strategies in briefing processes, business cases, new building projects and management of buildings-in use (Hoendervanger et al., 2022). In this book, connecting CREM and FM with other disciplines like human resource management, information technology and finance and control is a key concept as well. Due to a growing attention to balancing business needs, end-user needs and societal needs, incorporating the required expertise from different disciplines such as environmental psychology, ergonomics, sustainability, circular building and so on is also highly relevant. [...]","","en","contribution to periodical","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-27","","","Real Estate Management","","",""
"uuid:ea542d00-1a25-46eb-ac0b-9ab5126f023e","http://resolver.tudelft.nl/uuid:ea542d00-1a25-46eb-ac0b-9ab5126f023e","Dynamic thermal model of passenger aircraft for the estimation of the cabin cooling and heating requirements","Giuffré, A. (TU Delft Flight Performance and Propulsion); Colonna, Piero (TU Delft Flight Performance and Propulsion); de Servi, C.M. (TU Delft Flight Performance and Propulsion; Flemish Institute for Technological Research)","","2024","The Environmental Control System (ECS) of passenger aircraft is the main consumer of non-propulsive power aboard. A computationally efficient and accurate thermal model of the fuselage is needed for future sustainable aircraft to address ECS preliminary sizing and control design, as the ECS should be re-designed to exploit possible synergies with other thermal management systems on board. Differently from previous works, the present aircraft thermal model is extensively documented and released open-source. Moreover, it is completely based on first principles and the acausal modeling paradigm. It results that the model is scalable, easily extendable, and allows for the estimation of the aircraft thermal loads given limited information about its configuration and flight mission. The predictive capabilities of the model have been assessed by comparing the thermodynamic state estimated at the pack discharge for three ECS operating points of an Airbus A320 with data provided by the manufacturer. The maximum deviation is limited to 2.4 K and 4.5 kPa. The validated thermal model has been used to compute the operating envelope of the A320 ECS, showing that the air supply requirements vary substantially with ambient conditions and flight phases. This calls for a multi-point design strategy when assessing novel ECS configurations.","Dynamic simulation; Environmental control system (ECS); Fuselage thermal model; Modelica; Thermal management system","en","journal article","","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:dd163f10-70c5-4094-8e88-3ea6b754651c","http://resolver.tudelft.nl/uuid:dd163f10-70c5-4094-8e88-3ea6b754651c","ApHMM: Accelerating Profile Hidden Markov Models for Fast and Energy-efficient Genome Analysis","Firtina, Can (ETH Zürich); Pillai, Kamlesh (Intel Labs); Kalsi, Gurpreet S. (Intel Labs); Suresh, Bharathwaj (Intel Labs); Cali, Damla Senol (Carnegie Mellon University); Kim, Jeremie S. (ETH Zürich); Shahroodi, Taha (TU Delft Computer Engineering); Cavlak, Meryem Banu (ETH Zürich); Lindegger, Joël (ETH Zürich)","","2024","Profile hidden Markov models (pHMMs) are widely employed in various bioinformatics applications to identify similarities between biological sequences, such as DNA or protein sequences. In pHMMs, sequences are represented as graph structures, where states and edges capture modifications (i.e., insertions, deletions, and substitutions) by assigning probabilities to them. These probabilities are subsequently used to compute the similarity score between a sequence and a pHMM graph. The Baum-Welch algorithm, a prevalent and highly accurate method, utilizes these probabilities to optimize and compute similarity scores. Accurate computation of these probabilities is essential for the correct identification of sequence similarities. However, the Baum-Welch algorithm is computationally intensive, and existing solutions offer either software-only or hardware-only approaches with fixed pHMM designs. When we analyze state-of-the-art works, we identify an urgent need for a flexible, high-performance, and energy-efficient hardware-software co-design to address the major inefficiencies in the Baum-Welch algorithm for pHMMs. We introduce ApHMM, the first flexible acceleration framework designed to significantly reduce both computational and energy overheads associated with the Baum-Welch algorithm for pHMMs. ApHMM employs hardware-software co-design to tackle the major inefficiencies in the Baum-Welch algorithm by (1) designing flexible hardware to accommodate various pHMM designs, (2) exploiting predictable data dependency patterns through on-chip memory with memoization techniques, (3) rapidly filtering out unnecessary computations using a hardware-based filter, and (4) minimizing redundant computations. ApHMM achieves substantial speedups of 15.55×–260.03×, 1.83×–5.34×, and 27.97× when compared to CPU, GPU, and FPGA implementations of the Baum-Welch algorithm, respectively. ApHMM outperforms state-of-the-art CPU implementations in three key bioinformatics applications: (1) error correction, (2) protein family search, and (3) multiple sequence alignment, by 1.29×–59.94×, 1.03×–1.75×, and 1.03×–1.95×, respectively, while improving their energy efficiency by 64.24×–115.46×, 1.75×, and 1.96×.","Bioinformatics; genomics; profile hidden markov models; the Baum-Welch Algorithm","en","journal article","","","","","","","","","","","Computer Engineering","","",""
"uuid:6397b54e-27d3-4f33-84ca-f2eff324b71d","http://resolver.tudelft.nl/uuid:6397b54e-27d3-4f33-84ca-f2eff324b71d","Initiation and evolution of systemic innovations: Patterns and interactions in the emergence of additive manufacturing technologies","Luomaranta, Toni (WU Wien); Martinsuo, Miia (University of Turku; Tampere University); Ortt, J.R. (TU Delft Economics of Technology and Innovation; Erasmus Universiteit Rotterdam)","","2024","Technological innovations are becoming increasingly systemic in the complex and interconnected world. The initiation and evolution of systemic innovations take time and include numerous challenges, and the mechanisms through which systemic innovations emerge in the interaction between different technologies represent a research gap. This paper explores the emergence of ceramic additive manufacturing as an example of a systemic manufacturing technology innovation. We implemented an event history analysis of four ceramic-material additive manufacturing technologies. We traced the initiation and evolution paths of each of the four technologies over time and showed a pattern of activities within and across the technologies. The study contributes by revealing that systemic innovations emerge as a result of parallel and sequential development paths of within-technology system components as well as the interaction between multiple technologies. The timing of the coalescing development paths of the system components and technologies appears crucial but serendipitous instead of coordinated. The findings open new pathways for speeding up the emergence of systemic innovations and forthcoming research to support the evolution of additive manufacturing.","additive manufacturing; event history analysis; systemic innovation; technology evolution","en","journal article","","","","","","","","","","","Economics of Technology and Innovation","","",""
"uuid:6fc368af-b276-400c-84c7-7b891cec508f","http://resolver.tudelft.nl/uuid:6fc368af-b276-400c-84c7-7b891cec508f","Risk vs. restriction—An investigation of capacity-limitation based congestion management in electric distribution grids","Hennig, R.J. (TU Delft Energie and Industrie); De Vries, Laurens (TU Delft Energie and Industrie); Tindemans, Simon H. (TU Delft Intelligent Electrical Power Grids)","","2024","Electrification of energy end-uses brings an increasing load on electric distribution grids with load peaks that can cause network congestion. However, many new end-uses like electric vehicles, heat pumps, and electrified industrial processes have some flexibility to move their power consumption away from peak times. Congestion management mechanisms can harness this flexibility. This paper investigates congestion management mechanisms based on limited available network capacity for flexible loads during peak times. A case study discusses and investigates real-world examples of such mechanisms from proposals in Germany and the Netherlands. They differ concerning the lead time at which the capacity limitation is announced, with options from near real-time and day-ahead to long-term. These mechanisms are suited to remove network congestion, but there are significant trade-offs concerning the lead time. A shorter lead time leaves more room for using the network during non-congested times but creates a risk of curtailment for end-users, which may come with associated balancing and re-procurement costs. Longer lead times give more certainty on network access conditions but often restrict network usage even when there is no network congestion.","distribution network; Load control; congestion management; Capacity subscription; Flexibility; Electricity","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:8711ee3f-3ad0-43e2-9f20-7d6c101ef3c0","http://resolver.tudelft.nl/uuid:8711ee3f-3ad0-43e2-9f20-7d6c101ef3c0","Improved Anomaly Detection and Localization Using Whitening-Enhanced Autoencoders","Wang, C. (TU Delft Intelligent Electrical Power Grids); Tindemans, Simon H. (TU Delft Intelligent Electrical Power Grids); Palensky, P. (TU Delft Intelligent Electrical Power Grids)","","2024","Anomaly detection is of considerable significance in engineering applications, such as the monitoring and control of large-scale energy systems. This article investigates the ability to accurately detect and localize the source of anomalies, using an autoencoder neural network-based detector. Correlations between residuals are identified as a source of misclassifications, and whitening transformations that decorrelate input features and/or residuals are analyzed as a potential solution. For two use cases, regarding spatially distributed wind power generation and temporal profiles of electricity consumption, the performance of various data processing combinations was quantified. Whitening of the input data was found to be most beneficial for accurate detection, with a slight benefit for the combined whitening of inputs and residuals. For localization of anomalies, whitening of residuals was preferred, and the best performance was obtained using standardization of the input data and whitening of the residuals using the zero-phase component analysis (ZCA) or zero-phase component analysis-correlation (ZCA-cor) whitening matrix with a small additional offset.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-03","","","Intelligent Electrical Power Grids","","",""
"uuid:ed0e2cc7-4d89-4a5a-9783-f95a3bf9abba","http://resolver.tudelft.nl/uuid:ed0e2cc7-4d89-4a5a-9783-f95a3bf9abba","DBHC: Discrete Bayesian HMM Clustering","Budel, G.J.A. (TU Delft Network Architectures and Services); Frasincar, Flavius (Erasmus Universiteit Rotterdam); Boekestijn, David (Erasmus Universiteit Rotterdam)","","2024","Sequence data mining has become an increasingly popular research topic as the availability of data has grown rapidly over the past decades. Sequence clustering is a type of method within this field that is in high demand in the industry, but the sequence clustering problem is non-trivial and, as opposed to static cluster analysis, interpreting clusters of sequences is often difficult. Using Hidden Markov Models (HMMs), we propose the Discrete Bayesian HMM Clustering (DBHC) algorithm, an approach to clustering discrete sequences by extending a proven method for continuous sequences. The proposed algorithm is completely self-contained as it incorporates both the search for the number of clusters and the search for the number of hidden states in each cluster model in the parameter inference. We provide a working example and a simulation study to explain and showcase the capabilities of the DBHC algorithm. A case study illustrates how the hidden states in a mixture of HMMs can aid the interpretation task of a sequence cluster analysis. We conclude that the algorithm works well as it provides well-interpretable clusters for the considered application.","Graphical models; Mixture hidden Markov models; Probability smoothing; Sequence clustering; Sequence data mining","en","journal article","","","","","","","","","","","Network Architectures and Services","","",""
"uuid:5f8d76d8-394d-4265-906a-c0c68a9f16f8","http://resolver.tudelft.nl/uuid:5f8d76d8-394d-4265-906a-c0c68a9f16f8","Frequency-domain framework for floating installation of wind-turbine towers","Fidalgo Domingos, D.A. (TU Delft Team Jan-Willem van Wingerden); Wellens, P.R. (TU Delft Ship Hydromechanics and Structures); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2024","As a result of more stable wind conditions and the depletion of near-shore locations, wind farms are moving farther offshore into deeper waters, challenging the current limits of offshore heavy-lift operations. This paper presents and verifies a novel frequency-domain framework to perform extensive site-specific analysis, of floating installations of wind-turbine towers, subjected to wind and wave loads. The versatility and potential of this framework is demonstrated with a case-study of a wind farm near the coast of Portugal. The results lead to the following conclusions: (1) Only considering beam-seas the yearly workability is 39 %; (2) Workability is mostly limited by wave loads; (3) Tower motions tend to decrease with tower size and are not significantly affected by hook-tower distance (sling length); and finally, (4) In this case-study the most contributing frequencies for tower motions are 0.3 and 0.4 rad/s, corresponding mainly to the first pendulation mode.","Dynamic error budgeting; Heavy lift; Offshore wind; Sensitivity analysis; Stochastic disturbances; Workability analysis","en","journal article","","","","","","","","","","","Team Jan-Willem van Wingerden","","",""
"uuid:6696a88c-81d3-4e74-9bd0-8941561b57fa","http://resolver.tudelft.nl/uuid:6696a88c-81d3-4e74-9bd0-8941561b57fa","Rights and Wrongs in Talk of Mind-Reading Technology","Rainey, S. (TU Delft Ethics & Philosophy of Technology)","","2024","This article examines the idea of mind-reading technology by focusing on an interesting case of applying a large language model (LLM) to brain data. On the face of it, experimental results appear to show that it is possible to reconstruct mental contents directly from brain data by processing via a chatGPT-like LLM. However, the author argues that this apparent conclusion is not warranted. Through examining how LLMs work, it is shown that they are importantly different from natural language. The former operates on the basis of nonrational data transformations based on a large textual corpus. The latter has a rational dimension, being based on reasons. Using this as a basis, it is argued that brain data does not directly reveal mental content, but can be processed to ground predictions indirectly about mental content. The author concludes that this is impressive but different in principle from technology-mediated mind reading. The applications of LLM-based brain data processing are nevertheless promising for speech rehabilitation or novel communication methods.","brain data; chatGPT; fMRI; large language models; mind reading; reasons","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:901f5688-0010-4467-930f-69f6596e45b4","http://resolver.tudelft.nl/uuid:901f5688-0010-4467-930f-69f6596e45b4","Capturing experts’ knowledge in heritage planning enhanced by AI: A case study of windcatchers in Yazd, Iran","Foroughi, M. (TU Delft Heritage & Architecture); de Andrade, Bruno (TU Delft Heritage & Architecture); Pereira Roders, A. (TU Delft Heritage & Architecture)","","2024","Experts have always played an important role in heritage planning, practice, and theory. There is a wealth of literature published every year regarding heritage and its cultural significance. Experts also contribute to heritage planning and developing policy documents. Still, literature is rarely used as a source of primary research to systematically reveal and compare experts’ opinions on the cultural significance of built heritage. Analyzing them as a whole is costly and time-consuming, especially on built heritage, when much has been written about. While the automation of methods has proven to mitigate such restrictions in other fields, as digital humanities, their application in heritage planning, practice, and theory is still scarce. Hence, this paper aims to investigate the potentials of AI models (e.g., multi label text classification) in analyzing scientific documents, revealing the cultural significance of built heritage, values and attributes. This was done to better understand the similarities and differences between the experts’ opinions. Yazd, Iran, is taken a case study, with a particular focus on windcatchers, a key attribute conveying cultural significance, of outstanding universal value, due to its inscription on the UNESCO World Heritage List. This paper has three subsequent phases: 1) state of the art on the application of AI in heritage planning; 2) methodology of data collection and data analysis related to coding values and attributes of windcatchers, addressed in relevant documents; 3) preliminary findings on the experts’ opinions over values and attributes of windcatchers. Results contribute to the scientific discussion, revealing the cultural significance of windcatchers of Yazd from experts’ point of view. Besides, the potential of AI for heritage planning is revealed in terms of (de)coding and measuring the cultural significance of built heritage from the available documents, showing the opinions of experts with various backgrounds. This model can be applied to other key attributes in Yazd and other case studies and scales to support heritage planning, practice, and theory.","Attribute; Cultural significance; Expert; Natural language processing; Text classification; Value","en","journal article","","","","","","","","","","","Heritage & Architecture","","",""
"uuid:0e199283-d6e2-4a02-8231-8d63dcfdbed3","http://resolver.tudelft.nl/uuid:0e199283-d6e2-4a02-8231-8d63dcfdbed3","Examining green space characteristics for social cohesion and mental health outcomes: A sensitivity analysis in four European cities","Cardinali, M. (TU Delft Heritage & Architecture; TH OWL); Beenackers, Mariëlle A. (Erasmus MC); Fleury-Bahi, Ghozlane (Université de Nantes; L'Institut de Recherche en Sciences et Techniques de la Ville (CNRS FR2488)); Bodénan, Philippe (Conseil de Développement de Nantes Métropole); Petrova, Milena Tasheva (University of Architecture, Civil Engineering and Geodesy); van Timmeren, A. (TU Delft Environmental Technology and Design); Pottgiesser, U. (TU Delft Heritage & Architecture; TH OWL)","","2024","Introduction: In recent decades, there has been a rise in mental illnesses. Community infrastructures are increasingly acknowledged as important for sustaining good mental health. Moreover, green spaces are anticipated to offer advantages for both mental health and social cohesion. However, the mediating pathway between green space, social cohesion and mental health and especially the proximity and characteristics of green spaces that trigger these potential effects remain of interest. Methods: We gathered data from 1365 individuals on self-reported social cohesion and mental health across four satellite districts in European cities: Nantes (France), Porto (Portugal), Sofia (Bulgaria), and Høje-Taastrup (Denmark). Green space data from OpenStreetMap was manually adjusted using the PRIGSHARE guidelines. We used the AID-PRIGSHARE tool to generate 7 indicators about green space characteristics measured in distances from 100–1500 m, every 100 m. This resulted in 105 different green space variables that we tested in a single mediation model with structural equation modelling. Results: Accessible greenness (900–1400 m), accessible green spaces (900–1500 m), accessible green space corridors (300–800 m), accessible total green space (300−800), and mix of green space uses (700–1100 m) were significantly associated with social cohesion and indirectly with mental health. Green corridors also showed negative indirect and direct associations with mental health in larger distances. Surrounding greenness and the quantity of green space uses were not associated with social cohesion nor indirectly with mental health. We also observed no positive direct associations between any green space variable in any distance to mental health. Conclusions: Our results suggest that accessibility, connectivity, mix of use and proximity are key characteristics that drive the relationship between green spaces, social cohesion and mental health. This gives further guidance to urban planners and decision-makers on how to design urban green spaces to foster social cohesion and improve mental health.","Green space; Mediation; Social cohesion; Structural equation modelling; Well-being","en","journal article","","","","","","","","","","","Heritage & Architecture","","",""
"uuid:259572c1-f79a-4351-87d3-02efdbd20ee8","http://resolver.tudelft.nl/uuid:259572c1-f79a-4351-87d3-02efdbd20ee8","Kirkendall effect-induced uniform stress distribution stabilizes nickel-rich layered oxide cathodes","Gao, Ziyao (Tsinghua University); Zhao, C. (Tsinghua University); Zhou, Kai (Tsinghua University); Wu, Junru (Tsinghua University); Tian, Yao (Tsinghua University); Deng, Xianming (Tsinghua University); Zhang, Lihan (Tsinghua University); Lin, Kui (Tsinghua University); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy)","","2024","Nickel-rich layered oxide cathodes promise ultrahigh energy density but is plagued by the mechanical failure of the secondary particle upon (de)lithiation. Existing approaches for alleviating the structural degradation could retard pulverization, yet fail to tune the stress distribution and root out the formation of cracks. Herein, we report a unique strategy to uniformize the stress distribution in secondary particle via Kirkendall effect to stabilize the core region during electrochemical cycling. Exotic metal/metalloid oxides (such as Al2O3 or SiO2) is introduced as the heterogeneous nucleation seeds for the preferential growth of the precursor. The calcination treatment afterwards generates a dopant-rich interior structure with central Kirkendall void, due to the different diffusivity between the exotic element and nickel atom. The resulting cathode material exhibits superior structural and electrochemical reversibility, thus contributing to a high specific energy density (based on cathode) of 660 Wh kg−1 after 500 cycles with a retention rate of 86%. This study suggests that uniformizing stress distribution represents a promising pathway to tackle the structural instability facing nickel-rich layered oxide cathodes.","","en","journal article","","","","","","","","","","","RST/Storage of Electrochemical Energy","","",""
"uuid:b933dc9b-0f6a-4084-bce8-1945010a7cb0","http://resolver.tudelft.nl/uuid:b933dc9b-0f6a-4084-bce8-1945010a7cb0","Design of a Majorana trijunction","Luna, Juan Daniel Torres (Student TU Delft); Rangaswamy Kuppuswamy, S.K. (TU Delft QN/Akhmerov Group; Kavli institute of nanoscience Delft); Akhmerov, A.R. (TU Delft QN/Akhmerov Group; Kavli institute of nanoscience Delft)","","2024","Braiding of Majorana states demonstrates their non-Abelian exchange statistics. One implementation of braiding requires control of the pairwise couplings between all Majorana states in a trijunction device. To have adiabaticity, a trijunction device requires the desired pair coupling to be sufficiently large and the undesired couplings to vanish. In this work, we design and simulate a trijunction device in a two-dimensional electron gas with a focus on the normal region that connects three Majorana states. We use an optimisation approach to find the operational regime of the device in a multi-dimensional voltage space. Using the optimization results, we simulate a braiding experiment by adiabatically coupling different pairs of Majorana states without closing the topological gap. We then evaluate the feasibility of braiding in a trijunction device for different shapes and disorder strengths.","See also, Online presentation recording","en","journal article","","","","","","","","","","","QN/Akhmerov Group","","",""
"uuid:0d0b5348-b86d-4c50-aa76-cb5555e158bd","http://resolver.tudelft.nl/uuid:0d0b5348-b86d-4c50-aa76-cb5555e158bd","Thermal and alkaline pre-treatments of inoculum halt methanogenesis and enable cheese whey valorization by batch acidogenic fermentation","Almeida Benalcazar, E.F. (TU Delft BT/Bioprocess Engineering; University of Campinas); Mondini, Camille (Student TU Delft); Bruant, Guillaume (National Research Council Canada); Tremblay, Julien (National Research Council Canada); Weissbrodt, D.G. (TU Delft BT/Environmental Biotechnology; Norwegian University of Science and Technology (NTNU)); Mockaitis, Gustavo (University of Campinas)","","2024","BACKGROUND: Carboxylates such as volatile fatty acids (VFA) can be produced by acidogenic fermentation (AF) of dairy wastes including cheese whey, a massive residue produced at 160.67 million m3 of which 42% are not valorized and impact the environment. In mixed-culture fermentations, selection pressures can favor AF and halt methanogenesis. In this study, inoculum pre-treatment was evaluated as a selective pressure for AF demineralized cheese whey in batches. Alkaline (NaOH, pH 8.0, 6 h) and thermal (90 °C for 5 min, ice-bath until 23 °C) pre-treatments were tested with batch operations runs at initial pH 7.0 and 9.0, food-to-microorganism (F/M) ratios of 0.5 to 4.0 g COD g−1 VS, and under pressurized (P) and nonpressurized (NP) headspace, in experiments duplicated in two different research institutes. RESULTS: Acetic acid was highly produced on both Unicamp and TU Delft samples (1.36 and 1.40 g CODAcOH L−1, respectively), at the expense of methanogenesis by combining a thermal pre-treatment of inoculum with a NP batch operation started at pH 9.0. Microbial communities comprising VFA and alcohol producers, such as Clostridium, Fonticella and Intestinimonas, and fermenters such as Longilinea and Leptolinea. The lipid-accumulating Candidatus microthrix was observed in both bulk material and foam. Despite the absence of methane production, Methanosaeta were detected within the microbial community. An F/M ratio of 0.5 g COD g−1 VS led to the best VFA production of 1769.4 mg L−1. CONCLUSION: Overall, inoculum thermal pre-treatment, initial pH 9.0 and NP headspace acted as a selective pressure for halting methanogenesis and producing VFAs, valorizing cheese whey via batch acidogenic fermentation.","acidogenic fermentation; alkaline; cheese whey; physicochemical pre-treatments; thermal; volatile fatty acids","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-31","","","BT/Bioprocess Engineering","","",""
"uuid:1be668b5-1296-42c9-92ec-5928a0103e5e","http://resolver.tudelft.nl/uuid:1be668b5-1296-42c9-92ec-5928a0103e5e","Quantifying non-stabilizerness via information scrambling","Ahmadi, A. (TU Delft QN/Greplová Lab; Kavli institute of nanoscience Delft); Greplová, E. (TU Delft QN/Greplová Lab; Kavli institute of nanoscience Delft)","","2024","The advent of quantum technologies brought forward much attention to the theoretical characterization of the computational resources they provide. A method to quantify quantum resources is to use a class of functions called magic monotones and stabilizer entropies, which are, however, notoriously hard and impractical to evaluate for large system sizes. In recent studies, a fundamental connection between information scrambling, the magic monotone mana and 2-Renyi stabilizer entropy was established. This connection simplified magic monotone calculation, but this class of methods still suffers from exponential scaling with respect to the number of qubits. In this work, we establish a way to sample an out-of-time-order correlator that approximates magic monotones and 2-Renyi stabilizer entropy. We numerically show the relation of these sampled correlators to different non-stabilizerness measures for both qubit and qutrit systems and provide an analytical relation to 2-Renyi stabilizer entropy. Furthermore, we put forward and simulate a protocol to measure the monotonic behaviour of magic for the time evolution of local Hamiltonians.","","en","journal article","","","","","","","","","","","QN/Greplová Lab","","",""
"uuid:0c42da05-0eb0-48be-9a0d-3b167135eeb7","http://resolver.tudelft.nl/uuid:0c42da05-0eb0-48be-9a0d-3b167135eeb7","Thermodynamic investigations of the NaI-CsI, KI-CsI, and NaF-CsI pseudo-binary systems","Scuro, N. L. (University of Ontario Institute of Technology); Fitzpatrick, B. W.N. (University of Ontario Institute of Technology); Geiger, E. (University of Ontario Institute of Technology; Canadian Nuclear Laboratories, ON); Poschmann, M. (University of Ontario Institute of Technology; Canadian Nuclear Laboratories, ON); Dumaire, T. (TU Delft RST/Reactor Physics and Nuclear Materials; European Commission Joint Research Centre); Beneš, O. (European Commission Joint Research Centre); Piro, M. H.A. (University of Ontario Institute of Technology)","","2024","The present study describes the thermodynamic assessment of three pseudo-binary systems relevant to CsI solubility in molten iodide salts: KI-CsI, NaI-CsI, and NaF-CsI. The motivation for this study was to corroborate a single previously reported data set of the NaI-CsI system, resolve inconsistencies reported by two different data-sets of the KI-CsI system, and generate new experimental data on the NaF-CsI system. Equilibrium data for all systems were obtained using Differential Scanning Calorimetry. Thermodynamic treatments of the three pseudo-binary systems were revised using the CALPHAD method with the thermodynamic software FactSage and Thermochimica. Both experimental and computational investigations provide increased confidence in the thermochemical behaviour of CsI in Molten Salt Reactor nuclear systems.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-13","","","RST/Reactor Physics and Nuclear Materials","","",""
"uuid:ce45ce18-6b1b-43ad-8943-8aec896604d5","http://resolver.tudelft.nl/uuid:ce45ce18-6b1b-43ad-8943-8aec896604d5","Author Correction: A global model of hourly space heating and cooling demand at multiple spatial scales (Nature Energy, (2023), 8, 12, (1328-1344), 10.1038/s41560-023-01341-5)","Staffell, Iain (Imperial College London); Pfenninger, Stefan (TU Delft Energie and Industrie); Johnson, Nathan (Imperial College London)","","2024","Correction to: Nature Energyhttps://doi.org/10.1038/s41560-023-01341-5, published online 14 September 2023. In the version of this article initially published, there was a typographical error in the third term of equation (2) in the Methods section, which now reads “S * = 100 + 7T, W * = 4.5 – 0.025T, H * = e 1.1+0.06T, T * = 16”, where e 1.1+0.06T appeared originally as e 1.1+0.6T. This error was in presentation only and does not affect the results or source code. The equation has been amended in the HTML and PDF versions of the article.","","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:14fe9f4a-1c21-452e-95ab-51d164e05619","http://resolver.tudelft.nl/uuid:14fe9f4a-1c21-452e-95ab-51d164e05619","Diversifying Knowledge Production in HCI: Exploring Materiality and Novel Formats for Scholarly Expression","Sturdee, Miriam (University of St Andrews); Genç, H.U. (TU Delft Human-Centred Artificial Intelligence); Wanick, Vanissa (University of Southampton)","","2024","This one-day studio aims to catalyze discussions and experimentation around non-textual academic documentation methods. With the understanding that human knowledge transcends written words, we aim to explore innovative ways to present and disseminate research outputs in diverse forms and of varying materiality. By bringing together researchers, practitioners, and academics from different disciplines and backgrounds, we seek to challenge the status quo of textual output and envision a future where knowledge production embraces the multisensory nature of human data.","futuring; knowledge production; pictorials; process; research output; tangible","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-11","","","Human-Centred Artificial Intelligence","","",""
"uuid:85fd0eb1-83ec-4e34-9ac8-be6b32082a52","http://resolver.tudelft.nl/uuid:85fd0eb1-83ec-4e34-9ac8-be6b32082a52","10th International Airborne Wind Energy Conference (AWEC 2024): Book of Abstracts","","Delft University of Technology (organizer); Sánchez-Arriaga, Gonzalo (editor); Thoms, Stefanie (editor); Schmehl, Roland (editor)","2024","","","","conference","Delft University of Technology","978-94-6366-844-6","","","","","","","","","","","",""
"uuid:a73bcf93-1a5d-453d-94ea-24613b096acc","http://resolver.tudelft.nl/uuid:a73bcf93-1a5d-453d-94ea-24613b096acc","Laying the experimental foundation for corrosion inhibitor discovery through machine learning","Özkan, C. (TU Delft Team Arjan Mol); Sahlmann, Lisa (Helmholtz-Zentrum Hereon); Feiler, Christian (Helmholtz-Zentrum Hereon); Zheludkevich, Mikhail (Helmholtz-Zentrum Hereon); Lamaka, Sviatlana (Helmholtz-Zentrum Hereon); Sewlikar, Parth (Vrije Universiteit Brussel); Kooijman, A.M. (TU Delft Team Arjan Mol); Taheri, P. (TU Delft Team Peyman Taheri); Mol, J.M.C. (TU Delft Team Arjan Mol)","","2024","Creating durable, eco-friendly coatings for long-term corrosion protection requires innovative strategies to streamline design and development processes, conserve resources, and decrease maintenance costs. In this pursuit, machine learning emerges as a promising catalyst, despite the challenges presented by the scarcity of high-quality datasets in the field of corrosion inhibition research. To address this obstacle, we have created an extensive electrochemical library of around 80 inhibitor candidates. The electrochemical behaviour of inhibitor-exposed AA2024-T3 substrates was captured using linear polarisation resistance, electrochemical impedance spectroscopy, and potentiodynamic polarisation techniques at different exposure times to obtain the most comprehensive electrochemical picture of the corrosion inhibition over a 24-h period. The experimental results yield target parameters and additional input features that can be combined with computational descriptors to develop quantitative structure–property relationship (QSPR) models augmented by mechanistic input features.","","en","journal article","","","","","","","","","","","Team Arjan Mol","","",""
"uuid:f9d2d24d-7da4-49a0-866b-75e8a16d9f86","http://resolver.tudelft.nl/uuid:f9d2d24d-7da4-49a0-866b-75e8a16d9f86","The Effects of Different Motor Teaching Strategies on Learning a Complex Motor Task","Kunavar, Tjasa (Jozef Stefan Institute; Jožef Stefan International Postgraduate School); Jamšek, Marko (Jozef Stefan Institute); Avila-Mireles, Edwin Johnatan (Jozef Stefan Institute); Rueckert, Elmar (Montan University of Leoben); Peternel, L. (TU Delft Human-Robot Interaction); Babič, Jan (Jozef Stefan Institute; University of Ljubljana)","","2024","During the learning of a new sensorimotor task, individuals are usually provided with instructional stimuli and relevant information about the target task. The inclusion of haptic devices in the study of this kind of learning has greatly helped in the understanding of how an individual can improve or acquire new skills. However, the way in which the information and stimuli are delivered has not been extensively explored. We have designed a challenging task with nonintuitive visuomotor perturbation that allows us to apply and compare different motor strategies to study the teaching process and to avoid the interference of previous knowledge present in the naïve subjects. Three subject groups participated in our experiment, where the learning by repetition without assistance, learning by repetition with assistance, and task Segmentation Learning techniques were performed with a haptic robot. Our results show that all the groups were able to successfully complete the task and that the subjects’ performance during training and evaluation was not affected by modifying the teaching strategy. Nevertheless, our results indicate that the presented task design is useful for the study of sensorimotor teaching and that the presented metrics are suitable for exploring the evolution of the accuracy and precision during learning.","human–robot interaction; motor learning; motor teaching; robot tutoring; skill learning; tracking task; visuomotor perturbation","en","journal article","","","","","","","","","","","Human-Robot Interaction","","",""
"uuid:61a64c77-3162-48db-917b-62a2f0d96092","http://resolver.tudelft.nl/uuid:61a64c77-3162-48db-917b-62a2f0d96092","Validation of a hand hygiene visual feedback system to improve compliance with drying time of alcohol-based hand rub in a neonatal intensive care unit: the Incubator Traffic Light system","van Gils, R.H.J. (Erasmus MC; Rotterdam University of Applied Sciences); Kornelisse, R. F. (Erasmus MC); Dankelman, J. (TU Delft Medical Instruments & Bio-Inspired Technology); Helder, O. K. (Erasmus MC; Rotterdam University of Applied Sciences)","","2024","Background: Compliance with the recommended 30 s drying time of alcohol-based hand rub (ABHR) is often suboptimal. To increase hand hygiene compliance at a neonatal intensive care unit (NICU), we installed an Incubator Traffic Light (ITL) system which shows ‘green light’ to open incubator doors after the recommended drying time. Aim: To measure the impact of this visual feedback system on NICU healthcare professionals' compliance with the recommended ABHR drying time. Methods: Ten traffic light systems were installed on incubators at a NICU, five of which provided visual feedback, and five, serving as a control group, did not provide visual feedback. During a two-month period, the systems measured drying time between the moment of dispensing ABHR and opening the incubator's doors. The drying times of the incubators were compared with and without feedback. Findings: Of the 6422 recorded hand hygiene events, 658 were valid for data analysis. Compliance with correct drying time reached 75% (N = 397/526) for incubators equipped with visual feedback versus 36% (N = 48/132; P < 0.0001) for incubators lacking this feature. Conclusion: The ITL improves compliance with the recommended 30 s ABHR drying time in a NICU setting.","Alcohol-based hand rub; Drying time; Guideline adherence; Hand hygiene; Hand sanitizers; Neonatal intensive care unit; Sensory feedback","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:82fae3a0-badf-4dcc-8862-42adec500cd6","http://resolver.tudelft.nl/uuid:82fae3a0-badf-4dcc-8862-42adec500cd6","Managing public real estate and the trade-off between supporting user activities and sustainable development: case of the Netherlands police","van Staveren, D. (TU Delft Real Estate Management); Arkesteijn, M.H. (TU Delft Real Estate Management); den Heijer, A.C. (TU Delft Real Estate Management)","","2024","Purpose: Corporate real estate management (CREM) is complex due to an increasing number of real estate (RE) added values and the tensions between them. RE managers are faced with trade-offs: to choose a higher performance for one added value at the cost of another. CREM research mainly deals with trade-offs in a hypothetical sense, without looking at the characteristics of the RE portfolio nor the specific context in which trade-offs are made. The purpose of this paper is to further develop the concept of real estate value (REV) optimisation with regard to tensions between decreasing CO2 emissions and supporting user activities. Design/methodology/approach: Mixed method study. REV optimisation between user activities and energy efficiency for police stations in the Netherlands built between 2000 and 2020 is analysed. This is complemented by interviews with an RE manager and senior user of police stations and analysis of policy documents. Findings: xThe characteristics of the police station portfolio indicate no correlation between user activities and energy efficiency for the case studied. This is complemented by interviews, from which it becomes clear that there was in fact little tension between supporting user activities and energy efficiency. The performances of these two different added values were optimised separately. Originality/value: This study combines different scales (building and portfolio level) with different types of data: portfolio analysis, document analysis and interviews. This creates a comprehensive image of whether and how the Netherlands police optimised the two RE values.","Management; Police; Real estate; Trade-off; Value","en","journal article","","","","","","","","","","","Real Estate Management","","",""
"uuid:1f2892fc-a73a-4398-8c29-eecb130694d9","http://resolver.tudelft.nl/uuid:1f2892fc-a73a-4398-8c29-eecb130694d9","Effect of delayed inhibitor supply on AA2024-T3 intermetallic activity: A local in situ analysis with reflected microscopy","Mopon, M. (TU Delft Group Garcia Espallargas; University of the Philippines Diliman); Mol, J.M.C. (TU Delft Team Arjan Mol); Garcia, Santiago J. (TU Delft Group Garcia Espallargas)","","2024","Understanding how late an inhibitor can be released once corrosion initiated without compromising corrosion protection may help in developing more efficient anticorrosion coatings. We explored this idea through time-controlled Ce(NO3)3 availability to AA2024-T3 immersed in 0.05 M NaCl. Ce(NO3)3 was supplied at 0, 30, 60, and 180 s from the start of immersion to get a concentration of 0.001 M. Detailed visualization of surface changes at the intermetallic particle level was obtained using in-situ reflected microscopy. SEM-EDX and confocal laser microscopy confirmed the extent of intermetallic degradation and local inhibitor deposition corresponding to operando changes. When the inhibitor is supplied within 60 s of immersion, the surface changes slowdown earlier and are visually less extensive than in uninhibited systems. Furthermore, our results highlight the potential of reflected microscopy for local corrosion inhibition studies and underscore the importance of understanding the interaction between inhibitor release timing and corrosion protection.","Corrosion inhibition; Difference imaging; Inhibitor deposition kinetics; Metallographic microscopy","en","journal article","","","","","","","","","","","Group Garcia Espallargas","","",""
"uuid:fd417948-5544-4cef-a577-cc4c1c2d08c4","http://resolver.tudelft.nl/uuid:fd417948-5544-4cef-a577-cc4c1c2d08c4","Occupants’ inquiries for energy efficiency retrofitting in the Netherlands","Maghsoudi Nia, E. (TU Delft Environmental & Climate Design; TU Delft Design & Construction Management); Qian, QK (TU Delft Design & Construction Management); Visscher, H.J. (TU Delft Design & Construction Management)","","2024","Highlights
• The aging systems, and the house's condition, are important factors that motivate occupants to consider energy retrofitting.
• Educating occupants on regular monitoring can enhance the durability and performance of energy-efficient technologies.
• Policymakers need to implement region-specific strategies, to promote energy retrofitting initiatives.","","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:97aeb242-8aa7-415b-9c45-84e0023e5eae","http://resolver.tudelft.nl/uuid:97aeb242-8aa7-415b-9c45-84e0023e5eae","Optimal chartering decisions for vessel fleet to support offshore wind farm maintenance operations","Li, M. (TU Delft Transport Engineering and Logistics; University of Strathclyde); Bijvoet, Bas (Student TU Delft); Wu, Kangjie (Student TU Delft); Jiang, X. (TU Delft Transport Engineering and Logistics); Negenborn, R.R. (TU Delft Transport Engineering and Logistics)","","2024","Offshore wind energy is expected to be the most significant source of future electricity supply in Europe. Offshore wind farms are located far from the shores, requiring a fleet of various types of vessels to access sites when maintaining offshore wind turbines. The employment of the vessels is costly, accounting for the majority of the total O&M costs for offshore wind energy. Therefore, configuring the size and mix of the vessel fleet to support maintenance operations in a cost-effective manner is an issue of importance to enhance economics of offshore wind sector. In this paper, a discrete event simulation based model is proposed to present how a mixed vessel fleet with the specific configuration, including crew transfer vessels, field support vessels, and heavy lift vessels, performs maintenance for an offshore wind farm. The economic performance of the vessel fleet under a predetermined condition-based opportunistic maintenance strategy is investigated by using the model. A metaheuristic algorithm, simulated annealing, is employed to find the optimal fleet size and mix to make leasing decisions with the minimum costs. The performance of the developed approaches is evaluated by using a generic offshore wind farm in the North Sea. The sensitivity analysis is performed to investigate the most influential O&M factors.","Condition-based opportunistic maintenance; Offshore wind energy; Operation and maintenance; Vessel fleet","en","journal article","","","","","","","","","","","Transport Engineering and Logistics","","",""
"uuid:eefd5649-cd09-4702-b801-f247b0300377","http://resolver.tudelft.nl/uuid:eefd5649-cd09-4702-b801-f247b0300377","Highly-sensitive wafer-scale transfer-free graphene MEMS condenser microphones","Pezone, R. (TU Delft Electronic Components, Technology and Materials); Anzinger, Sebastian (Infineon Technologies AG); Baglioni, G. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Wasisto, Hutomo Suryo (Infineon Technologies AG); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials); Steeneken, P.G. (TU Delft Dynamics of Micro and Nano Systems; TU Delft QN/Steeneken Lab; Kavli institute of nanoscience Delft); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials)","","2024","Since the performance of micro-electro-mechanical system (MEMS)-based microphones is approaching fundamental physical, design, and material limits, it has become challenging to improve them. Several works have demonstrated graphene’s suitability as a microphone diaphragm. The potential for achieving smaller, more sensitive, and scalable on-chip MEMS microphones is yet to be determined. To address large graphene sizes, graphene-polymer heterostructures have been proposed, but they compromise performance due to added polymer mass and stiffness. This work demonstrates the first wafer-scale integrated MEMS condenser microphones with diameters of 2R = 220–320 μm, thickness of 7 nm multi-layer graphene, that is suspended over a back-plate with a residual gap of 5 μm. The microphones are manufactured with MEMS compatible wafer-scale technologies without any transfer steps or polymer layers that are more prone to contaminate and wrinkle the graphene. Different designs, all electrically integrated are fabricated and characterized allowing us to study the effects of the introduction of a back-plate for capacitive read-out. The devices show high mechanical compliances Cm = 0.081–1.07 μmPa−1 (10–100 × higher than the silicon reported in the state-of-the-art diaphragms) and pull-in voltages in the range of 2–9.5 V. In addition, to validate the proof of concept, we have electrically characterized the graphene microphone when subjected to sound actuation. An estimated sensitivity of S1kHz = 24.3–321 mV Pa−1 for a Vbias = 1.5 V was determined, which is 1.9–25.5 × higher than of state-of-the-art microphone devices while having a ~9 × smaller area. (Figure presented.).","","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:cb5f32ea-6f50-4764-b343-c150aa9a487d","http://resolver.tudelft.nl/uuid:cb5f32ea-6f50-4764-b343-c150aa9a487d","A History Matching Study for the FluidFlower Benchmark Project","Tian, X. (TU Delft Reservoir Engineering; Chinese Academy of Sciences); Wapperom, M.B. (TU Delft Reservoir Engineering); Gunning, James (CSIRO Energy); Jackson, Samuel (CSIRO Energy); Wilkins, Andy (CSIRO Mineral Resources); Green, Chris (CSIRO Energy); Ennis-King, Jonathan (CSIRO Energy); Voskov, D.V. (TU Delft Reservoir Engineering; Stanford University)","","2024","In this study, we conduct a comprehensive history matching study for the FluidFlower benchmark model. This benchmark was prepared and organized by the University of Bergen, the University of Stuttgart, and Massachusetts Institute of Technology, for promoting understanding of the complex physics of geological carbon storage (GCS) through in-house experiments and numerical simulations. This paper synthesizes the experiences of history matching the benchmark data encountered by the Delft-DARTS and CSIRO participants. History matching is first performed based on a low-dimensional-zonated structured model using a simple Poisson-like solver. The permeability of six facies and two faults is inferred in this stage to match the digitized concentration data. The history matching is then further enhanced to richer spatial and physical models to capture the spatial variation of permeability and buoyancy effects, using an unstructured grid. Efficient adjoint methods are used to evaluate the gradient used in the optimization of data misfits or equivalent Bayesian log-likelihoods. With efficient optimization methods available for both maximum a posteriori model inference and Randomized Maximum Likelihood methods for model uncertainty, we perform history matching using both binary and continuous concentration observations. The results show that the tracer plumes in the enriched model match the experimental plumes more accurately compared with the results from the parsimonious-zonated model. The history matching results based on the concentration observations provide more similar plume shapes compared with the case based on the binary observations. The permeability difference between the model before and after history matching reveals that the tracer plume zone and the high permeable zone are the regions of high sensitivity in terms of data misfit between the model response and observations. Surprisingly, CO 2 concentration plume forecasts based on these history-matched models were not especially sensitive to the improvements observed in the enhanced model.","Adjoint gradients; CCS; DARTS; FluidFlower; History matching","en","journal article","","","","","","DOI 10.1007/s11242-024-02065-0 correction: In the original published article, one of the affliation details for corresponding author ""Denis Voskov"" were incorrectly given as ""Department of Energy Resources Engineering, Stanford University, CA, USA"" but should have been ""Department of Energy Science and Engineering, Stanford University, CA, USA. It is updated in the correction","","","","","Reservoir Engineering","","",""
"uuid:7283ac18-a8fa-4a90-966b-30999a3ee918","http://resolver.tudelft.nl/uuid:7283ac18-a8fa-4a90-966b-30999a3ee918","Risk assessment methods for process safety, process security and resilience in the chemical process industry: A thorough literature review","Bin Ab Rahim, M.S. (TU Delft Safety and Security Science; Ministry of Human Resources); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven); Yang, M. (TU Delft Safety and Security Science; Universiti Teknologi Malaysia; University of Tasmania); Bajpai, Shailendra (Dr B.R. Ambedkar National Institute of Technology)","","2024","This paper presents a systematic literature review of risk assessment methods in the chemical process industry (CPI), focusing on process safety, process security, and resilience. We analyzed peer-reviewed articles from 2000 to 2022 using the PRISMA methodology and identified twelve predominant methods. Our findings reveal a shift towards dynamic, systemic-based assessments like the Functional Resonance Analysis Method (FRAM) and System-Theoretic Accident Model and Processes (STAMP). These methods are particularly effective at capturing the complexities of sociotechnical systems in the CPI. However, a significant observation from our review is the limited emphasis on the resilience paradigm within many existing methods when addressing both process safety and process security risks, which is crucial for preventing and recovering from disruptions. Given the evolving challenges in system safety and security threats, there is an urgent need for holistic methods that integrate process safety, process security, and resilience. Our review highlights the opportunity for further research to better prepare the industry for future challenges, ensuring safer, more secure, reliable, and resilient operations.","Chemical process industry; Process safety; Process security; Resilience; Risk assessment; Sociotechnical systems","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:f732eb66-a151-4634-b1c6-78672b5fdbec","http://resolver.tudelft.nl/uuid:f732eb66-a151-4634-b1c6-78672b5fdbec","A risk-based fuzzy arithmetic model to determine safety integrity levels considering individual and societal risks","Cheraghi, Morteza (Toronto Metropolitan University); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Katholieke Universiteit Leuven; Universiteit Antwerpen); Eslami Baladeh, Aliakbar (Toronto Metropolitan University); Khakzad, Nima (Toronto Metropolitan University); Taghipour, Sharareh (Toronto Metropolitan University)","","2024","Risk-based techniques such as risk graph and Layer of Protection Analysis (LOPA) are used to determine the Safety Integrity Level (SIL) of safety instrumented functions to ensure that risk is reduced to a tolerable level. However, these techniques have some drawbacks. For instance, they need absolute and precise numbers to evaluate SIL parameters, which are rarely available or are highly uncertain. In addition, they are incapable of considering individual and societal risks simultaneously. Moreover, risk tolerance criteria are likely to be used incorrectly in the LOPA technique, and risk graph is difficult to calibrate. In the current paper, a novel comprehensive fuzzy arithmetic model has been developed to determine the required SILs in process industries. The fuzzy required Risk Reduction Factor (RRF) is calculated for both individual and societal risks. Fuzzy numbers are developed from crisp intervals, based on the expected interval of the fuzzy numbers. Expert fuzzy-scaled elicitation has been applied to obtain the SIL parameters. In the proposed model, the overall risk tolerance criterion and apportionment factor are defined as SIL parameters for both individual and societal risks to ensure that the applied risk criteria are compliant with the requirements of the system. In addition, an approach is introduced for determining the required SIL based on the fuzzy required RRF. The proposed methodology was demonstrated to alleviate the limitations, and thus, can be considered as a more precise alternative to the conventional methods.","fuzzy; individual risk; safety instrumented system; safety integrity level; societal risk","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-13","","","Safety and Security Science","","",""
"uuid:96fc37eb-854e-4427-870a-86ef71575f64","http://resolver.tudelft.nl/uuid:96fc37eb-854e-4427-870a-86ef71575f64","Structural biology of microbial gas vesicles: historical milestones and current knowledge","Huber, S. (TU Delft BN/Arjen Jakobi Lab); Jakobi, A. (TU Delft BN/Arjen Jakobi Lab)","","2024","Gas vesicles mediate buoyancy-based motility in aquatic bacteria and archaea and are the only protein-based structures known to enclose a gas-filled volume. Their unique physicochemical properties and ingenious architecture rank them among the most intriguing macromolecular assemblies characterised to date. This review covers the 60-year journey in quest for a high-resolution structural model of gas vesicles, first highlighting significant strides made in establishing the detailed ultrastructure of gas vesicles through transmission electron microscopy, X-ray fibre diffraction, atomic force microscopy, and NMR spectroscopy. We then survey the recent progress in cryogenic electron microscopy studies of gas vesicles, which eventually led to a comprehensive atomic model of the mature assembly. Synthesising insight from these structures, we examine possible mechanisms of gas vesicle biogenesis and growth, presenting a testable model to guide future experimental work. We conclude by discussing future directions in the structural biology of gas vesicles, particularly considering advancements in AI-driven structure prediction.","","en","review","","","","","","","","","","","BN/Arjen Jakobi Lab","","",""
"uuid:5152d5f1-2d65-43df-9b95-d17426342722","http://resolver.tudelft.nl/uuid:5152d5f1-2d65-43df-9b95-d17426342722","Challenges in advancing our understanding of atomic-like quantum systems: Theory and experiment","Gali, Adam (Wigner Research Centre for Physics; Budapest University of Technology and Economics); Schleife, André (University of Illinois at Urbana-Champaign); Heinrich, Andreas J. (Institute for Basic Science (IBS); Ewha Womans University); Laucht, Arne (University of New South Wales); Schuler, Bruno (Swiss Federal Laboratories for Materials Science and Technology (Empa); Wigner Research Centre for Physics); Chakraborty, Chitraleema (University of Delaware; Wigner Research Centre for Physics); Anderson, Christopher P. (Wigner Research Centre for Physics); Déprez, C.C. (TU Delft QCD/Veldhorst Lab; Kavli institute of nanoscience Delft; Wigner Research Centre for Physics); McCallum, Jeffrey (Wigner Research Centre for Physics)","","2024","Abstract: Quantum information processing and quantum sensing is a central topic for researchers who are part of the Materials Research Society and the Quantum Staging Group is providing leadership and guidance in this context. We convened a workshop before the 2022 MRS Spring Meeting and covered four topics to explore challenges that need to be addressed to further promote and accelerate the development of materials with applications in quantum technologies. This article captures the discussions at this workshop and refers to the pertinent literature. Graphical abstract: (Figure presented.).","Defect qubits; Donor and acceptors; Quantum dots; Quantum emitters; Quantum materials","en","review","","","","","","","","","","","QCD/Veldhorst Lab","","",""
"uuid:feaeb0ba-a52b-4bb2-b564-5005b38884ff","http://resolver.tudelft.nl/uuid:feaeb0ba-a52b-4bb2-b564-5005b38884ff","Why Shot Noise Does Not Generally Detect Pairing in Mesoscopic Superconducting Tunnel Junctions","Niu, Jiasen (Universiteit Leiden); Bastiaans, K.M. (TU Delft QN/Otte Lab; Kavli institute of nanoscience Delft); Ge, Jian Feng (Universiteit Leiden); Tomar, Ruchi (Tata Institute of Fundamental Research); Jesudasan, John (Tata Institute of Fundamental Research); Raychaudhuri, Pratap (Tata Institute of Fundamental Research); Karrer, Max (Eberhard Karls Universität Tübingen); Driessen, Eduard F.C. (Université Grenoble Alpes); Blanter, Y.M. (TU Delft QN/Blanter Group; Kavli institute of nanoscience Delft)","","2024","The shot noise in tunneling experiments reflects the Poissonian nature of the tunneling process. The shot-noise power is proportional to both the magnitude of the current and the effective charge of the carrier. Shot-noise spectroscopy thus enables us, in principle, to determine the effective charge q of the charge carriers of that tunnel. This can be used to detect electron pairing in superconductors: In the normal state, the noise corresponds to single electron tunneling (q=1e), while in the paired state, the noise corresponds to q=2e. Here, we use a newly developed amplifier to reveal that in typical mesoscopic superconducting junctions, the shot noise does not reflect the signatures of pairing and instead stays at a level corresponding to q=1e. We show that transparency can control the shot noise, and this q=1e is due to the large number of tunneling channels with each having very low transparency. Our results indicate that in typical mesoscopic superconducting junctions, one should expect q=1e noise and lead to design guidelines for junctions that allow the detection of electron pairing.","","en","journal article","","","","","","","","","","","QN/Otte Lab","","",""
"uuid:dadafd2e-823d-453b-8542-a50af6923346","http://resolver.tudelft.nl/uuid:dadafd2e-823d-453b-8542-a50af6923346","Mimosa: Modular Self-folding Hinges Kit for Creating Shape-changing Objects","Liu, Q. (TU Delft Emerging Materials); Ghodrat, S. (TU Delft Emerging Materials); Jansen, K.M.B. (TU Delft Emerging Materials)","","2024","We developed a shape-changing constructive kit, named Mimosa1. A key component of the toolkit is the modular hinges, each of which is equipped with two antagonistic shape memory alloy (SMA) wires. One wire deforms the hinge to approach its predetermined angle at high temperature, and another wire drives the hinge back when it cools down. Hinge leaves are available in different materials including acrylic, cardboard and textile, which increases the versatility of the toolkit. Every hinge weighs 2.1-5.4 g, and generates up to 5.7 N actuation force. A Bluetooth control module was developed, enabling remote control of the shape-changing objects. Mimosa aims to inspire designers to explore and create interactive shape-morphing objects with SMAs. A few examples are given such as a gripper, a rolling robot, a butterfly, an airplane and a self-closing pocket. A workshop study with 6 participants showed that Mimosa indeed motivated and inspired the participants to create new ideas.","Crafting; Shape Memory Alloy; Shape-Changing Interfaces; Smart Hinge; Tangible User Interfaces; Toolkit","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Emerging Materials","","",""
"uuid:db27eb09-d289-4126-b88f-7c510108ae92","http://resolver.tudelft.nl/uuid:db27eb09-d289-4126-b88f-7c510108ae92","Social acceptance of district heating: evidence from the Netherlands","Onencan, A. M. (Erasmus Universiteit Rotterdam); Ou, J. (Universiteit Utrecht); de Koning, J.I.J.C. (TU Delft Design for Sustainability)","","2024","The Netherlands Climate Change Agreement aims to reduce CO2 emissions and seismic events by halting natural gas usage by 2050. This will require widespread societal acceptance by 90% of households. The study investigates the social acceptance of a district heating network (DHN) among social housing tenants in Haarlem, Netherlands. The findings of a survey administered to ninety-five tenants revealed a substantial level of support for the DHN project. A significant portion of respondents, 75%, expressed their approval for the DHN, surpassing the legally required threshold of 70% for implementing building retrofits. Findings imply that although the participants possess an adequate comprehension of the rationale for energy transition, their familiarity with the precise particulars and practical information pertaining to the proposed transition to DHN is inadequate. The level of trust in housing corporations, energy providers, and the municipality is uniformly low, indicating a lack of institutional trust. Generally, the interpersonal trust among tenants tends to be lower than their trust in the broader public, which in turn restricts their capacity for self-organization and exercising influence over energy institutions. Although DHNs are typically regarded as environmentally friendly and secure, there are several challenges that need to be addressed, including the uncertainty about who will cover the costs of transitioning and the doubts surrounding DHN feasibility (warmth and reliability). We suggest implementing interventions to improve tenants' comprehension of the DHN project's particulars (capability), provide practical information regarding costs and feasibility (motivation), and foster trust at both interpersonal and institutional levels (opportunity).","COM-B model; Energy transition; Institutional change; Social acceptance; Sustainable behaviour; Systemic change","en","journal article","","","","","","","","","","","Design for Sustainability","","",""
"uuid:c7b3ba18-62ce-43d2-ae95-ae03f72c349e","http://resolver.tudelft.nl/uuid:c7b3ba18-62ce-43d2-ae95-ae03f72c349e","Assessing the Impact of Metrics on the Choice of Prognostic Methodologies","Bieber, M.T. (TU Delft Air Transport & Operations); Verhagen, W.J.C. (Royal Melbourne Institute of Technology University); Santos, Bruno F. (TU Delft Air Transport & Operations)","","2024","Over the past years, advanced prognostic models and approaches have been developed. Most existing approaches are tailored to one specific system and cannot adaptively be used on different systems. This can lead to years of research and expertise being put into implementing prognostic models without the capacity to predict system failures, either because of a lack of data or data quality or because failure behavior cannot be captured by data-driven models. In addition, prognostic models are often evaluated using metrics only related to the correctness of predictions, preventing meaningful evaluation of operational performance. This paper makes use of a framework that can automatically choose prognostic settings based on specific system data. It simultaneously optimizes the choice of methodologies using metrics that capture multiple aspects of prediction quality. We apply this framework to both a simulated data set and a real aircraft data set to characterize the impact of metrics on the choice of prognostic methodologies. The results show that the choice of optimization metric greatly impacts the output of the generic prognostic framework and the overall performance. In addition, a definition for data suitability is provided and assessed on the aircraft system data sets.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-09","","","Air Transport & Operations","","",""
"uuid:7664ea4f-f8c6-4bd3-9087-161e2eb41aa3","http://resolver.tudelft.nl/uuid:7664ea4f-f8c6-4bd3-9087-161e2eb41aa3","A 1-dimensional-two-layer transient drift-flux model for hydraulic transport pipelines: modelling and experiments of bed layer erosion and density wave amplification","de Hoog, E. (TU Delft Offshore and Dredging Engineering; Royal IHC); van der Voort, Tjalie (Royal IHC); Talmon, A.M. (TU Delft Offshore and Dredging Engineering; Deltares); van Rhee, C. (TU Delft Offshore and Dredging Engineering)","","2024","Hydraulic transport pipelines in the dredging, mining and deep sea mining are designed using steady-state methods. However, these methods cannot predict density wave formation. Density waves form a risk for pipeline blockages, therefore there is a need to understand and preferably be able to model the process. The density waves studied in this research are caused by a stationary sediment deposit in the pipeline. This article explores the development of a new transient design model, based on 1-dimensional-two-layer Driftflux CFD. The two layers model the exchange of sediment between the turbulent suspension, and a stationary bed layer, and can therefore model density wave amplification. An empirical erosion-sedimentation closure relationship is applied to model the sediment exchange between the two layers, and is calibrated using experiments. The final model is also validated against a second experiment, specifically for density wave amplification. The experiments and the model show good agreement on the erosion of a stationary bed layer and the growth rate of a density wave and the amplitude of the density wave.","Deep sea mining; Dredging; Driftflux; Flow assurance; Hydraulic transport; Transients","en","journal article","","","","","","","","","","","Offshore and Dredging Engineering","","",""
"uuid:c4997b49-e52b-4d5c-a7be-c3a26d941b72","http://resolver.tudelft.nl/uuid:c4997b49-e52b-4d5c-a7be-c3a26d941b72","Analysis of the impact of traffic density on training of reinforcement learning based conflict resolution methods for drones","Groot, D.J. (TU Delft Control & Simulation); Ellerbroek, Joost (TU Delft Control & Simulation); Hoekstra, J.M. (TU Delft Control & Simulation)","","2024","Conventional Air Traffic Control is still predominantly being done by human Air Traffic Controllers, however, as the traffic density increases, the workload of the controllers increases as well. Especially for the area of unmanned aviation, driven by the rise in drones, having human controllers might become unfeasible. One of the methods that is currently being investigated for replacing the conflict resolution task of Air Traffic Control is Reinforcement Learning. As violation of the required separation margins, also called an intrusion, is an event of relatively low frequency, using Reinforcement Learning for this task comes with difficulties that can potentially be attributed to data imbalance. This paper artificially increased the traffic density during the training phase of the Reinforcement Learning method to investigate what the importance is of a balanced data set on the performance of the Reinforcement Learning method. It was found that as the traffic density increased, the Reinforcement Learning methods started to outperform the analytical methods. Beyond this it was found that methods trained at higher traffic densities, but tested at lower traffic densities, outperformed the methods trained at that specific density. This indicates that it might be better to always ensure that the training scenarios are more complex than anticipated during the execution phase, even if that results in unrealistic scenarios.","BlueSky simulator; Conflict resolution; Deep reinforcement learning; High-traffic density; Simulation; Soft actor critic; Unmanned traffic management","en","journal article","","","","","","","","","","","Control & Simulation","","",""
"uuid:98d99bc8-8a4b-4299-a103-0f455bd47c66","http://resolver.tudelft.nl/uuid:98d99bc8-8a4b-4299-a103-0f455bd47c66","Pulsed Operation of a Weakly-Dispersive, Leaky-Wave Antenna: A Causal Numerical Study","Gu, Junhong (Student TU Delft); Stumpf, Martin (Brno University of Technology; Luleå University of Technology); Neto, A. (TU Delft Tera-Hertz Sensing); Lager, I.E. (TU Delft Electrical Engineering Education)","","2024","A strictly causal numerical study of the pulsed operation of a weakly dispersive, leaky wave (LW) antenna is presented. The intricacies at the forefront of the electromagnetic (EM) field radiated from a gap-fed slot in a perfectly electrically conducting (PEC) sheet are evidenced for the first time. The radical effect of a free-space gap separating the PEC sheet from the dielectric half-space into which the slot radiates is demonstrated, thus providing time-domain (TD) arguments for the effectiveness of this essential element of leaky-lens antennas (LLAs). The response of the gapped structure to an excitation consisting of pulse trains is evaluated. The discussed results pave the way toward building a genuine TD counterpart of the LW radiation from gap-fed slots. Furthermore, they are conditional to understanding the transients occurring in between intervals when a steady-state, time-harmonic (TH) operation can be assumed, an extremely relevant ingredient to implementing highly complex modulations in carrier-based, wireless transfer.","Leaky wave antennas; numerical analysis; time-domain analysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-31","","","Tera-Hertz Sensing","","",""
"uuid:b8aaf6d0-516d-4480-aafc-84e171ed89c7","http://resolver.tudelft.nl/uuid:b8aaf6d0-516d-4480-aafc-84e171ed89c7","Meaningful human control and variable autonomy in human-robot teams for firefighting","Verhagen, R.S. (TU Delft Interactive Intelligence); Neerincx, M.A. (TU Delft Interactive Intelligence; TNO); Tielman, M.L. (TU Delft Interactive Intelligence)","","2024","Introduction: Humans and robots are increasingly collaborating on complex tasks such as firefighting. As robots are becoming more autonomous, collaboration in human-robot teams should be combined with meaningful human control. Variable autonomy approaches can ensure meaningful human control over robots by satisfying accountability, responsibility, and transparency. To verify whether variable autonomy approaches truly ensure meaningful human control, the concept should be operationalized to allow its measurement. So far, designers of variable autonomy approaches lack metrics to systematically address meaningful human control.
Methods: Therefore, this qualitative focus group (n = 5 experts) explored quantitative operationalizations of meaningful human control during dynamic task allocation using variable autonomy in human-robot teams for firefighting. This variable autonomy approach requires dynamic allocation of moral decisions to humans and non-moral decisions to robots, using robot identification of moral sensitivity. We analyzed the data of the focus group using reflexive thematic analysis.
Results: Results highlight the usefulness of quantifying the traceability requirement of meaningful human control, and how situation awareness and performance can be used to objectively measure aspects of the traceability requirement. Moreover, results emphasize that team and robot outcomes can be used to verify meaningful human control but that identifying reasons underlying these outcomes determines the level of meaningful human control.
Discussion: Based on our results, we propose an evaluation method that can verify if dynamic task allocation using variable autonomy in human-robot teams for firefighting ensures meaningful human control over the robot. This method involves subjectively and objectively quantifying traceability using human responses during and after simulations of the collaboration. In addition, the method involves semi-structured interviews after the simulation to identify reasons underlying outcomes and suggestions to improve the variable autonomy approach.","","en","journal article","","","","","","","","","","","Interactive Intelligence","","",""
"uuid:d3295532-eeaf-4c79-b5b6-70011f4253a3","http://resolver.tudelft.nl/uuid:d3295532-eeaf-4c79-b5b6-70011f4253a3","In vitro co-culture models for the assessment of orthopedic antibacterial biomaterials","Eijkel, B.I.M. (TU Delft Biomaterials & Tissue Biomechanics); Apachitei, I. (TU Delft Biomaterials & Tissue Biomechanics); Fratila-Apachitei, E.L. (TU Delft Biomaterials & Tissue Biomechanics); Zadpoor, A.A. (TU Delft Biomaterials & Tissue Biomechanics)","","2024","The antibacterial biofunctionality of bone implants is essential for the prevention and treatment of implant-associated infections (IAI). In vitro co-culture models are utilized to assess this and study bacteria-host cell interactions at the implant interface, aiding our understanding of biomaterial and the immune response against IAI without impeding the peri-implant bone tissue regeneration. This paper reviews existing co-culture models together with their characteristics, results, and clinical relevance. A total of 36 studies were found involving in vitro co-culture models between bacteria and osteogenic or immune cells at the interface with orthopedic antibacterial biomaterials. Most studies (∼67%) involved co-culture models of osteogenic cells and bacteria (osteo-bac), while 33% were co-culture models of immune cells and bacterial cells (im-bac). All models involve direct co-culture of two different cell types. The cell seeding sequence (simultaneous, bacteria-first, and cell-first) was used to mimic clinically relevant conditions and showed the greatest effect on the outcome for both types of co-culture models. The im-bac models are considered more relevant for early peri-implant infections, whereas the osteo-bac models suit late infections. The limitations of the current models and future directions to develop more relevant co-culture models to address specific research questions are also discussed.","implant-associated infections; antibacterial biomaterials; in vitro co-culture models; osteogenic cells; immune cells","en","review","","","","","","","","","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:19b80c41-ef6f-42c0-9a81-2c600c9dd53f","http://resolver.tudelft.nl/uuid:19b80c41-ef6f-42c0-9a81-2c600c9dd53f","Large deviations for Markov processes with switching and homogenisation via Hamilton–Jacobi–Bellman equations","Della Corte, S. (TU Delft Applied Probability); Kraaij, R.C. (TU Delft Applied Probability)","","2024","We consider the context of molecular motors modelled by a diffusion process driven by the gradient of a weakly periodic potential that depends on an internal degree of freedom. The switch of the internal state, that can freely be interpreted as a molecular switch, is modelled as a Markov jump process that depends on the location of the motor. Rescaling space and time, the limit of the trajectory of the diffusion process homogenises over the periodic potential as well as over the internal degree of freedom. Around the homogenised limit, we prove the large deviation principle of trajectories with a method developed by Feng and Kurtz based on the analysis of an associated Hamilton–Jacobi–Bellman equation with an Hamiltonian that here, as an innovative fact, depends on both position and momenta.","Large deviations; Switching Markov process; Hamilton–Jacobi equation; Viscosity solutions; Comparison principle","en","journal article","","","","","","","","","","","Applied Probability","","",""
"uuid:2b4273b0-0729-4473-af1e-5c2e87679ee3","http://resolver.tudelft.nl/uuid:2b4273b0-0729-4473-af1e-5c2e87679ee3","RAP pre-treatment for fast cold in-place recycling","Lin, P. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Ren, S. (TU Delft Pavement Engineering); Li, Yi (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Welvaarts, B. (De Jong Zuurmond); Brouns, K. (De Jong Zuurmond)","Zhang, Yuqing (editor); Airey, Gordon (editor); Rahman, Mujib (editor); Wang, Haopeng (editor)","2024","Developed by Delft University of Technology, the tri-component polyurethane modified cold binder (PMCB) displays impressive durability and strength in asphalt mixtures, showing promise as a reliable binder for cold in-place recycling. However, when applying PMCB for rapid, in-situ recycling, the presence of moisture in reclaimed asphalt pavement (RAP) poses a significant challenge. To address this, an innovative approach involving treatment of the wet RAP with Calcium dioxide (CaO) prior to the integration of PMCB was tested. Evaluation methods used included the Indirect Tensile Test (ITT), followed by the calculation of the Indirect Tensile Strength Ratio (ITSR) to assess moisture susceptibility. Furthermore, Cantabro tests were performed to determine the material loss under abrasion and weathering conditions. These assessments underscored the feasibility of this approach. The treatment of wet RAP with CaO has proven a viable strategy for rapid in-situ recycling with PMCB, contributing to sustainable pavement construction. In addition, the research identified that a 5.5% concentration of the PMCB binder maximizes structural integrity and performance in the considered RAP.","","en","conference paper","CRC Press / Balkema - Taylor & Francis Group","","","","","","","2024-06-01","","","Pavement Engineering","","",""
"uuid:1349f6ae-1f9e-49ca-8850-4c50a0ba96d7","http://resolver.tudelft.nl/uuid:1349f6ae-1f9e-49ca-8850-4c50a0ba96d7","Exceptional mechanical performance by spatial printing with continuous fiber: Curved slicing, toolpath generation and physical verification","Fang, G. (TU Delft Emerging Materials; The University of Manchester); Zhang, Tianyu (The University of Manchester); Huang, Yuming (The University of Manchester); Zhang, Zhizhou (The University of Manchester); Masania, K. (TU Delft Group Masania); Wang, C.C. (TU Delft Emerging Materials; The University of Manchester)","","2024","This work explores a spatial printing method to fabricate continuous fiber-reinforced thermoplastic composites (CFRTPCs), which can achieve exceptional mechanical performance. For models giving complex 3D stress distribution under loads, typical planar-layer based fiber placement usually fails to provide sufficient reinforcement due to their orientations being constrained to planes. The effectiveness of fiber reinforcement could be maximized by using multi-axis additive manufacturing (MAAM) to better control the orientation of continuous fibers in 3D-printed composites. Here, we propose a computational approach to generate 3D toolpaths that satisfy two major reinforcement objectives: (1) following the maximal stress directions in critical regions and (2) connecting multiple load-bearing regions by continuous fibers. Principal stress lines are first extracted in an input solid model to identify critical regions. Curved layers aligned with maximal stresses in these critical regions are generated by computing an optimized scalar field and extracting its iso-surfaces. Then, topological analysis and operations are applied to each curved layer to generate a computational domain that preserves fiber continuity between load-bearing regions. Lastly, continuous fiber toolpaths aligned with maximal stresses are generated on each surface layer by computing an optimized scalar field and extracting its iso-curves. A hardware system with dual robotic arms is employed to conduct the physical MAAM tasks depositing polymer or fiber reinforced polymer composite materials by applying a force normal to the extrusion plane to aid consolidation. When comparing to planar-layer based printing results in tension, up to 644% failure load and 240% stiffness are observed on shapes fabricated by our spatial printing method. We demonstrate the versatility of our approach through various complex load cases which demonstrate their successful implementation of continuous fiber printing in 3D.","Continuous fiber reinforced thermoplastic composites; Multi-axis additive manufacturing; Toolpath generation","en","journal article","","","","","","","","","","","Emerging Materials","","",""
"uuid:c45d72e9-aab1-4ad4-b15a-52101b6cc695","http://resolver.tudelft.nl/uuid:c45d72e9-aab1-4ad4-b15a-52101b6cc695","Photoluminescence of combinatorically sputtered Al2O3–Y2O3 thin films with a Cr3+ and Nd3+ co-doping concentration gradient","Derksen, M. (TU Delft RST/Luminescence Materials); Bizinoto Ferreira Bosco, G. (Fotonic); Muller, Timo (Student TU Delft); van der Kolk, E. (TU Delft RST/Luminescence Materials)","","2024","The characterization of a wide range of luminescent thin films can be a long and tedious endeavor. With reactive combinatorial sputtering of multiple metal targets, it possible to fabricate thin films with a gradient in composition simply by not rotating the substrate. In this work, combinatorically sputtered thin films of Cr3+ and Nd3+ doped in the Al2O3–Y2O3 system (YAlO) are studied for thin film based luminescent solar concentrators (TFLSCs) application. Contrary to mm's thick plate type LSC's, TFLSCs of just several 100 nm thick require much higher Cr3+ concentration to achieve 40% absorption which can enable several 10's of W/m2 LSC power efficiencies. Our transmission measurements on a Cr2O3 film with a thickness gradient result in an absorption cross section at 460 nm of 1.3 ± 0.7 × 10−19 cm2 showing that the TFLSC absorption requirement can be fulfilled provided that the Cr3+ concentration is in the order of 1022 ions/cm3. The Y:Al ratio of the YAlO host in our films ranged between 0.5 and 3.5, thereby including the monoclinic (Y4Al2O9), perovskite (YAlO3) and garnet (Y3Al5O12) stoichiometry's on a single film. Position dependent XRD, EDX, excitation, emission and lifetime measurements of Cr3+ and Nd3+ show that the unique gradient film sputtering method is able to characterize thin films as a function of host composition and doping concentration. Energy transfer between Cr3+ and Nd3+ in co-doped YAlO films is concluded from Cr3+ excitation bands observed while monitoring Nd3+ emission and from the matching of the rise-time of Nd3+ 1340 nm emission (4F3/2 -> 4I11/2) and the decay time of Cr3+ 840 nm emission (4T2 -> 4A2). Nd3+ lifetime systematically decreases from 0.24 to 0.05 ms with increasing Cr3+ concentration in Y3Al5-xCrxO12:Nd (0.05 < x < 2). The constraints of heavily doped Cr3+ thin films for enabling adequate absorption and energy transfer to Nd3+ in TFLSC applications are the subjects of the discussion.","Al2O3–Y2O3; Chromium; Energy transfer; Luminescent solar concentrator; Neodymium; Reactive magnetron sputtering; Thin films; YAG, YAP, YAM","en","journal article","","","","","","","","","","","RST/Luminescence Materials","","",""
"uuid:c0b6b99a-971f-4dfb-b13c-238e327ae09b","http://resolver.tudelft.nl/uuid:c0b6b99a-971f-4dfb-b13c-238e327ae09b","An alternative process-based approach to predicting the response of water-saturated porous media to harmonic hydrodynamic loads","van Damme, M. (TU Delft Numerical Analysis); den Ouden-van der Horst, D. (TU Delft Numerical Analysis)","","2024","Methods have been developed to predict how hydrodynamic loads acting on nearly saturated porous media are transmitted to the subsoil. In line with the effective stress principle of Terzaghi, these methods apply the boundary conditions that the effective stresses at the surface of a porous medium are zero, and that the pore water pressures carry the full load. Here, a new approach is presented which is based on defining a stress and a stress gradient as boundary conditions. The stress gradient follows from the momentum balance equation, thereby assuring that the solution abides by d'Alembert's principle of minimization of virtual work. The corresponding solution is in full accordance with the volume and momentum balance equations of the linear elastic soil matrix and the volume and momentum balance equations of the pore water across the computational domain. The new method is thereby able to correctly reproduce measurements of pore pressure changes due to hydrodynamic loads under the assumption of a porous medium consisting of incompressible particles and pore water which could either be compressible or incompressible. The advantage of the proposed method is that it requires one less boundary condition at the surface of the porous medium. The method is therefore able to predict the magnitude of the effective stresses on a soil surface. Due to the ability to retain the assumption of incompressible water, the method has also become independent on a calibration parameter. The results of the method induce questions with respect to the validity of Terzaghi's principle of effective stress at the boundary when porous media are subjected to hydrodynamic loads.","elastic; harmonic; liquefaction; momentum; porous; pulling force; saturated; waves","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-01","","","Numerical Analysis","","",""
"uuid:2a089659-6695-49b2-894e-3647f95f79fa","http://resolver.tudelft.nl/uuid:2a089659-6695-49b2-894e-3647f95f79fa","Particle filter-based fatigue damage prognosis by fusing multiple degradation models","Li, Tianzhi (Politecnico di Milano); Chen, Jian (Nanjing University of Aeronautics and Astronautics); Yuan, Shenfang (Nanjing University of Aeronautics and Astronautics); Zarouchas, D. (TU Delft Group Zarouchas); Sbarufatti, Claudio (Politecnico di Milano); Cadini, Francesco (Politecnico di Milano)","","2024","Fatigue damage prognosis always requires a degradation model describing the damage evolution with time; thus, the prognostic performance highly depends on the selection of such a model. The best model should probably be case specific, calling for the fusion of multiple degradation models for a robust prognosis. In this context, this paper proposes a scheme of online fusing multiple models in a particle filter (PF)-based damage prognosis framework. First, each prognostic model has its process equation built through a physics-based or data-driven degradation model and has its measurement equation linking the damage state and the measurement. Second, each model is independently processed through one PF to provide one group of particles. Then, the particles from all models are adopted for remaining useful life prediction. Finally, the particles from each PF are fused with those from all the other PFs to improve their particle diversity, and consequently, to provide better estimation and prognostic performance. The feasibility and robustness of the proposed method are validated by an experimental study, where an aluminum lug structure subject to fatigue crack growth is monitored by a guided wave measurement system.","damage prognosis; degradation model; fusion; Lamb waves; particle diversity; particle filter; Structural health monitoring","en","journal article","","","","","","","","","","","Group Zarouchas","","",""
"uuid:c15129c1-4e9e-4a0a-9932-ea913082d16d","http://resolver.tudelft.nl/uuid:c15129c1-4e9e-4a0a-9932-ea913082d16d","CRISPR-controlled proteases","van Beljouw, S.P.B. (TU Delft BN/Stan Brouns Lab; Kavli institute of nanoscience Delft); Brouns, S.J.J. (TU Delft BN/Stan Brouns Lab; Kavli institute of nanoscience Delft)","","2024","With the discovery of CRISPR-controlled proteases, CRISPR–Cas has moved beyond mere nucleic acid targeting into the territory of targeted protein cleavage. Here, we review the understanding of Craspase, the best-studied member of the growing CRISPR RNA-guided protease family. We recollect the original bioinformatic prediction and early experimental characterizations; evaluate some of the mechanistic structural intricacies and emerging biotechnology; discuss open questions and unexplained mysteries; and indicate future directions for the rapidly moving field of the CRISPR proteases.","","en","review","","","","","","","","2024-08-09","","","BN/Stan Brouns Lab","","",""
"uuid:6daa339a-7531-4491-9d2d-ffa0073092ea","http://resolver.tudelft.nl/uuid:6daa339a-7531-4491-9d2d-ffa0073092ea","A New High Step-Up SC-Based Grid-Tied Inverter With Limited Charging Spike for RES Applications","Marangalu, Milad Ghavipanjeh (University of Tabriz); Kurdkandi, Naser Vosoughi (San Diego State University); Monfared, Kourosh Khalaj (University of Tehran); Talebian, Iman (University of Tabriz); Neyshabouri, Yousef (Urmia University); Vahedi, H (TU Delft DC systems, Energy conversion & Storage)","","2024","Switched capacitor multilevel inverter topologies are attractive among industrial power electronics researchers due to their applicability in sustainable energy systems such as renewable energy source (RES) applications. In this paper, a new switched capacitor (SC)-based grid-tied seven-level inverter is proposed for renewable energy sources (RES) applications. The proposed inverter can generate a seven-level output voltage waveform with voltage boosting ability and a gain factor of 3. Also, the proposed topology can provide the self voltage balancing for capacitors. The most important challenge of the SC-based topologies, i.e., the capacitor charging spike current, is solved by applying a soft charging circuit in the charging loop of the capacitors. The soft charging circuit consists of an inductor and a power diode in the capacitor charging path. Using a small size inductor in the soft charging circuit, the proposed inverter can limit the input current spikes. Comprehensive experiment results and comparisons are presented to verify the accurate performance of the proposed inverter.","Capacitors; Inverters; Voltage; Topology; Switches; Inductors; Boosting; Switched-capacitor inverters; grid-tied inverter; transformer-less inverter; voltage-boosting feature; soft charging; limitation of capacitor charging current spike","en","journal article","","","","","","","","","","","DC systems, Energy conversion & Storage","","",""
"uuid:32e27cc1-23d2-4360-8e35-7fdeb58f315d","http://resolver.tudelft.nl/uuid:32e27cc1-23d2-4360-8e35-7fdeb58f315d","Ultrasmall Gold Nanoparticles Radiolabeled with Iodine-125 as Potential New Radiopharmaceutical","Wang, R. (TU Delft RST/Applied Radiation & Isotopes); Liu, Huanhuan (Zhengzhou University); Antal, Bas (Student TU Delft); Wolterbeek, H.T. (TU Delft Reactor Institute Delft); Denkova, A.G. (TU Delft RST/Applied Radiation & Isotopes)","","2024","The relatively high linear energy transfer of Auger electrons, which can cause clustered DNA damage and hence efficient cell death, makes Auger emitters excellent candidates for attacking metastasized tumors. Moreover, gammas or positrons are usually emitted along with the Auger electrons, providing the possibility of theragnostic applications. Despite the promising properties of Auger electrons, only a few radiopharmaceuticals employing Auger emitters have been developed so far. This is most likely explained by the short ranges of these electrons, requiring the delivery of the Auger emitters to crucial cell parts such as the cell nucleus. In this work, we combined the Auger emitter 125I and ultrasmall gold nanoparticles to prepare a novel radiopharmaceutical. The 125I labeled gold nanoparticles were shown to accumulate at the cell nucleus, leading to a high tumor-killing efficiency in both 2D and 3D tumor cell models. The results from this work indicate that ultrasmall nanoparticles, which passively accumulate at the cell nucleus, have the potential to be applied in targeted radionuclide therapy. Even better tumor-killing efficiency can be expected if tumor-targeting moieties are conjugated to the nanoparticles.","Auger electron; Auger therapy; iodine-125; radionuclide therapy; ultrasmall gold nanoparticle","en","journal article","","","","","","","","","","","RST/Applied Radiation & Isotopes","","",""
"uuid:66eeabab-cfa4-48bf-98c5-a7bf6dc0021b","http://resolver.tudelft.nl/uuid:66eeabab-cfa4-48bf-98c5-a7bf6dc0021b","Hydrodynamically-Driven Deposition of Mud in River Systems","Dunne, K.B.J. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Nittrouer, J. A. (Texas Tech University); Abolfazli, E. (Virginia Tech College of Engineering); Osborn, R. (Virginia Tech College of Engineering); Strom, K. B. (Virginia Tech College of Engineering)","","2024","The riverine transport and deposition of mud is the primary agent of landscape construction and evolution in many fluvial and coastal environments. Previous efforts exploring this process have raised uncertainty regarding the effects of hydrodynamic and chemical controls on the transport and deposition of mud, and thus the constructions of muddy coastal and upstream environments. As such, direct measurements are necessary to constrain the deposition of mud by river systems. Here, we combine laboratory evidence and a field investigation in the Mississippi River delta to explore the controls on the riverine transport and deposition of mud. We show that the flocculation of mud, with floc diameters greater than 10 μm, in freshwater is a ubiquitous phenomenon, causing the sedimentation of mud to be driven by changes in local hydrodynamics, and thus providing an explanation for how river systems construct landscapes through the deposition of mud in both coastal and upstream environments.","flocs; mud; mud deposition; mud transport; sedimentation","en","journal article","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:4a0305a6-4b2f-41d9-981e-7aedf6c30b5d","http://resolver.tudelft.nl/uuid:4a0305a6-4b2f-41d9-981e-7aedf6c30b5d","Zn induced surface modification of stable goethite nanoparticles for improved regenerative phosphate adsorption","Belloni, C. (TU Delft RST/Fundamental Aspects of Materials and Energy; Wetsus, Centre for Sustainable Water Technology); Korving, L. (Wetsus, Centre for Sustainable Water Technology); Witkamp, G.J. (TU Delft BT/Environmental Biotechnology; King Abdullah University of Science and Technology); Brück, E.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); Dugulan, A.I. (TU Delft RST/Fundamental Aspects of Materials and Energy; TU Delft RID/TS/Instrumenten groep)","","2024","Iron oxide-based adsorbents showed potential to reach ultra-low phosphorus (P) concentrations to prevent eutrophication and recover P. High affinity, high capacity at low P concentrations (<1 mg L−1), good stability, and reusability of the adsorbent are key factors for economic viability. In this study, nanoparticles of goethite (α-FeOOH), a highly stable phase, have been synthesized with increasing Zn2+-doping, 0–20 %at. Zn/Fe, to manipulate the surface properties, following the results of a previous work. Mössbauer spectroscopy showed preserved goethite phase and increased point of zero charge (pzc) at low Zn-doping percentages, while at higher percentages (>5%at.) co-existing phases with increased specific surface area formed. Low concentrations (0.1–10 mg L−1) batch adsorption tests showed increased P removal per unit mass with increasing doping. However, the highest pzc, affinity and P removal per unit area were observed for the 5%at. doped sample, suggesting this dopant concentration to provide the most effective surface. A regeneration test, performed at a lower pH than usual, showed preserved, even improved P desorption with increasing doping. Mössbauer spectroscopy showed that the nanoparticle phase and composition, up to 5%at., doping was preserved throughout the process. These results are promising to develop a stable effective Zn-doped goethite-based adsorbent for P recovery at ultra-low concentrations.","Adsorption; Goethite; Iron oxide; Phosphate recovery; Regeneration; Zinc doping","en","journal article","","","","","","","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:c1639160-332a-4289-9f2d-2e6014a8ed57","http://resolver.tudelft.nl/uuid:c1639160-332a-4289-9f2d-2e6014a8ed57","Organizational learning from construction fatalities: Balancing juridical, ethical, and operational processes","van Marrewijk, A.H. (TU Delft Design & Construction Management; BI Norwegian Business School; Vrije Universiteit Amsterdam); van der Steen, Hans (Gebr. van der Steen)","","2024","Construction work is associated with high risks of fatalities. Effective, deep and lasting learning from incidents is important for the safety of employees, but not well developed in the construction sector. We studied the organizational processes after a fatality through an auto-ethnographic field work study and found three distinct, but interrelated processes to normalize construction work; juridical, ethical and operational processes. Balanced attention to all three processes supports an effective, deep and lasting learning from incidents. We contribute to the learning from incidents literature with the insight that balanced attention for all three processes helps to learn from incidents and to improve the safety of workers. Furthermore, second victims can be important for the learning of incidents process. Finally, the findings throw new light on inadequate supervision of safety procedures, as the temporary characteristics of projects forces workers to deviate from safety procedures.","Construction fatality; Learning from incidents; Organizational processes; Safety; Second victims","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:f3d61dd2-b59b-40e6-b1a4-592c0a2e18ce","http://resolver.tudelft.nl/uuid:f3d61dd2-b59b-40e6-b1a4-592c0a2e18ce","Predictive machine learning in earth pressure balanced tunnelling for main drive torque estimation of tunnel boring machines","Glab, K. (Herrenknecht AG; Ruhr-Universität Bochum); Wehrmeyer, G. (Herrenknecht AG); Thewes, M. (Ruhr-Universität Bochum); Broere, W. (TU Delft Geo-engineering)","","2024","Designing the main drive motor capacity of Earth Pressure Balanced Tunnel Boring Machines (EPB TBMs) is a crucial task for every EPB tunnelling project. The machine needs to be equipped with sufficient power to master the geotechnical conditions of the respective project. On the other hand, overpowering the machine should be avoided for economic and sustainability reasons. Main drive torque estimation for EPB TBMs is challenging due to a multitude of impact factors and reciprocal mechanisms between the geotechnical conditions and the tunnelling process. In EPB TBM tunnelling active tunnel face support is achieved in soft and mixed ground or weak and unstable rock by generating a pressurized earth paste in the tool gap and excavation chamber of the machine. Complexity arises due to tribological and rheological effects of the active tunnel face support. These elements of uncertainty, the expected main drive torque is frequently overestimated to prevent a jamming of the machine in the ground. Mean main drive torque values often lie below 50 % of the installed nominal main drive torque capacity. In scope of this research machine learning algorithms, such as regressions, decision trees, tree ensembles, support vector machines and gaussian process regressions, have been used to predict the main drive torque. Models have been trained and tested on data collected from 9 different reference projects and validated on the data of 3 additional reference projects to test the transferability of the model. TBM diameters of the reference projects vary between 6,5 and 15,9 m and TBMs have been operating in a wide range of geotechnical boundary conditions. Different feature selection algorithms have been used and prediction results have been compared to models trained on manually selected features. Models using tree ensembles and manually selected features showed best prediction results and model performance. The machine learning approach returned a smaller and more accurate torque estimation range than traditional estimation approaches and prediction accuracy has been improved. Transparent and robust tree ensembles proofed to be suitable tools for TBM torque estimation.","Data driven modelling; EPB tunnelling; Machine learning; Torque estimation","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:9fa09968-6b60-41d5-820e-7378758d0d47","http://resolver.tudelft.nl/uuid:9fa09968-6b60-41d5-820e-7378758d0d47","Effects of pore water-rock reaction on heat extraction from the karst geothermal reservoirs: Based on the dual media model","Ji, Jiayan (China University of Petroleum - Beijing); Song, Xianzhi (China University of Petroleum - Beijing); Yi, Junlin (China University of Petroleum - Beijing); Song, G. (TU Delft Applied Geology); Wang, Gaosheng (China University of Petroleum - Beijing)","","2024","Fractures and caves are the main flow and storage channels for the karst geothermal reservoirs, and the water-rock reaction within them significantly affects the thermal performance. Most previous studies concentrated on the fractures, disregarding the impact of the pore water-rock reaction. The objective of this study is to explore the importance of pore water-rock reactions and identify the influence of various parameters when considering pore and fracture water-rock reactions. A 3D thermal-hydraulic-chemical coupling model considering dual media of pores and fractures was developed. The importance of pore water-rock reactions is demonstrated, and quantitatively characterize the effect of injection temperature (Tin), injection rate (Qin), injection concentration (cin), and ratio of the reaction-specific surface area between pore and fracture (Ap/Af) on the thermal performance. Results indicate that the pore water-rock reaction drastically affects the hydraulic conductivity and pressure difference, even leading to an opposite trend. The influence of water-rock reaction in pores on fracture deformation is regulated by Ap/Af, which augments with Ap/Af. The relative contribution of Ap/Af to production temperature, net thermal power, pressure difference, and hydraulic conductivity are 12.8%, 4.1%, 6.8%, and 13.7%, respectively. This study provides a significant guide for accurate production prediction and exploitation of karst-based geothermal reservoirs.","Fracture aperture; Karst-based thermal reserve; Pore structure; Thermal performance; Water-rock reaction","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-08","","","Applied Geology","","",""
"uuid:0f41bf25-2bbd-4564-bab3-0f85f597e91c","http://resolver.tudelft.nl/uuid:0f41bf25-2bbd-4564-bab3-0f85f597e91c","Autonomous Sensor System for Low-Capacity Wind Turbine Blade Vibration Measurement","Muxica, Diego (Universidad de los Andes, Chile); Rivera, Sebastian (TU Delft DC systems, Energy conversion & Storage; Universidad Católica de la Santísima Concepción); Orchard, Marcos E. (Universidad de Chile); Ahumada, Constanza (Universidad de Chile); Jaramillo, Francisco (Universidad de Chile); Bravo, Felipe (Universidad de los Andes, Chile); Gutiérrez, José M. (Universidad de los Andes, Chile); Astroza, Rodrigo (Universidad de los Andes, Chile)","","2024","This paper presents the design, implementation, and validation of an on-blade sensor system for remote vibration measurement for low-capacity wind turbines. The autonomous sensor system was deployed on three wind turbines, with one of them operating in harsh weather conditions in the far south of Chile. The system recorded the acceleration response of the blades in the flapwise and edgewise directions, data that could be used for extracting the dynamic characteristics of the blades, information useful for damage diagnosis and prognosis. The proposed sensor system demonstrated reliable data acquisition and transmission from wind turbines in remote locations, proving the ability to create a fully autonomous system capable of recording data for monitoring and evaluating the state of health of wind turbine blades for extended periods without human intervention. The data collected by the sensor system presented in this study can serve as a foundation for developing vibration-based strategies for real-time structural health monitoring.","accelerometer-based sensor networks; condition monitoring; data acquisition; modal analysis; structural health monitoring; wind turbines","en","journal article","","","","","","","","","","","DC systems, Energy conversion & Storage","","",""
"uuid:1cd5fd2c-b660-489f-9df4-e9e98c7a1675","http://resolver.tudelft.nl/uuid:1cd5fd2c-b660-489f-9df4-e9e98c7a1675","Changing hearts instead of changing minds – another take on climate action","Pearce, B.J. (TU Delft Policy Analysis)","","2024","This piece elaborates on a ‘new way of thinking’ (Einstein, 1946) that would contribute to overcoming the challenge of climate change and its impacts. This ‘new way’ will have us go beyond using facts and figures alone to persuade and cajole. It will have us stretching our moral imagination (Johnson, 2016) and empathising with people very different from ourselves. It will have us investing in processes of exchange which support the co-creation of knowledge and the future we want together.","climate action; implementation gap; frames; self- and collective self-efficacy; transdisciplinary approaches","en","contribution to periodical","","","","","","","","","","","Policy Analysis","","",""
"uuid:f409c5af-0686-41e5-8cbe-fc9191e42367","http://resolver.tudelft.nl/uuid:f409c5af-0686-41e5-8cbe-fc9191e42367","Synergetic urbanism: A theoretical exploration of a vertical farm as local heat source and flexible electricity user","Blom, T. (TU Delft Environmental & Climate Design); Jenkins, Andrew (University of Salford); van den Dobbelsteen, A.A.J.F. (TU Delft Environmental & Climate Design)","","2024","The urban energy transition requires innovative heating and cooling systems, as well as enhanced flexibility in electricity usage. This paper explores the theoretical potential for vertical farms to contribute to the energy transition by supplying residual heat to local district heat networks and flexible electricity usage. A stepped approach was used to design energy systems that achieve thermal energy balance through heat and cold exchange between a vertical farm and buildings within a specific Dutch neighbourhood. Furthermore, alternative lighting strategies for vertical farms were explored to reduce grid congestion and to respond to electricity price fluctuations, limiting the mismatch between electricity generation and demand. Compared to the baseline scenario, the energy system with an integrated vertical farm reduces overall energy use by 15 %, even when accounting for the farm's electricity use. By adopting intermittent lighting that is better aligned with electricity price fluctuations, the vertical farm obtained annual cost savings of 14 %. The integration of vertical farms into energy systems can, therefore, contribute to the urban energy transition by producing residual heat to balance thermal energy system and save money for growers by optimising LED operations to align with electricity price fluctuations, whilst producing fresh vegetables for the city.","Controlled environment agriculture; Energy transition; Residual heat; Heat exchange; Electricity price fluctuations; Intermittent light; Grid congestion; Flexible electricity use; Urban agriculture; Symbiosis","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:a4aa8142-b4bd-43d5-98a4-3e4bbd4b98a5","http://resolver.tudelft.nl/uuid:a4aa8142-b4bd-43d5-98a4-3e4bbd4b98a5","The role of vision in sensory integration models for predicting motion perception and sickness","Kotian, V. (TU Delft Intelligent Vehicles); Irmak, T. (TU Delft Intelligent Vehicles; University Medical Center Utrecht); Pool, D.M. (TU Delft Control & Simulation); Happee, R. (TU Delft Intelligent Vehicles)","","2024","Users of automated vehicles will engage in other activities and take their eyes off the road, making them prone to motion sickness. To resolve this, the current paper validates models predicting sickness in response to motion and visual conditions. We validate published models of vestibular and visual sensory integration that have been used for predicting motion sickness through sensory conflict. We use naturalistic driving data and laboratory motion (and vection) paradigms, such as sinusoidal translation and rotation at different frequencies, Earth-Vertical Axis Rotation, Off-Vertical Axis Rotation, Centrifugation, Somatogravic Illusion, and Pseudo-Coriolis, to evaluate different models for both motion perception and motion sickness. We investigate the effects of visual motion perception in terms of rotational velocity (visual flow) and verticality. According to our findings, the SVCI model, a 6DOF model based on the Subjective Vertical Conflict (SVC) theory, with visual rotational velocity input is effective at estimating motion sickness. However, it does not correctly replicate motion perception in paradigms such as roll-tilt perception during centrifuge, pitch perception during somatogravic illusion, and pitch perception during pseudo-Coriolis motions. On the other hand, the Multi-Sensory Observer Model (MSOM) accurately models motion perception in all considered paradigms, but does not effectively capture the frequency sensitivity of motion sickness, and the effects of vision on sickness. For both models (SVCI and MSOM), the visual perception of rotational velocity strongly affects sickness and perception. Visual verticality perception does not (yet) contribute to sickness prediction, and contributes to perception prediction only for the somatogravic illusion. In conclusion, the SVCI model with visual rotation velocity feedback is the current preferred option to design vehicle control algorithms for motion sickness reduction, while the MSOM best predicts perception. A unified model that jointly captures perception and motion sickness remains to be developed.","Comfort; Modeling; Motion perception; Motion sickness; Vision","en","journal article","","","","","","","","","","","Intelligent Vehicles","","",""
"uuid:173c3183-539e-4e5a-8db6-51e08957ce6c","http://resolver.tudelft.nl/uuid:173c3183-539e-4e5a-8db6-51e08957ce6c","Design of Wideband Flat Artificial Dielectric Lenses at mmWave Frequencies","Coco Martin, C.M. (TU Delft Tera-Hertz Sensing); Hu, Weiya (Student TU Delft); Cavallo, D. (TU Delft Tera-Hertz Sensing)","","2024","This work aims to provide guidelines on the design of wideband flat lenses based on artificial dielectric layers (ADLs). Planar lenses based on metasurfaces are typically narrowband, due to the phase wrapping over the period of 2\pi that is strongly frequency-dependent. On the contrary, true-time-delay (TTD) planar lenses, which do not resort to phase discontinuities, can achieve large bandwidths. One convenient way to design wideband TTD lenses is by means of ADLs, which are stacks of subwavelength-period patch arrays embedded in a host medium to increase its effective permittivity. Tradeoffs including bandwidth, focal ratio, lens diameter, and thickness are discussed and related to the manufacturing constraints of artificial dielectrics, such as the smallest features realizable in printed circuit board (PCB) technology, which define the maximum achievable effective permittivity. An example of design is also presented, operating from 30 to 60 GHz and experimentally validated.","artificial dielectrics; flat lenses; true-time-delay lens; ray tracing; wideband lenses","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-05","","","Tera-Hertz Sensing","","",""
"uuid:4f72ee48-af59-4442-9768-69c1133ef133","http://resolver.tudelft.nl/uuid:4f72ee48-af59-4442-9768-69c1133ef133","ALBERO: Agile Landing on Branches for Environmental Robotics Operations","Zheng, L. (TU Delft Control & Simulation); Hamaza, S. (TU Delft Control & Simulation)","","2024","Drones have been increasingly used in various domains, including ecological monitoring in forests. However, the endurance and noise of drones have limited their deployment to short flight missions above canopies. To address these limitations, we introduce ALBERO: a framework comprising a mechanical solution and an optimal planner to realise agile quadrotor perching on tree branches of steep incline. The gripper features an ultra-fast active mechanism inspired by birds' claws that enables quadrotors to perch swiftly on randomly-oriented tree branches. By perching, the drone can preserve energy for extended periods of time, while silently gathering forest data in the canopy. The intrinsic properties of the gripper allow for extra flexibility in size, surface roughness and shape imperfections of natural perches, such as those found in the wild. The gripper also has good scalability properties and can be easily matched to different drones' sizes. The biggest advantage of this novel design lays in its ability to close reactively and ultra-fast (67ms) on the large gripper, 42ms on the small gripper), enabling the quadrotor to perform agile perching manoeuvres from different angles and at different approach speeds. ALBERO's software module comprises of a trajectory planning algorithm adapted for branch perching, ensuring that the drone can perch on inclined cylindrical targets from any starting location in the proximity of the branch. These requirements translate in stringent positioning and orientation accuracy, but they enable the drone to land dynamically from a variety of positions within the forest.","Agile Motion Planning; Agile Perching; Drones; Environmental Monitoring; Gears; Gripper Design; Grippers; Quadrotors; Servomotors; Springs; Steel; UAVs Applications","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-08","","","Control & Simulation","","",""
"uuid:afdc3565-30a8-4a0a-8bd6-5e67627b05d4","http://resolver.tudelft.nl/uuid:afdc3565-30a8-4a0a-8bd6-5e67627b05d4","Multipath Exploitation for Human Activity Recognition using a Radar Network","Guendel, R.G. (TU Delft Microwave Sensing, Signals & Systems); Kruse, N.C. (TU Delft Microwave Sensing, Signals & Systems); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2024","In this study, the problem of multipath in radar sensor networks for human activity recognition (HAR) has been examined. Traditionally considered as a source of additional clutter, the multipath is being investigated for its potential to be exploited through the creation of virtual radar nodes. These virtual nodes are conceptualized to observe targets from aspect angles that differ from those of physically existing radars. To realize this idea, an innovative processing pipeline is proposed that extracts information from multipath signals to improve HAR. The pipeline isolates and tracks the line-of-sight (LOS) and multipath components of a moving human target performing continuous sequences of activities observed by a network of three radar sensors. Furthermore, the method has been verified with experimental data consisting of six activities and 14 volunteers by comparing classification metrics with the use of a single radar as well as only the LOS components of the three radars in the network. A 12-layer convolutional neural network (CNN) classifier has been designed to operate on range-Doppler (RD) images derived from the LOS and multipath components, extracted by the proposed method. A substantial performance improvement using the leave-one-person-out (L1Po) test set is demonstrated in the order of +11% by exploiting a multiradar network with its LOS and multipath components.","radar signal processing; radar multipath; multipath; human activity recognition; distributed radar; hierarchical clustering; clustering; multilateration; trilateration","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-16","","","Microwave Sensing, Signals & Systems","","",""
"uuid:345b1ae0-59f3-452f-8f5f-40f57587252b","http://resolver.tudelft.nl/uuid:345b1ae0-59f3-452f-8f5f-40f57587252b","Online Condition Monitoring Methodology for Power Electronics Package Reliability Assessment","Martin, H.A. (TU Delft Electronic Components, Technology and Materials; Chip Integration Technology Center (CITC)); Smits, Edsger C.P. (Chip Integration Technology Center (CITC)); Poelma, René H. (TU Delft Electronic Components, Technology and Materials; Nexperia B.V.); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials; Signify); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2024","This article introduces an online condition monitoring strategy that utilizes a transient heat pulse to detect package thermal performance degradation. The metric employed is the temperature-dependent transient thermal impedance ""Zth(t, Tamb).""The proposed methodology offers quantitative insights into package thermal performance degradation and effectively pinpoints the presence of multiple failure mechanisms. A thermal test chip assembled in a power quad flat no-lead package is used in this study to demonstrate the methodology. The packaged devices are first characterized to determine the transient pulse duration, a critical parameter to monitor a specific region of interest. Subsequently, package thermal performance degradation is continuously monitored online during thermomechanical cycling lifetime experiments. The validity of the measurement results is later confirmed through acoustic imaging and cross-sectional analysis. The changes observed in Zth(t, Tamb) over thermal cycling correspond to the delamination of the active metal layers on the die and cohesive failure on the die attach. This article further includes a comparative summary, highlighting the distinctions between the proposed and industry-standard test methods. In conclusion, the importance of online condition monitoring to detect early signs of failure is emphasized, and the proposed methodology s practical applicability in real-life scenarios is briefly discussed.","Electronic packaging; Temperature measurement; Semiconductor device measurement; Temperature sensors; Electrical resistance measurement; Transient analysis; Thermal Cycling; Silver Sintering; Transient Thermal Impedance; Thermal Test Chips; Application-driven Reliability Qualification","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-11","","","Electronic Components, Technology and Materials","","",""
"uuid:75f56794-1226-4ead-bd79-ffb9d591b56d","http://resolver.tudelft.nl/uuid:75f56794-1226-4ead-bd79-ffb9d591b56d","Predicting cell population-specific gene expression from genomic sequence","Michielsen, L.C.M. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center); Reinders, M.J.T. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center); Mahfouz, A.M.E.T.A. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center)","","2024","Most regulatory elements, especially enhancer sequences, are cell population-specific. One could even argue that a distinct set of regulatory elements is what defines a cell population. However, discovering which non-coding regions of the DNA are essential in which context, and as a result, which genes are expressed, is a difficult task. Some computational models tackle this problem by predicting gene expression directly from the genomic sequence. These models are currently limited to predicting bulk measurements and mainly make tissue-specific predictions. Here, we present a model that leverages single-cell RNA-sequencing data to predict gene expression. We show that cell population-specific models outperform tissue-specific models, especially when the expression profile of a cell population and the corresponding tissue are dissimilar. Further, we show that our model can prioritize GWAS variants and learn motifs of transcription factor binding sites. We envision that our model can be useful for delineating cell population-specific regulatory elements.","sequence to prediction models; single-cell RNA-sequencing; gene expression prediction; transcriptional regulation; cell populations","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:975b60af-4add-47d7-9776-a5c2f97d89ed","http://resolver.tudelft.nl/uuid:975b60af-4add-47d7-9776-a5c2f97d89ed","Opportunities of Natural Resources Making Buildings More Resilient","van den Engel, P.J.W. (TU Delft Environmental & Climate Design)","","2024","An overview is given of the state-of-the-art of natural and hybrid ventilation in buildings in general. The focus of this paper is on boundary conditions for openable windows. As a case study the Co Creation Centre at the TU-Delft is discussed. Occupants live in their own houses and often in an office or other working environments as well. Due to the development of working on a distance, accelerated by the COVID-pandemics, they generally have more choice which environment is the best. That is why a holistic approach is necessary for buildings in general and houses. Natural ventilation offers a wide range of low-cost opportunities to realize the required thermal comfort and need of fresh air. Boundary conditions for ventilation are the limitation of cooling and heating by intelligent building physical design of the façade or roof, with better balancing heat loss due to transmission and heat gain by solar access. In this field there is still a lack of knowledge at many professionals. In most cases natural ventilation has to be supported by robust mechanical systems. Effective integration is a rather new field of research, learning from the past. Current examples of integration are discussed, in which BMS-systems play a key role.","Natural and hybrid ventilation; Openable windows; Covid protection; Resilience concept; Robustness; Natural resources; Buildings; Natural ventilation; Climate","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:21c03aae-b8cf-4eaa-b1f0-683dfa3ecfa3","http://resolver.tudelft.nl/uuid:21c03aae-b8cf-4eaa-b1f0-683dfa3ecfa3","Coupling agent-based modelling and life cycle assessment for a behaviour-driven evaluation of SAEVs","Vilaça, M.V. (Universidade de Aveiro; Intelligent Systems Associate Laboratory (LASI)); Correia, Gonçalo (TU Delft Transport and Planning); Coelho, Margarida C. (Universidade de Aveiro; Intelligent Systems Associate Laboratory (LASI))","","2024","Shared Automated Electric Vehicles (SAEVs) are poised to revolutionize future transportation. However, potential drawbacks, including increased vehicle usage and the projected shorter vehicle lifespan, introduce critical factors that may impact efficiency and environmental benefits. This research introduces a framework that integrates Agent-Based Modelling (ABM) with Life Cycle Assessment (LCA) for a behaviour-driven SAEV assessment. The ABM simulates regional SAEV operations, informing the LCA of pre- and post-integration scenarios. Sensitivity analysis on fleet sizes, system performance metrics, and Global Warming Potential (GWP) reference values are performed. Findings demonstrate that SAEVs significantly decrease the fleet size and total travel distance by raising the average travel per vehicle. SAEVs integration yields a 75–86% daily GWP reduction without significantly compromising user experience. Over 30 years, fleet replacement needs due to inadequate fleet sizing raised GWP by 170%. Balancing short and long-term environmental impact requires optimizing fleet size to achieve sustainable and efficient service delivery.","Agent-based modelling (ABM); Life cycle assessment (LCA); Sharedautomated and electric vehicles (SAEVs)","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:0db46967-fec3-4fe3-91d8-a442fa9797ba","http://resolver.tudelft.nl/uuid:0db46967-fec3-4fe3-91d8-a442fa9797ba","A copula-based model to describe the uncertainty of overtopping variables on mound breakwaters","Mares Nasarre, P. (TU Delft Hydraulic Structures and Flood Risk); van Gent, M.R.A. (TU Delft Coastal Engineering; Deltares); Morales Napoles, O. (TU Delft Applied Probability)","","2024","Rising sea levels caused by climate change are increasing the risk of overtopping on coastal structures. Moreover, there is a growing societal concern about the visual impact of these structures, which leads to the lowering of their crest freeboards. In previous studies, safety during overtopping events was assessed considering the overtopping layer thickness (hc), the overtopping flow velocity (uc) and the individual wave overtopping volume (V). Existing models in the literature to estimate hc, uc and V on mound breakwater crests are mainly deterministic, involve a chain of successive estimations leading to accumulated errors and/or do not account for the dependencies between hc, uc and V. This study proposes a model to describe the joint probability distribution of hc, uc and V based on bivariate copulas. Experimental data from small-scale 2D physical tests conducted on mound breakwaters with three armor layers (single-layer Cubipod®, and double-layer cubes and rocks) in depth-limited breaking wave conditions on two mild bottom slopes and dimensionless crest freeboards between 0.33 and 3.20 is used. Lognormal distribution functions are proposed for each variable and a multivariate dependence model is developed through a one-tree vine-copula. The parameters of this model are quantified directly using wave characteristics and the structure geometry minimizing the accumulated errors in the final predictions. The application of the model is illustrated by computing the probability of not fulfilling at least a tolerability limit for one of the studied variables (OR probability). The OR probability is computed both considering the dependence and assuming independence between the variables and a significant difference is obtained. It is concluded that by accounting for the multivariate dependence between the variables, it is possible to reduce the crest freeboard and, thus, achieve a more economic design within the required safety level.","Copula; Dependence; Mound breakwater; Overtopping; Overtopping flow velocity; Overtopping layer thickness; Overtopping volume; Probability; Uncertainty","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:4ae56e89-0595-4e70-bac0-6bc8f070d169","http://resolver.tudelft.nl/uuid:4ae56e89-0595-4e70-bac0-6bc8f070d169","Vertical dynamic measurements of a railway transition zone: a case study in Sweden","Unsiwilai, S. (TU Delft Railway Engineering); Shen, C. (TU Delft Railway Engineering); Zeng, Y. (TU Delft Railway Engineering); Wang, L. (TU Delft Railway Engineering); Nunez, Alfredo (TU Delft Railway Engineering); Li, Z. (TU Delft Railway Engineering)","","2024","This study presents a measuring framework for railway transition zones using a case study on the Swedish line between Boden and Murjek. The final goal is to better understand the vertical dynamics of transition zones using hammer tests, falling weight measurements, and axle box acceleration (ABA) measurements. Frequency response functions (FRFs) from hammer tests indicate two track resonances, for which the FRF magnitudes on the plain track are at least 30% lower than those at the abutment. The falling weight measurements indicate that the track on the bridge has a much higher deflection than the track on the embankment. Two features from ABA signals, the dominant spatial frequency and the scale average wavelet power, show variation along the transition zone. These variations indicate differences in track conditions per location. Finally, the ABA features in the range of 1.05–2.86 m−1 are found to be related to the track resonance in the range of 30–60 Hz. The findings in this paper provide additional support for physically interpreting train-borne measurements for monitoring transition zones.","Axle box acceleration; Impact excitation; Railway bridge; Railway track vertical dynamic; Railway transition zone","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:7842c753-5cbb-47eb-934c-62786bcd0ec8","http://resolver.tudelft.nl/uuid:7842c753-5cbb-47eb-934c-62786bcd0ec8","Multi-epoch PPP-RTK corrections: temporal characteristics, pitfalls and user-impact","Psychas, D.V. (European Space Agency (ESA)); Khodabandeh, A. (University of Melbourne); Teunissen, P.J.G. (TU Delft Mathematical Geodesy and Positioning; University of Melbourne; Curtin University of Technology)","","2024","PPP-RTK corrections, aiding GNSS users to achieve single-receiver integer ambiguity-resolved parameter solutions, are often estimated in a recursive manner by a provider. Such recursive, multi-epoch, estimation of the corrections relies on a set of S-basis parameters that are chosen by the provider so as to make the underlying measurement setup solvable. As a consequence, the provider can only estimate estimable forms of the corrections rather than the original corrections themselves. It is the goal of the present contribution to address the consequence of the corrections’ dependency on the provider’s S-basis, showcasing the characteristics of their multi-epoch solutions, thereby identifying potential pitfalls which the PPP-RTK user should avoid when evaluating such solutions. To this end, we develop a simulation platform that allows one to have full control over the properties of PPP-RTK corrections and demonstrate various misleading temporal behaviors that exist when interpreting the multi-epoch solutions of their estimable forms. The roles of the correction latency and time correlation in the multi-epoch user positioning performance are quantified, while the deviation of the user-reported positioning precision description from its user-actual counterpart is measured under a misspecified user stochastic model.","Correction latency; Global navigation satellite systems (GNSS); Integer ambiguity resolution (IAR); Multi-epoch filtering; PPP-RTK corrections; Time correlation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-19","","","Mathematical Geodesy and Positioning","","",""
"uuid:8e65b670-0dec-4743-9ae3-639d0abfa717","http://resolver.tudelft.nl/uuid:8e65b670-0dec-4743-9ae3-639d0abfa717","On the scalability of helium-filled soap bubbles for volumetric PIV","Grille Guerra, A. (TU Delft Aerodynamics); Scarano, F. (TU Delft Aerodynamics); Sciacchitano, A. (TU Delft Aerodynamics)","","2024","The scalability of experiments using PIV relies upon several parameters, namely illumination power, camera sensor and primarily the tracers light scattering capability. Given their larger cross section, helium-filled soap bubbles (HFSB) allow measurements in air flows over a significantly large domain compared to traditional oil or fog droplets. Controlling their diameter translates into scalability of the experiment. This work presents a technique to extend the control of HFSB diameter by geometrical variations of the generator. The latter expands the more limited range allowed by varying the relative helium-air mass flow rates. A theoretical model predicts the bubble size and production rate, which is verified experimentally by high-speed shadow visualization. The overall range of HFSB produced in a stable (bubbling) regime varies from 0.16 to 2.7 mm. Imaging by light scattering of such tracers is also investigated, in view of controversies in the literature on whether diffraction or geometrical imaging dominate the imaging regime. The light scattered by scaled HFSB tracers is imaged with a high-speed camera orthogonal to the illumination. Both the total energy collected on the sensor for a single tracer, as well as its peak intensity, are found to preserve scaling with the square of the diameter at object magnification of 10–1 or below, typical of PIV experiments. For large-scale volumetric applications, it is shown that varying the bubble diameter allows increasing both the measurement domain as well as the working distance of the imagers at 10 m and beyond. A scaling rule is proposed for the latter.","","en","journal article","","","","","","","","","","","Aerodynamics","","",""
"uuid:737781a6-f3d8-4ab3-ac6d-138478935ef6","http://resolver.tudelft.nl/uuid:737781a6-f3d8-4ab3-ac6d-138478935ef6","Building a Mycenaean chamber tomb catalogue from terrestrial laser scan data","Gutierrez, Ivan (University of Stavanger); Lindenbergh, R.C. (TU Delft Optical and Laser Remote Sensing); Watson, Lisa (University of Stavanger); Shelton, Kim (University of California Berkeley)","","2024","Detailed 3D information on vulnerable archaeological sites can document cultural heritage and contribute to its preservation. The Late Bronze Age Mycenaean cemetery of Aidonia, Greece, is a representative case of a vulnerable site. Tomb looting has occurred sporadically since the 1970s, when the Greek government was made aware of the site. Anthropogenic activities and natural denudation may affect the loss of structural integrity of tombs. In this contribution, terrestrial laser scanning and geosciences are combined to document the vulnerable cemetery through the generation of a tomb catalogue. The emphasis is on techniques applied to point clouds to extract architectural elements. The catalogue consists of 208 architectural and geological measurements, 112 qualitative observations, maps, and point clouds images displaying the architecture of 16 tombs. The tombs are mainly orientated northeast-southwest and northwest-southeast, and their average total length is 13 m. The average volume of chambers with preserved roofs is 46 m3.","Catalogue; Digital documentation; Mycenaean; Segmentation; Terrestrial laser scanning; Tombs","en","journal article","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:d449fc2b-05c5-4f9b-96f4-9c626a9cecab","http://resolver.tudelft.nl/uuid:d449fc2b-05c5-4f9b-96f4-9c626a9cecab","Frameworks for multi-system innovation analysis from a sociotechnical perspective: A systematic literature review","Bakhuis, J.J. (TU Delft Energie and Industrie); Kamp, L.M. (TU Delft Energie and Industrie); Barbour, Natalia (University of Central Florida); Chappin, E.J.L. (TU Delft Energie and Industrie)","","2024","This paper systematically reviews the literature on sociotechnical multi-system innovation frameworks that broaden the usual focus on one sociotechnical system to encompass influences from multiple systems. The review includes 75 peer-reviewed papers that span a broad range of energy-demanding systems and mainly build upon the core frameworks of the Multi-level Perspective (MLP) and Technological Innovation Systems (TIS). The analysis identifies three key aspects to consider in multi-system frameworks. The first aspect is the importance of considering the overarching directionality of multiple sociotechnical systems and how they influence each other. The second is to explicitly analyse the phase of each transitioning system. The third aspect is a need for explicit system configuration analysis. This includes analysing the value chain and the number and types of sectors linked to it, typifying the distinct characteristics of sectors internally and how they interact, and analysing complementary or competitive technologies. The paper concludes by providing recommendations for future research, with a particular focus on the further development of new multi-system frameworks that include one or more of the prior-mentioned three key takeaways. Firstly, focusing on dynamics within multi-system niches. Secondly, performing actor-level analysis, including demand-side analysis. Finally, applying quantitative methods, such as computer simulation modelling.","Converging infrastructures; Innovation systems; Multi-level perspective; Multi-system; Sector coupling; Sustainability transition","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:10b904b9-67c5-4c1e-b768-238268230391","http://resolver.tudelft.nl/uuid:10b904b9-67c5-4c1e-b768-238268230391","Not a single path to success: alternative HRM configurations for well-performing small and medium-sized hotels","Zografou, I. (Athens University of Economics and Business); Galanaki, E. (Athens University of Economics and Business); Pachos-Fokialis, N. (TU Delft Economics of Technology and Innovation); Deligianni, I. (Athens University of Economics and Business)","","2024","Purpose: Previous literature has identified human resources as a key source of competitive advantage in organizations of all sizes. However, Small and Medium-sized Enterprises (SMEs) face difficulty in comprehensively implementing all recommended Human Resource Management (HRM) functions. In this study, we shed light on the field of HRM in SMEs by focusing on the context of Greek Small and Medium-sized Hotels (SMHs), which represent a dominant private sector employer across the country. Design/methodology/approach: Using a fuzzy-set qualitative comparative analysis (fsQCA) and 34 in-depth interviews with SMHs' owners/managers, we explore the HRM conditions leading to high levels of performance, while taking into consideration the influence of internal key determinants. Findings: We uncover three alternative successful HRM strategies that maximize business performance, namely the Compensation-based performers, the HRM developers and the HRM investors. Each strategy fits discreet organizational characteristics related to company size, ownership type and organizational structure. Originality/value: To the best of the authors' knowledge this is among the first empirical studies that examine different and equifinal performance-enhancing configurations of HRM practices in SMHs.","Business performance; Fuzzy-set qualitative comparative analysis (fsQCA); HRM configurations; Human resource management (HRM); Small and medium-sized enterprises (SMEs); Small and medium-sized hotels (SMHs)","en","journal article","","","","","","","","","","","Economics of Technology and Innovation","","",""
"uuid:6185bc47-eeb4-4c20-b544-eec43fe2fdaa","http://resolver.tudelft.nl/uuid:6185bc47-eeb4-4c20-b544-eec43fe2fdaa","AI for crisis decisions","Comes, M. (TU Delft Transport and Logistics)","","2024","Increasingly, our cities are confronted with crises. Fuelled by climate change and a loss of biodiversity, increasing inequalities and fragmentation, challenges range from social unrest and outbursts of violence to heatwaves, torrential rainfall, or epidemics. As crises require rapid interventions that overwhelm human decision-making capacity, AI has been portrayed as a potential avenue to support or even automate decision-making. In this paper, I analyse the specific challenges of AI in urban crisis management as an example and test case for many super wicked decision problems. These super wicked problems are characterised by a coincidence of great complexity and urgency. I will argue that from this combination, specific challenges arise that are only partially covered in the current guidelines and standards around trustworthy or human-centered AI. By following a decision-centric perspective, I argue that to solve urgent crisis problems, the context, capacities, and networks need to be addressed. AI for crisis response needs to follow dedicated design principles that ensure (i) human control in complex social networks, where many humans interact with AI; (ii) principled design that considers core principles of crisis response such as solidarity and humanity; (iii) designing for the most vulnerable. As such this paper is meant to inspire researchers, AI developers and practitioners in the space of AI for (urban) crisis response – and other urgent and complex problems that urban planners are confronted with.","Crisis management; Decision theory; Human-AI interaction; Human-centred AI; Responsible AI","en","journal article","","","","","","","","","","","Transport and Logistics","","",""
"uuid:ed03fa19-93fd-43f8-ad8c-1125e3205921","http://resolver.tudelft.nl/uuid:ed03fa19-93fd-43f8-ad8c-1125e3205921","Policy Analysis of Coastal-Based Special Economic Zone Development Using System Dynamics","Moeis, Armand Omar (Universitas Indonesia); Gita, Agatha Ayu (Universitas Indonesia); Destyanto, A.R. (TU Delft Policy Analysis; Universitas Indonesia); Rahman, Irvanu (Universitas Indonesia; Karlsruhe Institut für Technologie); Hidayatno, Akhmad (Universitas Indonesia); Zagloel, Teuku Yuri (Universitas Indonesia)","","2024","Special Economic Zone (SEZ) development is becoming a preferable policy by the Indonesian government to boost economic growth in less-developed local regions. This is because of the promise that SEZ could attract investment and job creation based on local competitive commodities. One of these areas is Bitung SEZ, North Sulawesi - Indonesia, a coastal-based SEZ, as its strategic position for logistics, fishery resources, and coconut plantation. To explore the promise of growth proposed by developing SEZ in Bitung, we developed a Systems Dynamics model of the interaction between economic growth, social development, and environmental impacts. Based on the model understanding and development, we identified three factors the Indonesian government should improve: coconut plantation productivity, fisheries ship management, and education index. With these three factors in mind, several policy options were tested in the model, resulting in a more substantial impact than the business-as-usual condition.","Policy analysis; Special Economic Zone (SEZ); System dynamics","en","journal article","","","","","","","","","","","Policy Analysis","","",""
"uuid:d6fbe041-5638-4d9c-b751-c067c10add47","http://resolver.tudelft.nl/uuid:d6fbe041-5638-4d9c-b751-c067c10add47","The One Step Malliavin scheme: new discretization of BSDEs implemented with deep learning regressions","Négyesi, B. (TU Delft Numerical Analysis); Andersson, Kristoffer (Centrum Wiskunde & Informatica (CWI)); Oosterlee, Cornelis W. (Universiteit Utrecht)","","2024","A novel discretization is presented for decoupled forward–backward stochastic differential equations (FBSDE) with differentiable coefficients, simultaneously solving the BSDE and its Malliavin sensitivity problem. The control process is estimated by the corresponding linear BSDE driving the trajectories of the Malliavin derivatives of the solution pair, which implies the need to provide accurate Γ estimates. The approximation is based on a merged formulation given by the Feynman–Kac formulae and the Malliavin chain rule. The continuous time dynamics is discretized with a theta-scheme. In order to allow for an efficient numerical solution of the arising semidiscrete conditional expectations in possibly high dimensions, it is fundamental that the chosen approach admits to differentiable estimates. Two fully-implementable schemes are considered: the BCOS method as a reference in the one-dimensional framework and neural network Monte Carlo regressions in case of high-dimensional problems, similarly to the recently emerging class of Deep BSDE methods (Han et al. (2018 Solving high-dimensional partial differential equations using deep learning. Proc. Natl. Acad. Sci., 115, 8505–8510); Huré et al. (2020 Deep backward schemes for high-dimensional nonlinear PDEs. Math. Comp., 89, 1547–1579)). An error analysis is carried out to show L2 convergence of order, under standard Lipschitz assumptions and additive noise in the forward diffusion. Numerical experiments are provided for a range of different semilinear equations up to dimensions, demonstrating that the proposed scheme yields a significant improvement in the control estimations.","backward stochastic differential equations; Malliavin calculus; deep BSDE; neural networks; BCOS; gamma estimates","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-26","","","Numerical Analysis","","",""
"uuid:ec4c9247-2b30-417a-a01e-ab49c9f316de","http://resolver.tudelft.nl/uuid:ec4c9247-2b30-417a-a01e-ab49c9f316de","Ten simple rules for pushing boundaries of inclusion at academic events","Mackenzie Hall, Siobhan (University of Oxford; Deep Learning Indaba); Kochin, Daniel (University of Oxford); Lenay Lewers, Kristen (University of Colorado Boulder); Abdelhack, Mohamed (Centre for Addiction and Mental Health); Alphonse, Juno Felecia Michael (University of Oxford); Ung, Visotheary (Centre National de la Recherche Scientifique (CNRS)); El-Gebali, Sara (SciLifeLab-Data Centre); Plomp, E. (TU Delft Management Support); Sharan, Malvika (OLS; The Alan Turing Institute)","","2024","Inclusion at academic events is facing increased scrutiny as the communities these events serve raise their expectations for who can practically attend. Active efforts in recent years to bring more diversity to academic events have brought progress and created momentum. However, we must reflect on these efforts and determine which underrepresented groups are being disadvantaged. Inclusion at academic events is important to ensure diversity of discourse and opinion, to help build networks, and to avoid academic siloing. All of these contribute to the development of a robust and resilient academic field. We have developed these Ten Simple Rules both to amplify the voices that have been speaking out and to celebrate the progress of many Equity, Diversity, and Inclusivity practices that continue to drive the organisation of academic events. The Rules aim to raise awareness as well as provide actionable suggestions and tools to support these initiatives further. This aims to support academic organisations such as the Deep Learning Indaba, Neuromatch Academy, the IBRO-Simons Computational Neuroscience Imbizo, Biodiversity Information Standards (TDWG), Arabs in Neuroscience, FAIRPoints, and OLS (formerly Open Life Science). This article is a call to action for organisers to reevaluate the impact and reach of their inclusive practices.","","en","journal article","","","","","","","","","","","Management Support","","",""
"uuid:b308297a-8cf3-4c6a-886e-1d1903377efd","http://resolver.tudelft.nl/uuid:b308297a-8cf3-4c6a-886e-1d1903377efd","Modeling dynamic stall of an airfoil with vortex generators using a double-wake panel model with viscous–inviscid interaction","Yu, W. (TU Delft Wind Energy); Bajarūnas, Lukas K. (Student TU Delft); Zanon, Alessandro (AIT Austrian Institute of Technology); Ferreira, Carlos J.S. (Delft University of Technology (TU Delft))","","2024","Vortex generators (VGs) have been widely applied to wind turbines thanks to their potential to increase aerodynamic performance. Due to the complex inflow perceived by a rotor and the proneness to flow separation, VGs on wind turbines usually experience highly unsteady flow. While there are models that exist to simulate the steady effects of VGs, we lack a fast and efficient tool to model the unsteady performance of airfoils equipped with VGs. This paper adopts an unsteady double-wake panel model with viscous–inviscid interaction developed to simulate a vertical axis turbine in dynamic stall, adding the capability of predicting the dynamic aerodynamic performance of VG-equipped airfoils. The results of a series of steady and unsteady cases of an airfoil with different VG configurations in various pitch motions in free and forced transition are verified against experimental data. Results show that the double wake model offers results with sufficient accuracy compared with experimental data to claim the model's validity in a preliminary evaluation of an airfoil's capability to prevent stall with VGs. A few limitations, including the accuracy in prediction the transition location, separation, and reattachment, have been identified for future development.","double wake; dynamic stall; viscous–inviscid interaction; vortex generators; vortex panel method","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:e2a153e5-7206-4157-872a-f8bb67423a2d","http://resolver.tudelft.nl/uuid:e2a153e5-7206-4157-872a-f8bb67423a2d","Energy use and greenhouse gas emissions of traction alternatives for regional railways","Kapetanović, M. (TU Delft Transport and Planning); Nunez, Alfredo (TU Delft Railway Engineering); van Oort, N. (TU Delft Transport and Planning); Goverde, R.M.P. (TU Delft Transport and Planning)","","2024","This paper presents a method for estimating Well-to-Wheel (WTW) energy use and greenhouse gas (GHG) emissions attributed to the advanced railway propulsion systems implemented in conjunction with different energy carriers and their production pathways. The analysis encompasses diesel-electric multiple unit vehicles converted to their hybrid-electric, plug-in hybrid-electric, fuel cell hybrid-electric or battery-electric counterparts, combined with biodiesel or hydrotreated vegetable oil (HVO) as the first and second generation biofuels, liquefied natural gas (LNG), hydrogen and/or electricity. The method is demonstrated using non-electrified regional railway network with heterogeneous vehicle fleet in the Netherlands as a case. Battery-electric system utilizing green electricity is identified as the only configuration leading to emission-free transport while offering the highest energy use reduction by 65–71% compared to the current diesel-powered hybrid-electric system. When using grey electricity based on the EU2030 production mix, these savings are reduced to about 27–39% in WTW energy use and around 68–73% in WTW GHG emissions. Significant reductions in overall energy use and emissions are obtained for the plug-in hybrid-electric concept when combining diesel, LNG, or waste cooking oil-based HVO with electricity. The remaining configurations that reduce energy use and GHG emissions are hybrid-electric systems running on LNG or HVO from waste cooking oil. The latter led to approximately 88% lower WTW emissions than the baseline for each vehicle type. When produced from natural gas or EU2030-mix-based electrolysis, hydrogen negatively affected both aspects, irrespective of the prime mover technology. However, when produced via green electricity, it offers a GHG reduction of approximately 90% for hybrid-electric and fuel cell hybrid-electric configurations, with a further reduction of up to 92–93% if combined with green electricity in plug-in hybrid-electric systems. The results indicate that HVO from waste cooking oil could be an effective and instantly implementable transition solution towards carbon–neutral regional trains, allowing for a smooth transition and development of supporting infrastructure required for more energy-efficient and environment-friendly technologies.","Advanced propulsion systems; Energy use; Greenhouse gas emissions; Regional railway; Renewable fuels; Well-to-Wheel","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:a162b9fa-7654-4999-b7eb-5189b9f20589","http://resolver.tudelft.nl/uuid:a162b9fa-7654-4999-b7eb-5189b9f20589","Fatigue crack growth characterization of composite-to-steel bonded interface using ENF and 4ENF tests","Feng, W. (TU Delft Steel & Composite Structures); Moreira Arouche, M. (TU Delft Steel & Composite Structures); Pavlovic, M. (TU Delft Steel & Composite Structures)","","2024","In this paper, mode II fatigue crack growth properties of the composite-to-steel interface are characterised through different test configurations, namely ENF and 4ENF tests. Different loading types including force control and displacement control methods are compared. An innovative shear strain based method is proposed for monitoring the mode II crack growth at the bi-material interface through Digital Image Correlation (DIC). A 3D finite element model with Virtual Crack Closure Technique (VCCT) is built and used for obtaining the strain energy release rate (SERR) to investigate the effect of geometrical nonlinearity, friction at the interface and steel yielding, as well as to verify the mode mixity. The results show that the standard 3-point bending ENF specimen can be unstable under force control and sweeps narrow SERR range by a single test under displacement control. The 4-point bending 4ENF test shows stable crack propagation and clear SERR developing trend. More pronounced geometrical nonlinearity and friction effect exist for 4ENF test which can be considered when interpreting the Paris curves by a nonlinear finite element model.","DIC; ENF test; Fatigue debonding; Paris curves; VCCT","en","journal article","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:2d7c774b-a9b7-42af-857d-0a2057e97c03","http://resolver.tudelft.nl/uuid:2d7c774b-a9b7-42af-857d-0a2057e97c03","Recovery of sulfuric acid and ammonia from scrubber effluents using bipolar membrane electrodialysis: Effect of pH and temperature","Narayen, D. (TU Delft Sanitary Engineering); VAN Berlo, E.M.C. (TU Delft Sanitary Engineering); van Lier, J.B. (TU Delft Sanitary Engineering); Spanjers, H. (TU Delft Sanitary Engineering)","","2024","Simulated ammonium sulfate scrubber effluent was treated using bipolar membrane electrodialysis (BPMED) to recover sulfuric acid for reuse in the scrubber, and ammonium hydroxide as a product, without using any chemicals. The effect of pH and temperature of the feed solution on the energy consumption of the BPMED and the purity of the recovered acid and base were investigated in batch experiments. Experiments were conducted during a 3-hour period using a scrubber effluent with the following characteristics: 50 g/L ammonium sulfate, pH ranging from 1 to 5 and temperature ranging from 20 °C to 30 °C. The energy consumption at pH 5 was lower than that at pH 1, i.e., 6.9 MJ/kg SO42- and 7.7 MJ/kg SO42-, respectively. The purity of the acid recovered from the feed solution with a pH of 5 was 36 %, whereas the feed with a pH of 1 resulted in an acid purity of 72 %. These values corresponded to a mass of ammonia diffusion of 6.9 g and 2.3 g, respectively. The purity of the base recovered from the feed with a pH of 5 was 84 %, whereas this was 69 % for the feed with a pH of 1. Higher temperature of the feed solution, i.e., 30 °C compared to 20 °C, resulted in a lower energy consumption: 7.1 MJ/kg SO42- compared to 9.5 MJ/kg SO42−, respectively. The temperature had a very limited effect on the acid and base purities, with values ranging from 80 % to 82 % for the acid, and from 33 % to 36 % for the base. Our study demonstrated the effective application of BPMED for the treatment of simulated acidic scrubber effluent, with simultaneous recovery of ammonia and sulfuric acid.","Ammonia recovery; Bipolar membrane electrodialysis; pH; Sulfuric acid recovery; Temperature","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:c602540a-e3f6-4881-aa0d-ac0d01026d39","http://resolver.tudelft.nl/uuid:c602540a-e3f6-4881-aa0d-ac0d01026d39","Application of a simplified model for assessing particle removal in dissolved air flotation (DAF) systems: Experimental verification at laboratory and full-scale level","Piaggio, A.L. (TU Delft Sanitary Engineering); Smith, Geo (Nijhuis Saur Industries); de Kreuk, M.K. (TU Delft Water Management); Lindeboom, R.E.F. (TU Delft Laboratory Water Management)","","2024","Particle-bubble collisions in dissolved air flotation (DAF) systems play a crucial role in the removal of total suspended solids (TSS). DAF particle-bubble collision models incorporate factors such as particle diameters, charge and density, bubble diameters, and collision factors. The challenge lies in accounting for the wide range of particle and bubble sizes and obtaining complex model inputs. To address this, a simplified model for TSS removal in DAF units was established using low-cost laboratory measurements, including particle size distribution and density. Additionally, microbubble diameter profiles were derived from bubble velocities using particle image velocimetry software (PIV). Six independent variables, encompassing influent particle characteristics (such as particle size distribution and density) and DAF running characteristics (temperature, contact zone detention time, inflow and recycle flows), were employed in the simplified model. The model's accuracy was evaluated using a laboratory-scale DAF system with two different influents: Delft canal water and anaerobic sludge. The predicted TSS removal from the simplified model aligned well with the laboratory-scale DAF results, yielding removal efficiencies of 68 ± 1 % and 77 ± 3 % for Delft canal water and anaerobic sludge, respectively. Furthermore, when the simplified model was applied to two full-scale DAF systems, it successfully identified an underperforming system (DAF2) with a TSS removal efficiency of 91 %, contrasting with the theoretical removal model-predicted efficiency of 98 %. This study highlights the utility of combining bubble size distribution measured by PIVlab and particle size distribution obtained using FIJI-ImageJ as an economical and efficient approach to acquiring the necessary inputs for predicting TSS removal in DAF systems.","Dissolved air flotation (DAF); Particle image velocimetry (PIV); Simplified model; Suspended solids","en","journal article","","","","","","","","","","Water Management","Sanitary Engineering","","",""
"uuid:a82b630c-95e0-4a7b-8f2b-c0b2b295fe4f","http://resolver.tudelft.nl/uuid:a82b630c-95e0-4a7b-8f2b-c0b2b295fe4f","The Need to Include a Fluorine Mass Balance in the Development of Effective Technologies for PFAS Destruction","Smith, S.J. (TU Delft Sanitary Engineering); Lauria, Mélanie (Stockholm University); Higgins, Christopher P. (Colorado School of Mines); Pennell, Kurt D. (Brown University); Blotevogel, Jens (The Commonwealth Scientific and Industrial Research Organisation (CSIRO)); Arp, Hans Peter H. (Norwegian Geotechnical Institute; Norwegian University of Science and Technology (NTNU))","","2024","","analytical chemistry; mineralization; PFAS; transformation","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:cf52ea5d-6ad8-48f1-8fb3-03f42fe3c3a5","http://resolver.tudelft.nl/uuid:cf52ea5d-6ad8-48f1-8fb3-03f42fe3c3a5","Self-healing of cementitious materials using sustainable cenosphere-based manufactured aggregate","Lv, Leyang (Shenzhen University); Zhang, Xiangyu (Shenzhen University); Šavija, B. (TU Delft Materials and Environment); Zhang, Mingzhong (University College London (UCL)); Han, Kaihang (Shenzhen University); Zhang, Honghzhi (Shandong University); Pei, Chun (Shenzhen University); Zhu, Jihua (Shenzhen University); Xing, Feng (Shenzhen University)","","2024","Self-healing concrete using encapsulated healing agent has shown great potential in enhancing concrete durability. However, the capsules are expensive to make and can lower the mechanical properties of concrete. In this study, a new type of manufactured aggregate that employs waste-derived fly ash cenosphere as a carrier of healing agent (SH-CS) was designed and produced. The effect of SH-CS incorporation on hydration, engineering properties and self-healing efficiency of cement mortar was systematically evaluated, with a special focus on self-healing mechanism through the analysis of the mineral composition of the healing product. The results indicate that the prepared SH-CS has good stability in and compatibility with cement mortar. The addition of SH-CS has small influence on the fresh properties of cement mortar and less negative effect on compressive strength at the hardened stage compared to the existing study. By replacing 3 wt.% of fine aggregate with SH-CS, up to 71% of the crack opening area of mortar specimens with a crack width of about 0.3 mm was self-healed after 28 days of water exposure. The self-healing behaviour of SH-CS led to a maximal 41% drop in water adsorption and contributed to the recovery of flexural strength. The healing products precipitated on the fracture surface were mainly composed of amorphous C-S-H and Calcite. It can be estimated that incorporating SH-CS in concrete would result in only a moderate (∼29%) rise in cost for C40 concrete.","Cenosphere; Encapsulated healing agent; Engineering properties; Hydration; Microstructure; Self-healing concrete","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-21","","","Materials and Environment","","",""
"uuid:e91f3142-28d1-430f-9bb3-3341542dd073","http://resolver.tudelft.nl/uuid:e91f3142-28d1-430f-9bb3-3341542dd073","Ergonomics of spatial configurations: a voxel-based modelling framework for accessibility and visibility simulations","Azadi, S. (Eindhoven University of Technology); Bai, N. (TU Delft Heritage & Architecture); Nourian, Pirouz (University of Twente)","","2024","How can we assess the ergonomic comfort of a sizeable spatial configuration such as the indoor space of a complex building or an urban landscape when we design, plan, and manage the space? Is there a fundamental difference between indoor [architectural] spatial configurations and outdoor [urban] spatial configurations with respect to ergonomics? Can we have a unified approach to the computational study of spatial ergonomics? This paper addresses these fundamental questions while providing a brief taxonomic review of the scholarly literature on these matters from a mathematical point of view, including a brief introduction to the modelling-based approaches to the computational ways of studying the fundamental effects of spatial configuration on human behaviours. Furthermore, the paper proposes a computational approach for ergonomic assessment of spatial configurations that explicitly allows for combined accessibility and visibility analyses in the built environment. The gist of this approach is the conceptualisation of spatial configurations as rasterised (voxelated) 2D manifold walkable terrains whose voxels have 3D vistas, unifying the simulations and analyses of accessibility and visibility. The paper elaborates on how such a representation of space can provide for conducting various sorts of computational queries, analyses, and simulation experiments for research in spatial ergonomics. The paper concludes with a mapping of the computational modelling approaches pertinent to the study and assessment of spatial ergonomics; and marks avenues of future research on various categories of exploratory, generative, and associative models for ex-ante and ex-post assessment of ergonomic matters at spatial scales.","spatial ergonomics; accessibility; visibility; architectural morphology; urban morphology; simulation models","en","journal article","","","","","","","","","","","Heritage & Architecture","","",""
"uuid:6e6c08cb-2258-4157-8179-1641372ac894","http://resolver.tudelft.nl/uuid:6e6c08cb-2258-4157-8179-1641372ac894","The water-soluble fraction of extracellular polymeric substances from a resource recovery demonstration plant: characterization and potential application as an adhesive","Chen, Le Min (Student TU Delft); Erol, Özlem (Universiteit Leiden); Choi, Young Hae (Universiteit Leiden); Pronk, M. (TU Delft BT/Environmental Biotechnology; Royal HaskoningDHV); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology); Lin, Y. (TU Delft BT/Environmental Biotechnology)","","2024","Currently, there is a growing interest in transforming wastewater treatment plants (WWTPs) into resource recovery plants. Microorganisms in aerobic granular sludge produce extracellular polymeric substances (EPS), which are considered sustainable resources to be extracted and can be used in diverse applications. Exploring applications in other high-value materials, such as adhesives, will not only enhance the valorization potential of the EPS but also promote resource recovery. This study aimed to characterize a water-soluble fraction extracted from the EPS collected at the demonstration plant in the Netherlands based on its chemical composition (amino acids, sugar, and fatty acids) and propose a proof-of-concept for its use as an adhesive. This fraction comprises a mixture of biomolecules, such as proteins (26.6 ± 0.3%), sugars (21.8 ± 0.2%), and fatty acids (0.9%). The water-soluble fraction exhibited shear strength reaching 36–51 kPa across a pH range of 2–10 without additional chemical treatment, suggesting a potential application as an adhesive. The findings from this study provide insights into the concept of resource recovery and the valorization of excess sludge at WWTPs.","","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:58938e1b-4b36-4ce1-9c63-a7fca5cbd071","http://resolver.tudelft.nl/uuid:58938e1b-4b36-4ce1-9c63-a7fca5cbd071","Partial discharge and interference discrimination in gas-insulated systems using electric and magnetic sensors","Mier Escurra, C. (TU Delft High Voltage Technology Group); Mor, A. R. (Universitat Politécnica de Valencia); Luo, T. (TU Delft High Voltage Technology Group); Vaessen, P.T.M. (TU Delft High Voltage Technology Group; Kema Labs)","","2024","The correct identification of partial discharges (PDs) is instrumental for the maintenance plan in gas-insulated systems (GIS). However, onsite PD measurements are difficult, especially in HVDC systems, where partial discharges can be confused with interference. This paper proposes a method to discern PDs from interferences based on the GIS characteristic impedance. The characteristic impedance is measured using very-high frequency electric and magnetic sensors, and it is calculated using four approaches based on the PD charge magnitude, peak value, peak-to-peak value, and frequency spectrum. The method is first tested with a PD calibrator in a matched and open-circuited GIS testbench. Then, the identification of PDs and interference is tested in a full-scale GIS, where the measurements are subjected to pulse overlapping and noise. Five types of interferences and PDs are injected into the GIS in two positions and measured in multiple mounting holes. The results show that all four approaches can precisely calculate the characteristic impedance in a matched testbench. In the full-scale GIS, these approaches show more deviation, with the peak approach being the most accurate. A practical application of the method is demonstrated using a calibrator in the full-scale GIS. The proposed method contributes to a more reliable PD monitoring system for HVDC/AC GIS and allows better maintenance planning, reducing unnecessary costs, notably for offshore substations.","Partial discharges; Interference discrimination; Gas-insulated systems; Electric sensor; Magnetic sensor","en","journal article","","","","","","","","","","","High Voltage Technology Group","","",""
"uuid:0f61aa7a-f83f-4037-b803-32f9888ee72f","http://resolver.tudelft.nl/uuid:0f61aa7a-f83f-4037-b803-32f9888ee72f","Daily schedule changes in the automated vehicle era: Uncovering the heterogeneity behind the veil of low survey commitment","Debbaghi, Fatima-Zahra (Vrije Universiteit Brussel); Kroesen, M. (TU Delft Transport and Logistics); de Vries, G. (TU Delft Organisation & Governance); Pudane, B. (TU Delft Transport and Logistics)","","2024","Automated vehicles (AVs) may transform not only our travel experience but our complete daily schedules. This study analyses the data from an interactive stated activity-travel survey using latent class cluster analysis to uncover the types and prevalence of schedule changes with AVs. The analysis reveals that the majority of respondents expected little to no changes in their schedules. Importantly however, these responses are correlated with low commitment to the survey, evident in unrealistically short response times to non-central survey parts and simpler representations of their current schedules. The remaining responses reveal significant and varied changes in activities on board and outside travel, and in commute departure times. We conclude that the prevalence of schedule changes may be underestimated in our and possibly other AV studies due to low survey commitment. Our findings also highlight diverse potential motivations behind schedule changes with AVs: while some travellers may desire to free up time for other activities during the day (time saving), others may satisfy an unmet activity need by engaging in on-board activities (time spending). Considering this heterogeneity is crucial in endeavours to quantify the total benefits and costs that automated vehicles will bring to their users.","Automated vehicles; Time use; Activity schedules; On-board activities; Latent class clustering","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-05","","","Transport and Logistics","","",""
"uuid:259ae4f1-f642-43cc-854f-5c99dd237315","http://resolver.tudelft.nl/uuid:259ae4f1-f642-43cc-854f-5c99dd237315","Contingency Games for Multi-Agent Interaction","Peters, L. (TU Delft Learning & Autonomous Control); Bajcsy, Andrea (Carnegie Mellon University); Chiu, Chih Yuan (University of California Berkeley); Fridovich-Keil, David (The University of Texas at Austin); Laine, Forrest (VanderBilt University); Ferranti, L. (TU Delft Learning & Autonomous Control); Alonso-Mora, J. (TU Delft Learning & Autonomous Control)","","2024","Contingency planning, wherein an agent generates a set of possible plans conditioned on the outcome of an uncertain event, is an increasingly popular way for robots to act under uncertainty. In this work we take a game-theoretic perspective on contingency planning, tailored to multi-agent scenarios in which a robot's actions impact the decisions of other agents and vice versa. The resulting contingency game allows the robot to efficiently interact with other agents by generating strategic motion plans conditioned on multiple possible intents for other actors in the scene. Contingency games are parameterized via a scalar variable which represents a future time when intent uncertainty will be resolved. By estimating this parameter online, we construct a game-theoretic motion planner that adapts to changing beliefs while anticipating future certainty. We show that existing variants of game-theoretic planning under uncertainty are readily obtained as special cases of contingency games. Through a series of simulated autonomous driving scenarios, we demonstrate that contingency games close the gap between certainty-equivalent games that commit to a single hypothesis and non-contingent multi-hypothesis games that do not account for future uncertainty reduction.","Contingency management; Games; Human-Aware Motion Planning; Motion and Path Planning; Pedestrians; Planning; Planning under Uncertainty; Robots; Trajectory; Uncertainty","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-16","","","Learning & Autonomous Control","","",""
"uuid:e7aa213f-4a07-4c77-a8a7-cadbed12aca9","http://resolver.tudelft.nl/uuid:e7aa213f-4a07-4c77-a8a7-cadbed12aca9","Condition-Based Maintenance scheduling of an aircraft fleet under partial observability: A Deep Reinforcement Learning approach","Tseremoglou, I. (TU Delft Air Transport & Operations); Santos, Bruno F. (TU Delft Air Transport & Operations)","","2024","In the Condition-Based Maintenance (CBM) context, the definition of optimal maintenance plans for an aircraft fleet depends on an efficient integration of : (i) the probabilistic predictions of the health condition of the components and (ii) the stochastic arrival of the corrective maintenance tasks, together with consideration of the preventive maintenance tasks as defined in the Maintenance Planning Document (MPD). To this end, in this paper, we present a two-stage dynamic scheduling framework to solve the aircraft fleet maintenance scheduling problem under a CBM strategy in a disruptive environment. In the first stage of the framework, we address the uncertainty in the predicted health state of the monitored components by planning the optimal maintenance policy based upon the belief state-space of the health of the components. The decision-making process is formulated as a Partially Observable Markov Decision Process (POMDP) and is solved using the Partially Observable Monte Carlo Planning (POMCP) algorithm, considering the aircraft maintenance scheduling problem requirements. In the second stage, a Deep Q-Network (DQN) is developed, that integrates the defined maintenance policy of the monitored components within the scheduling of the aircraft fleet's preventive and corrective maintenance tasks. Our model, through a rolling horizon approach, continuously creates and adjusts the maintenance schedule, reacting to new updated task information, where the availability of maintenance resources constraints the execution of each task. The proposed framework was tested on a case study from a large airline and the performance was evaluated against the current state practice of the airline. The results show that our model can schedule 96.4% of monitored components on-time. As a consequence of this, a 46.2% maintenance cost reduction is achieved for the considered monitored components relative to a corrective maintenance approach.","(POMDP); Condition-Based Maintenance (CBM); Deep Reinforcement Learning; Partially Observable Markov Decision Process; Partially Observable Monte–Carlo Planning (POMCP); Planning under uncertainty; Prognostics","en","journal article","","","","","","","","","","","Air Transport & Operations","","",""
"uuid:d909f711-a050-44d1-9b24-de97a94eaa1b","http://resolver.tudelft.nl/uuid:d909f711-a050-44d1-9b24-de97a94eaa1b","Governing capabilities, not places – how to understand social sustainability implementation in urban development","Janssen, C. (TU Delft Practice Chair Urban Area Development); Daamen, T.A. (TU Delft Urban Development Management); Verheul, W.J. (TU Delft Urban Development Management)","","2024","Social sustainability’s implementation in urban development is a complex endeavour that demands alternative forms of governance. This article draws on the capabilities approach as an evaluative framework to better understand this implementation process. Through an in-depth case comparison of two Dutch urban development projects, the study analyses how collaborative governance situations (i.e. actors, activities and phases) relate to the expansions of resident capabilities in the urban areas. The findings present three principles for a ‘capability-centred governance’ of social sustainability in urban development: (1) integrate human logic into urban governance situations (2) balance strong goal commitment with experimentalist approaches and (3) institutionalise social sustainability implementation. The article concludes that social sustainability’s implementation requires a conceptualisation in which improvements in people’s lives are not seen as the self-evident consequences of a set of place-based policy interventions, but instead as a guiding principle that should continuously be reflected upon and learned from during the different phases of urban development processes.","capabilities approach; collaborative governance; policy implementation; social sustainability; urban development projects","en","journal article","","","","","","","","","","","Practice Chair Urban Area Development","","",""
"uuid:50c053b7-70c4-4ed5-b4f1-c3d10849983a","http://resolver.tudelft.nl/uuid:50c053b7-70c4-4ed5-b4f1-c3d10849983a","Adaptation of the Recreovía During COVID-19 Lockdowns: Making Physical Activity Accessible to Older Adults in Bogotá, Colombia","González, Silvia A. (Universidad de Los Andes; District Institute of Recreation and Sports, Bogotá, Colombia); Adlakha, D. (TU Delft Urban Studies); Cabas, Santiago (Universidad de Los Andes); Sánchez-Franco, Sharon C. (Universidad de Los Andes); Rubio, Maria A. (Universidad de Los Andes); Ossa, Natalia (Universidad de Los Andes); Martínez, Paola A. (Universidad de Los Andes; LOGYCA); Espinosa, Nathally (Universidad de Los Andes); Sarmiento, Olga L. (Universidad de Los Andes)","","2024","The community restrictions during the coronavirus disease 2019 pandemic adversely impacted older adults’ physical activity levels. This convergent mixed-method study assessed the adaptation of the Recreovía, a community-based physical activity program in Bogotá, and characterized physical activity levels among older adult participants. Our results showed how the Recreovía adapted during the pandemic to continue promoting physical activity, through indoor and outdoor strategies, including virtual physical activity sessions and safety protocols. During this time, 72%–79% of the older adults attending the adapted program were physically active. A greater proportion of park users (84.2%) and more people involved in vigorous physical activity were observed during Recreovía days. Older adults had positive experiences and perceptions of the Recreovía program related to their health and social well-being. Even though the older adults prefer being outdoors, the adapted program allowed participants to continue with their physical activity routines as much as possible during the pandemic.","community-based physical activity; COVID-19 pandemic; mix-method design; healthy aging; healthy ageing","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-26","","","Urban Studies","","",""
"uuid:a7f84fae-2283-488d-8d22-a9ea4900014d","http://resolver.tudelft.nl/uuid:a7f84fae-2283-488d-8d22-a9ea4900014d","Real-time reconstruction of unsteady rotating forces acting by rotor blades in moving medium","Xu, Ying (Hefei University of Technology); Casalino, D. (TU Delft Wind Energy); Zhang, Xiao Zheng (Hefei University of Technology); Zhang, Yong Bin (Hefei University of Technology); Bi, Chuan Xing (Hefei University of Technology)","","2024","A time-domain inverse aeroacoustic method based on the convective Ffowcs Williams–Hawkings equation is presented. The method allows to determine, in real-time, the unsteady forces exerted on rotating blades in the presence of a moving medium. The inversion procedure is based on a space-time regularization with a mixed l1,2-norm, which guarantees accuracy and smoothness of the solution. The method is initially verified through synthetic acoustic signals emitted by rotating sources in a constant flow, up to a convective Mach number of about 0.88. Then the method is validated through signals generated by a propeller immersed in a wind-tunnel jet flow, up to a Mach number of 0.06. Due to the reduced convective Mach number, the leading aeroacoustic effect is derived from a variation of the blade loading. It is argued that the onset of flow separation at high values of the rotor advance ratio is responsible for the onset of force fluctuations that the inverse method is able to retrieve both qualitatively and quantitatively.","Inverse aeroacoustic method; Moving medium; Real-time reconstruction; Rotor noise; Unsteady rotating forces","en","journal article","","","","","","","","2024-01-01","","","Wind Energy","","",""
"uuid:b703690f-f56a-4fa7-8c96-40f983689d35","http://resolver.tudelft.nl/uuid:b703690f-f56a-4fa7-8c96-40f983689d35","Fuel consumption prediction for pre-departure flights using attention-based multi-modal fusion","Lin, Yi (Sichuan University); Guo, Dongyue (Sichuan University); Wu, Yuankai (McGill University); Li, L. (TU Delft Air Transport & Operations; City University of Hong Kong); Wu, Edmond Q. (Key Laboratory of System Control and Information Processing, Ministry of Education); Ge, Wenyi (Chengdu University of Information Technology)","","2024","Improper fuel loading decision results in carrying excessive dead weight during flight operation, which will burden the airline operation cost and cause extra waste emission. Existing works mainly focused on the post-event fuel consumption based on flight trajectory. In this work, a novel deep learning model, called FCPNet, is proposed to achieve the fuel consumption prediction (FCP) before the flight departure. Considering the influential factors for aircraft performance, the multi-modal information sources, including the planned route, weather information, and operation details, are selected as the model input to predict fuel consumption. Correspondingly, three modules are innovatively proposed to learn embedding features from multi-modal inputs. Based on the planned route, the graph convolutional network is proposed to mine the spatial correlations in the non-Eulerian route network. Considering the grid attributes of the weather information, the ConvLSTM is applied to learn abstract representations from both the temporal and spatial dimensions, in which the three-dimensional convolution neural networks are also designed to fine-tune intermediate feature maps. The fully connected layer is also proposed to learn informative features from operation details. Finally, an attention-based fusion network is presented to generate the final embedding by considering the unique contributions of the multi-modality sources, which are further applied to predict flight fuel consumption. A binary encoding representation is proposed to formulate the FCP task as a multi-binary classification problem. The proposed model is validated on a real-world dataset, and the results demonstrate that it outperforms other baselines, i.e., achieving a 6.50% mean absolute percentage error, which can practically support the airline operation and global emission control before flight departure.","Attention mechanism; ConvLSTM; Graph convolutional network; Multi-modal fusion; Pre-departure fuel consumption prediction","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-26","","","Air Transport & Operations","","",""
"uuid:6b600371-f799-449e-8f95-517ebaef978b","http://resolver.tudelft.nl/uuid:6b600371-f799-449e-8f95-517ebaef978b","Optimally reconfiguring list and correspondence colourings","Cambie, Stijn (Radboud Universiteit Nijmegen; University of Warwick; Katholieke Universiteit Leuven); Cames van Batenburg, W.P.S. (TU Delft Discrete Mathematics and Optimization); Cranston, Daniel W. (Virginia Commonwealth University)","","2024","The reconfiguration graph Ck(G) for the k-colourings of a graph G has a vertex for each proper k-colouring of G, and two vertices of Ck(G) are adjacent precisely when those k-colourings differ on a single vertex of G. Much work has focused on bounding the maximum value of diamCk(G) over all n-vertex graphs G. We consider the analogous problems for list colourings and for correspondence colourings. We conjecture that if L is a list-assignment for a graph G with |L(v)|≥d(v)+2 for all v∈V(G), then diamCL(G)≤n(G)+μ(G). We also conjecture that if (L,H) is a correspondence cover for a graph G with |L(v)|≥d(v)+2 for all v∈V(G), then diamC(L,H)(G)≤n(G)+τ(G). (Here μ(G) and τ(G) denote the matching number and vertex cover number of G.) For every graph G, we give constructions showing that both conjectures are best possible, which also hints towards an exact form of Cereceda's Conjecture for regular graphs. Our first main result proves the upper bounds (for the list and correspondence versions, respectively) diamCL(G)≤n(G)+2μ(G) and diamC(L,H)(G)≤n(G)+2τ(G). Our second main result proves that both conjectured bounds hold, whenever all v satisfy |L(v)|≥2d(v)+1. We conclude by proving one or both conjectures for various classes of graphs such as complete bipartite graphs, subcubic graphs, cactuses, and graphs with bounded maximum average degree. The full paper can also be found at arxiv.org/abs/2204.07928.","","en","journal article","","","","","","","","","","","Discrete Mathematics and Optimization","","",""
"uuid:6c851b17-4bde-48d5-84f4-b43220d9c3dc","http://resolver.tudelft.nl/uuid:6c851b17-4bde-48d5-84f4-b43220d9c3dc","Less stick more carrot? Increasing the uptake of deposit contract financial incentives for physical activity: A randomized controlled trial","de Buisonjé, David R. (Universiteit Leiden); Reijnders, T. (TU Delft Design Aesthetics; Universiteit Leiden); Cohen Rodrigues, Talia R. (Universiteit Leiden); Santhanam, Prabhakaran (ETH Zürich); Kowatsch, Tobias (ETH Zürich; University of Zürich; University of St. Gallen); Breeman, Linda D. (Universiteit Leiden); Janssen, Veronica R. (Universiteit Leiden; Leiden University Medical Center); Kraaijenhagen, Roderik A. (Hearts4people Foundation); Kemps, Hareld M.C. (Maxima Medical Center, Veldhoven; Eindhoven University of Technology); Evers, A.W.M. (TU Delft Applied Ergonomics and Design; Universiteit Leiden; Erasmus Universiteit Rotterdam)","","2024","Background: Financial incentives are a promising tool to help people increase their physical activity, but they are expensive to provide. Deposit contracts are a type of financial incentive in which participants pledge their own money. However, low uptake is a crucial obstacle to the large-scale implementation of deposit contracts. Therefore, we investigated whether (1) matching the deposit 1:1 (doubling what is deposited) and (2) allowing for customizable deposit amounts increased the uptake and short term effectiveness of a deposit contract for physical activity. Methods: In this randomized controlled trial, 137 healthy students (age M = 21.6 years) downloaded a smartphone app that provided them with a tailored step goal and then randomized them to one of four experimental conditions. The deposit contract required either a €10 fixed deposit or a customizable deposit with any amount between €1 and €20 upfront. Furthermore, the deposit was either not matched or 1:1 matched (doubled) with a reward provided by the experiment. During 20 intervention days, daily feedback on goal progress and incentive earnings was provided by the app. We investigated effects on the uptake (measured as agreeing to participate and paying the deposit) and effectiveness of behavioral adoption (measured as participant days goal achieved). Findings: Overall, the uptake of deposit contracts was 83.2%, and participants (n = 113) achieved 14.9 out of 20 daily step goals. A binary logistic regression showed that uptake odds were 4.08 times higher when a deposit was matched (p = .010) compared to when it was not matched. Furthermore, uptake odds were 3.53 times higher when a deposit was customizable (p = .022) compared to when it was fixed. Two-way ANCOVA showed that matching (p = .752) and customization (p = .143) did not impact intervention effectiveness. However, we did find a marginally significant interaction effect of deposit matching X deposit customization (p = .063, ηp2 = 0.032). Customization decreased effectiveness when deposits were not matched (p = .033, ηp2 = 0.089), but had no effect when deposits were matched (p = .776, ηp2 = 0.001). Conclusions: We provide the first experimental evidence that both matching and customization increase the uptake of a deposit contract for physical activity. We recommend considering both matching and customization to overcome lack of uptake, with a preference for customization since matching a deposit imposes significant additional costs. However, since we found indications that customizable deposits might reduce effectiveness (when the deposits are not matched), we urge for more research on the effectiveness of customizable deposit contracts. Finally, future research should investigate which participant characteristics are predictive of deposit contract uptake and effectiveness. Pre-registration: OSF Registries, https://osf.io/cgq48.","Behavior change; Deposit contracts; eHealth; Financial incentives; Physical activity; Rewards","en","journal article","","","","","","","","","","","Design Aesthetics","","",""
"uuid:08269340-4715-4af0-b3c9-4aaed36771ab","http://resolver.tudelft.nl/uuid:08269340-4715-4af0-b3c9-4aaed36771ab","On a multiple time-scales perturbation analysis of a Stefan problem with a time-dependent Dirichlet boundary condition","Ihsan, A.F. (TU Delft Mathematical Physics; Institute of Technology Bandung); van Horssen, W.T. (TU Delft Mathematical Physics); Tuwankotta, J.M. (TU Delft Mathematical Physics; Institute of Technology Bandung)","","2024","In this paper, a classical Stefan problem with a prescribed and small time-dependent temperature at the boundary is studied. By using a multiple time-scales perturbation method, it is shown analytically how the moving boundary profile is influenced by the prescribed temperature at the boundary and the initial conditions. Only a few exact solutions are available for this type of problems and it turns out that the constructed approximations agree very well with these exact solutions. In particular, approximations of solutions for this type of problems, with periodic and decaying temperatures at the boundary, are constructed. Furthermore, these approximations are valid on a long time scale, and seems to be not available in the literature.","Multiple time-scales; Stefan problem; Time-dependent boundary temperature","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-08","","","Mathematical Physics","","",""
"uuid:99c9eaf6-7253-461b-870a-5fb24f201bb6","http://resolver.tudelft.nl/uuid:99c9eaf6-7253-461b-870a-5fb24f201bb6","Stereological determination of particle size distributions for similar convex bodies","van der Jagt, T.F.W. (TU Delft Statistics); Jongbloed, G. (TU Delft Statistics); Vittorietti, M. (TU Delft Statistics; Università degli Studi di Palermo)","","2024","Consider an opaque medium that contains 3D particles. All particles are convex bodies of the same shape, but they vary in size. The particles are randomly positioned and oriented within the medium and cannot be observed directly. Taking a planar section of the medium we obtain a sample of observed 2D section profile areas of the intersected particles. In this paper, the distribution of interest is the underlying 3D particle size distribution for which an identifiability result is obtained. Moreover, a non-parametric estimator is proposed for this size distribution. The estimator is proven to be consistent and its performance is assessed in a simulation study.","consistency; EM; iterative convex minorant; Mellin transform; particle system; Stereology","en","journal article","","","","","","","","","","","Statistics","","",""
"uuid:ea315b12-deb8-428d-b0ab-342abfb02812","http://resolver.tudelft.nl/uuid:ea315b12-deb8-428d-b0ab-342abfb02812","Analysis of alkali-activated mineral wool-slag binders: evaluating the differences between one-part and two-part variations","Adesanya, Elijah (University of Oulu); Dabbebi, Rawia (University of Oulu); Rößler, Christine (Bauhaus University Weimar); Pavlin, Majda (Slovenian National Building and Civil Engineering Institute); Li, Z. (TU Delft Materials and Environment); Luukkonen, Tero (University of Oulu); Yliniemi, Juho (University of Oulu); Illikainen, Mirja (University of Oulu)","","2024","Two synthesis pathways (one- and two-part) in alkali-activated binders were compared using ground granulated blast furnace slag (GGBFS), mineral wool (MW) activated using dry and liquid alkali activators with similar Na2O/SiO2 modulus. The effect of activator type on reaction kinetics, strength development, setting times, and durability shows that one-part synthesis does not only improve early strength, but also provide better durability properties. While the highest compressive strength (56 MPa, 90 days) was achieved for the one-part mix (DM), the reaction products (presence of Mg–Al layered double hydroxide and C–S–H-like phases) observed for both mortar mixes were similar. The DM mortars showed better resistance to sulfate attack than two-part mix (WM) mortars and sets faster. The results highlight the significance of the one-part pathways in the synthesis of alkali-activated materials.","Alkali activation; Blast furnace slag; Glass wool; One-part; Stone wool; Two-part","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:ecd64e47-cb1f-415a-9748-3df608eba234","http://resolver.tudelft.nl/uuid:ecd64e47-cb1f-415a-9748-3df608eba234","What factors influence the intention to use electric motorcycles in motorcycle-dominated countries? An empirical study in Vietnam","Nguyen-Phuoc, Duy Quy (The University of Da Nang); Truong, Thi Minh (The University of Da Nang); Nguyen, Minh Hieu (University of Transport and Communications); Pham, Huong Giang (Foreign Trade University); Li, Z. (Huazhong University of Science and Technology); Oviedo-Trespalacios, O. (TU Delft Safety and Security Science)","","2024","Transitioning to electric motorcycles (EMs) can reduce dependence on carbon-based fuels, mitigate air pollution, and lower greenhouse gas emissions in countries where motorcycles dominate the transportation landscape. However, the factors influencing fleet electrification in these countries remain largely unknown. Given that this challenge pertains to the prior adoption of new technology, technology acceptance theories can provide valuable insights into the adoption of EMs. Additionally, misinformation about EM risks and self-assessment of knowledge could interact as determinants of adoption. This study integrates the Technology Acceptance Model (TAM), the Theory of Planned Behavior (TPB), and perceived risk to elucidate the impact of psychosocial factors on consumers' intentions to use EMs. Furthermore, it examines the direct and moderating effect of subjective knowledge about EM technology on the formation of this behavioral intention. Structural Equation Modeling (SEM) analysis was applied to investigate data collected from 762 respondents through personal interviews using a questionnaire. The results confirm that all original TAM and TPB constructs significantly influence behavioral intention, aligning with theoretical expectations. As hypothesized, perceived risk was found to exert a negative influence on the intention to adopt EMs. Additionally, subjective knowledge was observed to moderate the relationship between perceived usefulness and subjective norms concerning the intention to use EMs. These findings offer a roadmap for developing strategies aimed at encouraging adoption intentions toward EMs, particularly in countries like Vietnam.","Air pollution; Electric motorcycles; Perceived risk; Subjective knowledge; Sustainable transport","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-27","","","Safety and Security Science","","",""
"uuid:137ced4a-b7c4-427b-b699-c31ee2a3fcd6","http://resolver.tudelft.nl/uuid:137ced4a-b7c4-427b-b699-c31ee2a3fcd6","Kinematic Analysis and Application to Control Logic Development for RHex Robot Locomotion","Burzyński, Piotr (Bialystok University of Technology); Pawłuszewicz, Ewa (Bialystok University of Technology); Ambroziak, Leszek (Bialystok University of Technology); Sharma, Suryansh (TU Delft Networked Systems)","","2024","This study explores the kinematic model of the popular RHex hexapod robots which have garnered considerable interest for their locomotion capabilities. We study the influence of tripod trajectory parameters on the RHex robot’s movement, aiming to craft a precise kinematic model that enhances walking mechanisms. This model serves as a cornerstone for refining robot control strategies, enabling tailored performance enhancements or specific motion patterns. Validation conducted on a bespoke test bed confirms the model’s efficacy in predicting spatial movements, albeit with minor deviations due to motor load variations and control system dynamics. In particular, the derived kinematic framework offers valuable insights for advancing control logic, particularly navigating in flat terrains, thereby broadening the RHex robot’s application spectrum.","C-legged hexapod; mobile robot; walking robot; kinematics modeling; simulation","en","journal article","","","","","","This article belongs to the Special Issue Mobile Robots: Navigation, Control and Sensing.","","","","","Networked Systems","","",""
"uuid:de7b7d5c-8376-4979-8b93-0f20e135e823","http://resolver.tudelft.nl/uuid:de7b7d5c-8376-4979-8b93-0f20e135e823","Environmental risk assessment related to using resource recovery‑based bio‑composite materials in the aquatic environment with new laboratory leaching test data","Nativio, A. (TU Delft Sanitary Engineering); Jovanovic, O. (TU Delft Sanitary Engineering); van der Hoek, J.P. (TU Delft Sanitary Engineering); Kapelan, Z. (TU Delft Sanitary Engineering)","","2024","The concept of circular economy, aiming at increasing the sustainability of products and services in the water and other sectors, is gaining momentum worldwide. Driven by this concept, novel bio-composite materials produced by recovering resources from different parts of the water cycle are now manufactured in The Netherlands. The new materials are used for different products such as canal bank protection elements, as an alternative to similar elements made of hardwood. As much as these new materials are appealing from the sustainability point of view, they may leach toxic substances into the aquatic environment given some of their ingredients, e.g., cellulose recovered from wastewater treatment. Therefore, a methodology for the assessment of related environmental risks is needed and it does not exist currently. This paper addresses this knowledge gap by presenting a framework for this. The framework is based on European environmental risk assessment guidelines, and it includes four key steps: (i) hazard identification, (ii) dose–response modelling, (iii) exposure assessment and (iv) risk characterisation (i.e. assessment). As part of the first step, laboratory leaching tests were carried out to evaluate the potential release of specific chemical substances such as heavy metals and resin compounds into the aquatic environment. Laboratory test results were then used as input data to evaluate the risk of potential leaching from canal bank protection elements into surface water. A deterministic model was used first to identify the chemicals exceeding the guideline threshold. Subsequently, a stochastic model was applied to evaluate the environmental risks across a range of leachate concentrations and water velocities in the canal, thereby simulating a broader spectrum of possible situations. The risk analyses were conducted for four alternative bio-composite materials made of different ingredients, two different flow conditions (stagnant water and advective flow) in two types of canals (wide ditch and primary watercourse) and for two different water levels based on season conditions (summer and winter conditions). The results obtained from leaching tests identified Cu, Mn, Zn, styrene and furfuryl alcohol as potentially troublesome chemicals. In the case of stagnant water, the absence of a flow rate increases the residence time of the chemicals in the surface water, resulting in a higher PEC/PNEC (i.e. risk) value. However, under stagnant case conditions, environmental risks for all chemicals considered turned out to be below the safety threshold. In the advective case, the existence of a flow rate, even at low velocities simulating the conditions of ‘almost no flow,’ contributes to increased dilution, resulting in lower PEC/PNEC ratio values. The results presented here, even though representing real-case scenarios, are only indicative as these are based on laboratory leaching tests and a number of assumptions made. Additional field tests involving collecting and analysing water and sediment samples from the canal where the canal bank protection elements are located, over a prolonged period, are required to come up with more conclusive findings.","Bio-composite materials; Canal bank protection environmental risk assessment; Heavy metal contamination; Leaching tests; Sensitivity analysis; Surface water","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:406ff669-7a1c-48e7-ba22-ff917ce0094f","http://resolver.tudelft.nl/uuid:406ff669-7a1c-48e7-ba22-ff917ce0094f","Maximizing ollie height by optimizing control strategy and skateboard geometry using direct collocation","Heinen, Jan T. (Student TU Delft); Brockie, S.G. (TU Delft Biomechatronics & Human-Machine Control); ten Broek, Raymund (Urbansports Performance Centre); van der Kruk, E. (TU Delft Biomechatronics & Human-Machine Control); Moore, J.K. (TU Delft Biomechatronics & Human-Machine Control)","","2024","The ollie is the base aerial human–board maneuver, foundational to most modern skateboarding tricks. We formulate and solve an optimal control problem of a two-dimensional simplified human model and a rigid body skateboard with the objective of maximizing the height of the ollie. Our solution simultaneously discovers realistic human-applied force trajectories and optimal board geometry. We accomplish this with a direct collocation formulation using a null seed initial guess by carefully modeling the discontinuous aspects of board–ground impact and foot–board friction. This leads to efficient and robust solutions that are 10 times more computationally efficient than prior work on similar problems. The solutions show that ollie height can increase 3% by decreasing the wheelbase and that a smaller board with a back-foot-dominated force strategy can give 12% higher ollies. Our model can be used to inform jump strategy and the effects of changes to the essential board geometry.","Direct collocation; Friction; Impact; Optimal control; Parameter optimization; Skateboarding; Trajectory optimization","en","journal article","","","","","","","","","","","Biomechatronics & Human-Machine Control","","",""
"uuid:4386cd46-00c0-475a-bcfd-3e56380b9f3c","http://resolver.tudelft.nl/uuid:4386cd46-00c0-475a-bcfd-3e56380b9f3c","A Fully-Focused SAR Omega-K Closed-Form Algorithm for the Sentinel-6 Radar Altimeter: Methodology and Applications","Hernandez-Burgos, Sergi (Universitat Politecnica de Catalunya); Gibert, Ferran (IsardSAT); Broquetas, Antoni (Universitat Politecnica de Catalunya); Kleinherenbrink, M. (TU Delft Mathematical Geodesy and Positioning); De la Cruz, Adrian Flores (IsardSAT); Gomez-Olive, Adria (IsardSAT); Garcia-Mondejar, Albert (IsardSAT); Aparici, Monica Roca i. (IsardSAT)","","2024","The 2-D frequency-based omega-K method is known to be a suitable algorithm for fully focused SAR (FF-SAR) radar altimeter processors, as its computational efficiency is much higher than equivalent time-based alternatives without much performance degradation. In this article, we provide a closed-form description of a 2-D frequency-domain omega-K algorithm specific for instruments such as Poseidon-4 onboard Sentinel-6. The processor is validated with real data from point targets and over the open ocean. Applications such as ocean swell retrieval and lead detection are demonstrated, showing the potentiality of the processor for future operational global-scale products.","Altimetry; delay/Doppler altimetry; Earth; Fully-Focused SAR; omega-K; Program processors; Radar antennas; SAR altimetry; Satellites; Spaceborne radar; Synthetic aperture radar; synthetic aperture radar (SAR)","en","journal article","","","","","","","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:98dbb10d-8911-49d2-8a36-17fc5be73129","http://resolver.tudelft.nl/uuid:98dbb10d-8911-49d2-8a36-17fc5be73129","Stationary-phase analysis of time-shift extended imaging in a constant-velocity model","Mulder, W.A. (TU Delft Applied Geophysics and Petrophysics; Shell Global Solutions International B.V.)","","2024","To estimate the depth errors in a subsurface model obtained from the inversion of seismic data, the stationary-phase approximation in a two-dimensional constant-velocity model with a dipped reflector is applied to migration with a time-shift extension. This produces two asymptotic solutions: one is a straight line, and the other is a curve. If the velocity differs from the true one, a closed-form expression of the depth error follows from the depth and apparent dip of the reflector as well as the position of the amplitude peak at a non-zero time shift, where the two solutions meet and the extended migration image focuses. The results are compared to finite-frequency results from a finite-difference code. A two-dimensional synthetic example with a salt diapir illustrates how depth errors can be estimated in an inhomogeneous model after inverting the seismic data for the velocity model.","computing aspects; inverse problem; mathematical formulation; seismics; wave","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:48d9c7aa-c573-439d-8d5f-454127a4886b","http://resolver.tudelft.nl/uuid:48d9c7aa-c573-439d-8d5f-454127a4886b","Atmospheric Correction Algorithms Assessment for Sentinel-2A Imagery over Inland Waters of China: Case Study, Qiandao Lake","Allam, Mona (Chinese Academy of Sciences; National Water Research Center, Egypt); Meng, Qingyan (Chinese Academy of Sciences; University of Chinese Academy of Sciences; Hainan Aerospace Information Research Institute); Elhag, Mohamed (King Abdulaziz University; Chinese Academy of Sciences; CI-HEAM/Mediterranean Agronomic Institute of Chania; German University of Technology in Oman); Giardino, Claudia (Institute for Electromagnetic Sensing of the Environment); Ghirardi, Nicola (Institute for Electromagnetic Sensing of the Environment); Su, Yi (Fudan University); Al-Hababi, Mohammed A.M. (University of Chinese Academy of Sciences); Menenti, M. (TU Delft Optical and Laser Remote Sensing)","","2024","Around 90% of the oceanic and inland waters’ reflectance registered in satellite detectors comes from the atmospheric contribution. Hence the water-leaving radiances in the Near-InfraRed (NIR) region are above the zero value over inland waters because of sediments and dissolved organic particles, this radiance cannot be ignored. To accurately retrieve water quality parameters from water-leaving reflectance, atmospheric correction is the most important step. This study evaluated five reliable atmospheric correction algorithms (AC) known as: (ACOLITE, C2RCC, iCOR, 6SV, and Sen2Cor) against optical in-situ measurements collected above the water in Qiandao Lake, China using Sentinel-2 Multi-Spectral Imager. 60 in-situ water samples and optical measurements (range 400–900 nm) above the water were collected at different points in Qiandao Lake. The spectra measurements were used to validate the atmospheric correction processors. All ACs that were evaluated showed high levels of uncertainty. ACOLITE and ICOR performed the best statistics with root mean square differences (RMSD) (0.006 sr−1) while Sen2Cor achieved the lowest RMSD (0.023 sr−1) across the different modules. ACOLITE, had a better performance when applied to meso- and hypereutrophic waters, compared with oligotrophic, while C2RCC performs better at the wavelength of 833 nm (0.007 sr−1). Finally, 6S performs better at the wavelength of 665 nm (0.015 sr−1). This study introduces insights and addresses a significant research gap in the field of atmospheric correction for satellite imagery over inland waters. Prior studies have primarily focused on atmospheric correction algorithms for coastal and open ocean environments while few studies focused on the unique characteristics and challenges associated with inland water bodies. The findings of this study are crucial for researchers, remote sensing experts, and environmental scientists working with Sentinel-2A imagery, as it enables them to make more accurate and reliable interpretations of water quality and other environmental parameters derived from satellite data.","Atmospheric correction; Inland Water; Qiandao Lake; Sentinel-2","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-18","","","Optical and Laser Remote Sensing","","",""
"uuid:e6c7d642-e759-413c-bb05-acbe889c1a31","http://resolver.tudelft.nl/uuid:e6c7d642-e759-413c-bb05-acbe889c1a31","Improved drought forecasting in Kazakhstan using machine and deep learning: a non-contiguous drought analysis approach","Sadrtdinova, Renata (IHE Delft Institute for Water Education); Perez, Gerald Augusto Corzo (IHE Delft Institute for Water Education); Solomatine, D.P. (TU Delft Water Resources; IHE Delft Institute for Water Education; Water Problems Institute of Russian Academy of Sciences)","","2024","Kazakhstan is recently experiencing an increase in drought trends. However, low-capacity probabilistic drought forecasts and poor dissemination have led to a drought crisis in 2021 that resulted in the loss of thousands of livestock. To improve drought forecasting accuracy, this study applies Machine Learning and Deep Learning (ML and DL) algorithms to capture the sequences of drought events using a non-contiguous drought analysis (NCDA). Precipitation, 2-m temperature, runoff, solar radiation, relative humidity, and evaporation were collected from the ERA5 database as input variables. Combinations of inputs were used to build ML models, including seven classifiers (Logistic, K-NN, Kernel SVM, Decision Tree, Random Forest, XGBoost, and GRU). The output events were defined by standardized precipitation index (SPI) and SPEI indicators as binary classes. Weekly time series from 1991 to 2021 for each cell were used to forecast a lead time from 1 week to 6 months. GRU provided 97–99% accuracy in more volatile regions while Random Forest and XGBoost showed 94–99% accuracy at a lead time of 6 months. The accuracy evaluation was based on the confusion matrix and F1 score to analyze the stage change capture. This study demonstrates the effectiveness of using ML and DL algorithms for drought forecasting, with potential applications for other regions.","deep learning; machine learning; NCDA; spatiotemporal drought forecasting; SPEI; SPI","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:83bf377c-cb5d-4a4c-a018-8054c23fca50","http://resolver.tudelft.nl/uuid:83bf377c-cb5d-4a4c-a018-8054c23fca50","System-Wide Effects of Local Bed Disturbance on the Morphological Evolution of a Bifurcating Channel Network","Gao, Weilun (Guangdong University of Technology); Shao, Dongdong (Beijing Normal University); Wang, Zhengbing (TU Delft Coastal Engineering; Deltares); Zhu, Zhenchang (Guangdong University of Technology; Southern Marine Science and Engineering Guangdong Laboratory); Yang, Zhifeng (Guangdong University of Technology; Southern Marine Science and Engineering Guangdong Laboratory)","","2024","Deltaic channel networks are important conduits for water and material supplies to the fluvial and coastal communities. However, increasing human interventions in river deltas have altered the topology and geometry of channel networks as well as their long-term evolution. While the morphological evolution of a single channel has received extensive studies, the system-wide morphological responses of channel networks to local disturbances remain largely unclear. Here we investigate the morphological responses of a bifurcating channel network subject to local disturbance of channel deepening due to dredging and sand mining through idealized simulations, and further compare the results with the reference scenarios of a single channel and theoretical analysis of the phase plane. The results show that the infilling of the local deepening is associated with the erosion of the entire branch, which also causes system-wide effects on the siltation of the other branch. The morphological responses of the bifurcating channel network consist of a relatively short stage for the infilling of the local deepening followed by a relatively long stage for recovering the equilibrium configuration of the river bifurcation. The system-wide effects of the local disturbance arise from the altered water surface slope and water partitioning downstream of the bifurcation due to the local deepening. Also, the prolonged recovery of the equilibrium configuration is consistent with theoretical analysis, which reveals a slow evolution of the bifurcation when approaching the equilibrium. Our results can help understand the long-term morphological responses of large-scale complex channel networks and inform water managements under increasing human interventions.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-26","","","Coastal Engineering","","",""
"uuid:2e364ed0-c104-4ca9-8f66-f5c0e5778a6a","http://resolver.tudelft.nl/uuid:2e364ed0-c104-4ca9-8f66-f5c0e5778a6a","Tools and methods for monitoring the health of the urban greenery","Gupta, A. (TU Delft Water Resources; Massachusetts Institute of Technology); Mora, Simone (Massachusetts Institute of Technology; Norwegian University of Science and Technology (NTNU)); Preisler, Yakir (Harvard University); Duarte, Fábio (Massachusetts Institute of Technology); Venkatesha Prasad, Ranga Rao (TU Delft Networked Systems); Ratti, Carlo (Massachusetts Institute of Technology; Politecnico di Milano)","","2024","Urban greenery supports cities in achieving Sustainable Development Goals, but it is increasingly affected by multiple stressors impacting its health. Owing to the high costs of greenery inspection and monitoring, local governments often lack adequate data to effectively manage their urban greenery and prevent damage. In this Review, we present an overview of technology-supported methods and tools to measure the health of urban greenery and discuss the space–time resolution trade-offs associated with the various methods presented. To inform researchers and policymakers in global cities, we highlight how high-resolution urban greenery health data can support in achieving Sustainable Development Goals at scale.","","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-04","","","Water Resources","","",""
"uuid:ab018a53-1a65-4fa4-be77-37e23dd90abe","http://resolver.tudelft.nl/uuid:ab018a53-1a65-4fa4-be77-37e23dd90abe","Accounting for uncertainties in forecasting tropical-cyclone-induced compound flooding","Nederhoff, C.M. (TU Delft Coastal Engineering; Deltares; IHE Delft Institute for Water Education); van Ormondt, M. (Deltares); Veeramony, Jay (NASA Stennis Space Center); van Dongeren, Ap (IHE Delft Institute for Water Education; Deltares); Antolínez, José A. Á. (TU Delft Coastal Engineering); Leijnse, Tim (Deltares; Vrije Universiteit Amsterdam); Roelvink, D. (IHE Delft Institute for Water Education; Deltares)","","2024","Tropical-cyclone impacts can have devastating effects on the population, infrastructure, and natural habitats. However, predicting these impacts is difficult due to the inherent uncertainties in the storm track and intensity. In addition, due to computational constraints, both the relevant ocean physics and the uncertainties in meteorological forcing are only partly accounted for. This paper presents a new method, called the Tropical Cyclone Forecasting Framework (TC-FF), to probabilistically forecast compound flooding induced by tropical cyclones, considering uncertainties in track, forward speed, and wind speed and/or intensity. The open-source method accounts for all major relevant physical drivers, including tide, surge, and rainfall, and considers TC uncertainties through Gaussian error distributions and autoregressive techniques. The tool creates temporally and spatially varying wind fields to force a computationally efficient compound-flood model, allowing for the computation of probabilistic wind and flood hazard maps for any oceanic basin in the world as it does not require detailed information on the distribution of historical errors. A comparison of TC-FF and JTWC operational ensembles, both based on DeMaria et al. (2009), revealed minor differences of <10 %, suggesting that TC-FF can be employed as an alternative, for example, in data-scarce environments. The method was applied to Cyclone Idai in Mozambique. The underlying physical model showed reliable skill in terms of tidal propagation, reproducing the storm surge generation during landfall and flooding near the city of Beira (success index of 0.59). The method was successfully applied to forecasting the impact of Idai with different lead times. The case study analyzed needed at least 200 ensemble members to get reliable water levels and flood results 3 d before landfall (<1 % flood probability error and <20 cm sampling errors). Results showed the sensitivity of forecasting, especially with increasing lead times, highlighting the importance of accounting for cyclone variability in decision-making and risk management.","","en","journal article","","","","","","","","","","","Coastal Engineering","","",""
"uuid:0bf19746-1611-47d2-a9b2-44b42a023c99","http://resolver.tudelft.nl/uuid:0bf19746-1611-47d2-a9b2-44b42a023c99","Conservation and development of the historic garden in a landscape context: A systematic literature review","Lian, J.S. (TU Delft Landscape Architecture); Nijhuis, S. (TU Delft Landscape Architecture); Bracken, G. (TU Delft Spatial Planning and Strategy); Wu, Xiangyan (Central Academy of Fine Arts (CAFA)); Wu, Xiaomin (Central Academy of Fine Arts (CAFA)); Chen, Dong (Central Academy of Fine Arts (CAFA))","","2024","Although there have been numerous studies on the heritage attributes, characteristics, and values of the historic garden as a special category of cultural heritage, the question is why a comprehensive review combining mainstream historic garden conservation with ways of understanding the garden in a landscape context has not been conducted. Landscape is an integrative concept that combines physical features and the diversity of functions with social and ecological processes throughout the scales of time and space. Therefore, this landscape context means applying the landscape approach to explore the organic connection between the scale of evolution and the architectonic elements in relation to each other. To elaborate, instead of viewing the garden as an object in one specific temporal-spatial frame, such an approach focuses on the evolution of the site in order to identify persistent structures and other values. The method used in this study involved paper coding as qualitative analysis combined with bibliometric visualization software. We reviewed 162 studies to explore the interconnections between the historic garden and landscape approach. The result is that there are three correspondences between landscape approaches and different stages of the historic garden’s conservation and development: studies identifying the historic garden’s characteristics using landscape mapping, studies demonstrating historic gardens’ conservation based on landscape planning, and studies exploring the potential of development and reuse through landscape design. Finally, we discuss the research gaps and outline an action framework for the conservation and development of heritage gardens in a landscape context.","Cultural heritage; Historic garden; Conservation; Development; Landscape approach","en","review","","","","","","","","","","","Landscape Architecture","","",""
"uuid:5230f0c9-a59d-4acd-8cad-d2d8ad9b4bd5","http://resolver.tudelft.nl/uuid:5230f0c9-a59d-4acd-8cad-d2d8ad9b4bd5","Accumulation of floating particles at hydraulic structures","Magherini, A. (TU Delft Civil Engineering & Geosciences); Yan Toe, C. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Stancanelli, L.M. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Wüthrich, D. (TU Delft Hydraulic Structures and Flood Risk); Uijttewaal, W.S.J. (TU Delft Environmental Fluid Mechanics)","","2024","Plastic pollution is a threat for all ecosystems due to its effects on people, animals, and environment (Mai et al., 2020). Rivers are estimated to transport around 0.5 millions tons of plastic per year (Strokal et al., 2023). When plastic enters a river system, it is transported downstream towards the sea but it is also likely to accumulate at specific cross sections and locations, including hydraulic structures (Al-Zawaidah et al., 2021), eventually increasing the risk of floods.
Gates, locks, weirs, and bridges are commonly present in rivers and canals and have several functions, including water level reg-ulation, flood safety, and inland water shipping. These can also be found in water treatment plants, hydropower stations as well as debris/plastic collection systems (Honingh et al., 2020). Riverine plastic accumulation is also known to cause geomorphic changes (Al-Zawaidah et al., 2021).
In-depth knowledge on how plastic particles accumulate upstream of hydraulic structures is therefore crucial to understand the processes that affect plastic transport, its influence on the safety and functionality of hydraulic structures and their effects on the hydro- and morphody-namic conditions of the flow (Yan Toe et al., 2022).
In this research experiments were performed using simplified plastic particles to analyse the processes that lead to the instability of accumulated particles upstream of a simple gate.","plastic accumulation; hydraulic structures; carpet instability; erosion","en","abstract","","","","","","","","","Civil Engineering & Geosciences","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:acf174cb-4aa1-4a52-81e0-7afe94aec072","http://resolver.tudelft.nl/uuid:acf174cb-4aa1-4a52-81e0-7afe94aec072","Hybrid geometry sets for global registration of cross-source geometric data","Li, Minglei (Nanjing University of Aeronautics and Astronautics); Peng, Shu (Nanjing University of Aeronautics and Astronautics); Nan, L. (TU Delft Urban Data Science)","","2024","We propose a concept of hybrid geometry sets for registering cross-source geometric data. Specifically, our method focuses on the coarse registration of geometric data obtained from laser scanning and photogrammetric reconstruction. Due to different characteristics (e.g., variations in noise levels, density, and scales), achieving accurate registration between these data becomes a challenging task. The proposed method uses geometric structures to construct hybrid geometry sets, and the geometric relations between the elements of a hybrid geometry set are encoded in a hybrid feature space. This enables effective and efficient similarity query and correspondence establishment between the hybrid geometry sets. The proposed global registration method works in three steps. Firstly, a set of hybrid geometry sets is constructed using extracted planes and intersection lines. Then the features of the hybrid geometry sets are computed to encode the relative pose and topological relationships between the extracted planes and intersection lines, and their correspondences between the two inputs are established by querying hybrid geometry sets with similar features. Finally, the global registration parameters are calculated using the correspondences, and the registration result is further refined through continuous optimization. The robustness of the method has been evaluated using different real-world cross-source geometric data of urban scenes. Extensive comparisons with state-of-the-art algorithms have also demonstrated its effectiveness.","Cross-source geometric data; Global registration; Hybrid geometry sets; Scale restoration","en","journal article","","","","","","","","","","","Urban Data Science","","",""
"uuid:eefa4cec-3d38-41bd-ab13-13c9cce3fd70","http://resolver.tudelft.nl/uuid:eefa4cec-3d38-41bd-ab13-13c9cce3fd70","Subsidy allocation for residential building energy retrofit: A perspective of families' incomes","You, K. (TU Delft Design & Construction Management; Chongqing University; Beijing Institute of Technology); Qian, QK (TU Delft Design & Construction Management); Cai, Weiguang (Chongqing University); Wang, Xia (Southwestern University of Finance and Economics); Visscher, H.J. (TU Delft Design & Construction Management)","","2024","High household end-user services demand of high-income families results in higher energy consumption compared with low-income families, indicating high-income families may save more energy from similar building energy retrofitting (BER) strategies. Therefore, current BER subsidy policies, which consider technique indicators and ignore families' income, will make high-income families' recovery costs faster, and can't maximize the incentive for residents’ BER awareness. To formulate a equitable and efficient subsidy policies considering families’ income, this study selected Chongqing as the study case and employed propensity scores matching method to evaluate BER's actual energy savings performance for families with different incomes. Meanwhile, the BER subsidies are reallocated based on the dynamic cost payback period. The results indicated that, following BER, the energy savings of high-income families (7.36 kWh/m2) were higher than the mid- (3.96 kWh/m2) and low-income (3.25 kWh/m2) families. Notably, under current subsidy policies, the cost payback period of low-income families is nearly 2.55 and 3.14 times of the mid-income (6.61 years) and high-income (5.37 years) groups, respectively. This study suggests a subsidy of 32.57 yuan/m2, 20.27 yuan/m2, and 15.38 yuan/m2 for low-income, mid-income, and high-income families, respectively. These results provide novel insights into the actual energy-saving performance of residential buildings and help policymakers to formulate fair subsidy policies.","Actual energy savings performance; Building energy efficiency standard; Building energy retrofit; Income; Subsidy allocation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-28","","","Design & Construction Management","","",""
"uuid:cbda0b8e-6d7e-45f5-a6ea-d12e0f2fc86d","http://resolver.tudelft.nl/uuid:cbda0b8e-6d7e-45f5-a6ea-d12e0f2fc86d","Effect of struts and central tower on aerodynamics and aeroacoustics of vertical axis wind turbines using mid-fidelity and high-fidelity methods","Shubham, Shubham (Nottingham Trent University; Cranfield University); Avallone, F. (Politecnico di Torino); Brandetti, L. (TU Delft Wind Energy); Wright, Nigel (University of Birmingham); Ianakiev, Anton (Nottingham Trent University)","","2024","This study investigates the impact of struts and a central tower on the aerodynamics and aeroacoustics of Darrieus Vertical Axis Wind Turbines (VAWTs) at chord-based Reynolds numbers of 8.12e4. A 2-bladed H-Darrieus VAWT is used, featuring a 1.5m diameter, a solidity of 0.1 and a blade cross-section of symmetrical NACA 0021. The turbine design is kept simple and straight-bladed which is essential for isolating and analyzing the specific effects of struts and a tower. The high-fidelity Lattice Boltzmann Method (LBM) in PowerFLOW 6-2020 and the mid-fidelity Lifting Line Free Vortex Wake (LLFVW) method in QBlade 2.0 are employed, with the mid-fidelity method providing a faster analytical tool for insights into the turbine performance. Firstly, both the LLFVW (mid-fidelity) and LBM (high-fidelity) methods effectively capture the general trends observed in VAWT power performance. However, the former predicts mean thrust values that are approximately 10% higher, and mean torque values that are approximately 19% higher, in comparison to the latter. Subsequently, the former predicts lower streamwise wake velocities relative to those predicted by the latter. These differences increase in configurations that include struts and a tower (to 30% - 31%). Secondly, the presence of struts and a tower leads to a reduction in both mean power (by 15% to 55%) and thrust (by 3% to 3.6%), with a further small decrease observed when doubling the tower diameter (power and thrust both by 0.5% to 3%). The struts predominantly affect the spanwise distribution of blade loading, while the tower impacts the azimuthal variation of blade loading. Additionally, the addition of struts and a tower reduces low-frequency noise (50-200 Hz) while increasing high-frequency noise (> 300 Hz). The observed decrease in mean blade loading results in reduced low-frequency noise, while the increase in high-frequency noise is ascribed to the increased intensity of BWI/BVI leading to higher unsteady loading fluctuations on blades.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Wind Energy","","",""
"uuid:ae7cee14-edbb-4b00-8010-fff67d5b69f5","http://resolver.tudelft.nl/uuid:ae7cee14-edbb-4b00-8010-fff67d5b69f5","Complicating the debate: Evaluating the potential of gas-chromatography-mass spectrometry for differentiating prehistoric aceramic tar production techniques","Chasan, R. (TU Delft Team Joris Dik); Baron, L.I. (TU Delft ChemE/O&O groep); Kozowyk, P.R.B. (TU Delft Team Joris Dik); Langejans, G.H.J. (TU Delft Team Joris Dik; University of Johannesburg)","","2024","Birch bark tar was used extensively throughout human history. While later ceramic-based production technologies are known, prehistoric aceramic techniques leave little to no archaeological evidence. Experimental tar production attempts to fill this gap and suggest potential techniques. However, their archaeological relevance is unclear. Through an in-depth biomolecular analysis using Gas Chromatography-Mass Spectrometry, this study attempts to differentiate tars produced using four experimental aceramic techniques: condensation, ash mound, pit roll, and raised structure. In doing so we publish the largest collection of GC-MS results of aceramic birch tars. The results show that pentacyclic triterpenoids, characteristic of birch bark, vary between the production techniques in relation to heating exposure and perhaps the tar collection method. This allows for a tentative identification of tars produced through the condensation and ash mound techniques, which were formed consistently using short periods of heating and collected systematically by scraping. In contrast, tars produced using the pit roll and raised structure techniques do not have consistent molecular signatures. Despite the partial success of Gas Chromatography-Mass Spectrometry, the archaeological relevance is questioned because this technique is only applicable to samples from optimum lipid preservation conditions when a high number of pentacyclic triterpenoids are preserved. Therefore, using Gas Chromatography-Mass Spectrometry to determine the transformation methods of organics, like birch bark, may not be an appropriate standalone technique to fairly discuss the technological capabilities of past populations.","Adhesives; Ancient technology; Birch tar; Gas Chromatography-mass spectrometry; Organic residue analysis; Prehistory; Terpenoids","en","journal article","","","","","","","","","","","Team Joris Dik","","",""
"uuid:5143fd20-6abd-4d34-b60f-5034124dc1e9","http://resolver.tudelft.nl/uuid:5143fd20-6abd-4d34-b60f-5034124dc1e9","The potential of end-of-life ships as a floating seawall and the methodical use of gap resonance for wave attenuation","Wang, G. (TU Delft Ship Hydromechanics and Structures; Technion); Bar, Daniel (Technion); Schreier, S. (TU Delft Ship Hydromechanics and Structures)","","2024","This study examines the potential of a new type of floating seawall, made up of retired large-scale oceangoing vessels, to be used in open water and exposed coastal areas. The main objectives of the research are to assess the effectiveness of the floating seawall concept, to determine the contribution of the gap resonance to wave attenuation, and to compare the results of physical tests with those obtained numerically using ANSYS-AQWA. The use of end-of-life ships in this way provides a unique opportunity to extend their life cycle and reduce the environmental and human health risks associated with the current practice of shipbreaking. The research focuses on a multimodule floating seawall configuration, where each module is composed of two hulls that are rigidly connected side by side, with a small gap to induce gap resonance. The results suggest that end-of-life ships can be used as a resource for the construction of floating seawalls for various marine applications. Furthermore, the results demonstrate the positive influence of the gap resonance on the wave attenuation capacity of the seawall, as well as the limitations of the numerical tool in providing realistic values in this region.","Floating breakwaters; Floating structures; Gap resonance; Hydrodynamic interaction; Ocean and sea space utilization; Wave transmission coefficient","en","journal article","","","","","","","","","","","Ship Hydromechanics and Structures","","",""
"uuid:a0cd6902-6dc9-43dc-9779-6098e29843ad","http://resolver.tudelft.nl/uuid:a0cd6902-6dc9-43dc-9779-6098e29843ad","The concept of circular water value and its role in the design and implementation of circular desalination projects. The case of coal mines in Poland","Xevgenos, Dimitris (TU Delft Energie and Industrie; Sealeau); Tourkodimitri, K. Panteleaki (Student TU Delft); Mortou, M. (Sealeau); Mitko, K. (Silesian University of Technology); Sapoutzi, D. (Sealeau); Stroutza, D.E. (TU Delft BT/Biotechnology and Society); Turek, M. (Silesian University of Technology); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology)","","2024","Circular economy has become a popular subject, attracting attention from academics, practitioners, and policy-makers alike. However, despite the excitement surrounding it, the concept of circular economy has been criticized for being vague and having multiple interpretations from different fields. As a result, there is a lack of evidence and guidance for practitioners, making it difficult to put into practice. Our goal is to fill this gap by bridging the design and implementation of circular economy solutions in the water sector. Through an exploratory study of two case studies, we have shown the significance of what we call as “circular water value” in the context of coal mining. This value is strongly influenced by the chemistry, concentration levels and purity of these effluents. We compared the circular value of the two cases (ranging from 2.5 to 6 euros per cubic meter) to the cost of the novel treatment system, developed by the authors through the EU-funded project ZERO BRINE, to capture this value. This allowed us to evaluate the potential for circular economy implementation. We suggest that this circular transition can offer significant opportunities to coal mining regions in enabling a just transition implementation. This is a topic that is increasingly gaining interest among academic and practitioner communities, further triggered by the recently adopted Just Transition Mechanism. This mechanism secures targeted support of 55 billion euro for the period 2021–2027 for the most affected regions within Europe. The concept of “circular water value” introduced in this article can serve as a tool for exploring the creation of emerging circular value chains from coal mines, as well as for other wastewater treatment and resource recovery projects in general.","Brine treatment; Circular business models; Circular economy,; Coal mine wastewater; Desalination,; Design for sustainability; Resource recovery; Salts; Water","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:dcfaea53-3e8a-46c5-82a5-95c360861277","http://resolver.tudelft.nl/uuid:dcfaea53-3e8a-46c5-82a5-95c360861277","Draft genome sequence of the Saccharomyces cerevisiae SpyCas9 expressing strain IMX2600, a laboratory and platform strain from the CEN.PK lineage for cell-factory research","van den Broek, M.A. (TU Delft BT/Industriele Microbiologie); Ortiz Merino, R.A. (TU Delft Research Data and Software); Bennis, N.X. (TU Delft BT/Industriele Microbiologie); Wronska, A.K. (TU Delft BT/Industriele Microbiologie); Else-Hassing, J. (TU Delft BT/Industriele Microbiologie); Daran-Lapujade, P.A.S. (TU Delft BT/Industriele Microbiologie); Daran, J.G. (TU Delft BT/Industriele Microbiologie)","","2024","The biobased-economy aims to create a circular biotechnology ecosystem to transition from a fossil fuel-based to a sustainable industry based on biomass. For this, new microbial cell-factories are essential. We present the draft genome of the CEN.PK-derived Saccharomyces cerevisiae SpyCas9 expressing strain (IMX2600), that serve as chassis of new cell-factories.","biotechnology; cell factory; CRISPR; metabolic engineering; Saccharomyces cerevisiae","en","journal article","","","","","","Publisher Copyright: Copyright © 2023 van den Broek et al. This is an open-access article distributed under the terms of the Creative Commons Attribution 4.0 International license.","","","","","BT/Industriele Microbiologie","","",""
"uuid:e230fab5-afda-41f9-93cf-0ce8c6020f64","http://resolver.tudelft.nl/uuid:e230fab5-afda-41f9-93cf-0ce8c6020f64","The organo-metal-like nature of long-range conduction in cable bacteria","Pankratov, Dmitrii (Universiteit Antwerpen); Hidalgo Martinez, Silvia (Universiteit Antwerpen); Karman, Cheryl (Universiteit Antwerpen); Gerzhik, Anastasia (Forschungszentrum Jülich GmbH); Gomila, Gabriel (Barcelona Institute of Science and Technology (BIST); Universitat de Barcelona); Trashin, Stanislav (Universiteit Antwerpen); Boschker, H.T.S. (TU Delft BT/Environmental Biotechnology; Universiteit Antwerpen); Geelhoed, Jeanine S. (Universiteit Antwerpen); Meysman, F.J.R. (TU Delft BT/Environmental Biotechnology; Universiteit Antwerpen)","","2024","Cable bacteria are filamentous, multicellular microorganisms that display an exceptional form of biological electron transport across centimeter-scale distances. Currents are guided through a network of nickel-containing protein fibers within the cell envelope. Still, the mechanism of long-range conduction remains unresolved. Here, we characterize the conductance of the fiber network under dry and wet, physiologically relevant, conditions. Our data reveal that the fiber conductivity is high (median value: 27 S cm−1; range: 2 to 564 S cm−1), does not show any redox signature, has a low thermal activation energy (Ea = 69 ± 23 meV), and is not affected by humidity or the presence of ions. These features set the nickel-based conduction mechanism in cable bacteria apart from other known forms of biological electron transport. As such, conduction resembles that of an organic semi-metal with a high charge carrier density. Our observation that biochemistry can synthesize an organo-metal-like structure opens the way for novel bio-based electronic technologies.","Bioelectronics; Cable bacteria; Electrochemical impedance spectroscopy; Long-distance electron transport; Protein conductivity","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:c944ae79-0b9a-4522-bef4-3a858fc9d4b3","http://resolver.tudelft.nl/uuid:c944ae79-0b9a-4522-bef4-3a858fc9d4b3","Mitigating Motion Sickness with Optimization-Based Motion Planning","Zheng, Y. (TU Delft Intelligent Vehicles); Shyrokau, B. (TU Delft Intelligent Vehicles); Keviczky, T. (TU Delft Team Tamas Keviczky)","","2024","The acceptance of automated driving is under the potential threat of motion sickness. It hinders the passengers' willingness to perform secondary activities. In order to mitigate motion sickness in automated vehicles, we propose an optimization-based motion planning algorithm that minimizes the distribution of acceleration energy within the frequency range that is found to be the most nauseogenic. The algorithm is formulated into integral and receding-horizon variants and compared with a commonly used alternative approach aiming to minimize accelerations in general. The proposed approach can reduce frequency-weighted acceleration by up to 11.3% compared with not considering the frequency sensitivity for the price of reduced overall acceleration comfort. Our simulation studies also reveal a loss of performance by the receding-horizon approach over the integral approach when varying the preview time and nominal sampling time. The computation time of the receding-horizon planner is around or below the real-time threshold when using a longer sampling time but without causing significant performance loss. We also present the results of experiments conducted to measure the performance of human drivers on a public road section that the simulated scenario is actually based on. The proposed method can achieve a 19% improvement in general acceleration comfort or a 32% reduction in squared motion sickness dose value over the best-performing participant. The results demonstrate considerable potential for improving motion comfort and mitigating motion sickness using our approach in automated vehicles.","Automated vehicles; motion planning; motion sickness; real-time optimization","en","journal article","","","","","","","","","","","Intelligent Vehicles","","",""
"uuid:4d81be6f-c414-41e9-809e-00f449442def","http://resolver.tudelft.nl/uuid:4d81be6f-c414-41e9-809e-00f449442def","New Theoretical Model to Describe Carrier Multiplication in Semiconductors: Explanation of Disparate Efficiency in MoTe2 versus PbS and PbSe","Weerdenburg, S. (TU Delft ChemE/Catalysis Engineering); Singh, N. (TU Delft ChemE/Opto-electronic Materials); van der Laan, Marco (Institute for Theoretical Physics Amsterdam); Kinge, Sachin (Toyota Motor Europe); Schall, Peter (Institute for Theoretical Physics Amsterdam); Siebbeles, L.D.A. (TU Delft ChemE/Opto-electronic Materials)","","2024","We present a theoretical model to compute the efficiency of the generation of two or more electron-hole pairs in a semiconductor by the absorption of one photon via the process of carrier multiplication (CM). The photogeneration quantum yield of electron-hole pairs is calculated from the number of possible CM decay pathways of the electron and the hole. We apply our model to investigate the underlying cause of the high efficiency of CM in bulk 2H-MoTe2, as compared to bulk PbS and PbSe. Electronic band structures were calculated with density functional theory, from which the number of possible CM decay pathways was calculated for all initial electron and hole states that can be produced at a given photon energy. The variation of the number of CM pathways with photon energy reflects the dependence of experimental CM quantum yields on the photon energy and material composition. We quantitatively reproduce experimental CM quantum yields for MoTe2, PbS, and PbSe from the calculated number of CM pathways and one adjustable fit parameter. This parameter is related to the ratio of Coulomb coupling matrix elements and the cooling rate of the electrons and holes. Large variations of this fit parameter result in small changes in the modeled quantum yield for MoTe2, which confirms that its high CM efficiency can be mainly attributed to its extraordinary large number of CM pathways. The methodology of this work can be applied to analyze or predict the CM efficiency of other materials.","","en","journal article","","","","","","","","","","","ChemE/Catalysis Engineering","","",""
"uuid:998d3bf0-6ea9-48f2-96fb-e2cd8964966b","http://resolver.tudelft.nl/uuid:998d3bf0-6ea9-48f2-96fb-e2cd8964966b","Progress in Engineering Synthetic Cells and Cell-Free Systems","Dogterom, A.M. (TU Delft BN/Marileen Dogterom Lab); Kamat, Neha P. (Northwestern University); Jewett, Michael C. (Stanford University); Adamala, Katarzyna P. (University of Minnesota Twin Cities)","","2024","","","en","contribution to periodical","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-02","","","BN/Marileen Dogterom Lab","","",""
"uuid:dd640a1a-7c6c-4161-8428-a92ee7ae474d","http://resolver.tudelft.nl/uuid:dd640a1a-7c6c-4161-8428-a92ee7ae474d","What Does 'Failure' Mean in Civic Tech?: We Need Continued Conversations About Discontinuation","Hamm, Andrea (Weizenbaum Institute for the Networked Society - German Internet Institute); Shibuya, Yuya (University of Tokyo); Cerratto Pargman, Teresa (Stockholm University); Bendor, R. (TU Delft Design Conceptualization and Communication); Raetzsch, Christoph (Aarhus University); Hendawy, Mennatullah (Ain Shams University); Rehak, Rainer (Weizenbaum Institute for the Networked Society - German Internet Institute); Klerks, Gwen (Eindhoven University of Technology); Schouten, Ben (Eindhoven University of Technology); Brodersen Hansen, Nicolai (Aalborg University)","","2024","Civic tech, also referred to as digital civics in HCI, designates efforts to use technology to bring together citizens, bring governments closer to citizens, or improve public service infrastructure. Such sociotechnical encounters are meant to address public needs and increase interactions and information flows between citizens and/or authorities. In this sense, they represent efforts to bolster democratic participation and oversight. Yet, despite the importance of these goals and due to their inherent complexity, civic tech initiatives are often discontinued, leading to a considerable loss of public investment and energy and contributing to a sense of failure. To be sure, this is a global phenomenon: While civic tech initiatives emerge at different places in the world, they are often confronted with the same or very similar impediments. But because of the sense of failure felt by those involved, there are few opportunities to openly discuss discontinuation. Events and academic conferences dedicated to civic tech often foreground short-term success stories and published research papers, and so HCI practitioners and researchers miss opportunities to consider long-term perspectives and slower, ongoing (democratic) transformation processes. What we suggest here, therefore, is that failure and discontinuation should also be seen as productive learning opportunities.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-02","","","Design Conceptualization and Communication","","",""
"uuid:149f61ec-c39d-491a-8313-690d5d77fa1b","http://resolver.tudelft.nl/uuid:149f61ec-c39d-491a-8313-690d5d77fa1b","The Internet of Batteryless Things","Ahmed, Saad (Georgia Institute of Technology); Islam, Bashima (Worcester Polytechnic Institute); Yildirim, Kasim Sinan (Università di Trento); Zimmerling, Marco (Technische Universität Darmstadt); Pawełczak, Przemysław (TU Delft Embedded Systems); Alizai, Muhammad Hamad (Lahore University of Management Sciences); Lucia, Brandon (Carnegie Mellon University); Mottola, Luca (Politecnico di Milano); Sorber, Jacob (Clemson University); Hester, Josiah (Georgia Institute of Technology)","","2024","","","en","journal article","","","","","","","","","","","Embedded Systems","","",""
"uuid:a48c1478-abfd-4fa1-86b0-0b1ddff9a3b6","http://resolver.tudelft.nl/uuid:a48c1478-abfd-4fa1-86b0-0b1ddff9a3b6","Strong invariance principles for ergodic Markov processes","Pengel, A.L. (TU Delft Statistics); Bierkens, G.N.J.C. (TU Delft Statistics)","","2024","Strong invariance principles describe the error term of a Brownian approximation to the partial sums of a stochastic process. While these strong approximation results have many applications, results for continuous-time settings have been limited. In this paper, we obtain strong invariance principles for a broad class of ergodic Markov processes. Strong invariance principles provide a unified framework for analysing commonly used estimators of the asymptotic variance in settings with a dependence structure. We demonstrate how this can be used to analyse the batch means method for simulation output of Piecewise Deterministic Monte Carlo samplers. We also derive a fluctuation result for additive functionals of ergodic diffusions using our strong approximation results.","asymptotic variance estimation; piecewise deterministic Markov processes; Strong invariance principle","en","journal article","","","","","","","","","","","Statistics","","",""
"uuid:37765bba-314a-43f5-a909-2a731e307d70","http://resolver.tudelft.nl/uuid:37765bba-314a-43f5-a909-2a731e307d70","Multi-mode industrial soft sensor method based on mixture Laplace variational auto-encoder","Zhang, Tianming (Taiyuan University of Technology); Yan, Gaowei (Taiyuan University of Technology; Shanxi Research Institute of Huairou Laboratory); Li, Rong (Taiyuan University of Technology); Xiao, Shuyi (Taiyuan University of Technology); Pang, Y. (TU Delft Transport Engineering and Logistics)","","2024","The industrially collected process data usually exhibit non-Gaussian and multi-mode characteristics. Due to sensor failures, irregular disturbances, and transmission problems, there are unavoidable outliers that make the data exhibit heavy-tailed characteristics. To this end, a variational auto-encoder regression method based on the mixture Laplacian distribution (MLVAER) is proposed, by introducing a type-II multivariate Laplacian distribution in the latent variable space for robust modeling, and further extending it to the mixture form to accommodate multi-mode processes, the corresponding reparameterization trick is finally proposed for the mixture form of this distribution for neural network gradient descent training. The model based on this distribution assumption has higher degrees of freedom than the model based on the traditional multivariate Laplace distribution assumption when the network structure is the same. Numerical simulation and experiments on two industrial examples demonstrate that the proposed algorithm reduces the root mean square error by over 15% compared to other algorithms.","Heavy tail; Mixture Laplace; Multi-mode; Soft sensor; Variational auto-encoder","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-05","","","Transport Engineering and Logistics","","",""
"uuid:b582824d-9bc4-4840-8868-bf2e5ce75c50","http://resolver.tudelft.nl/uuid:b582824d-9bc4-4840-8868-bf2e5ce75c50","Ocean Bottom Seismometer Clock Correction using Ambient Seismic Noise","Naranjo, D. (TU Delft Applied Geophysics and Petrophysics; King Abdullah University of Science and Technology); Parisi, Laura (King Abdullah University of Science and Technology); Jónsson, Sigurjón (King Abdullah University of Science and Technology); Jousset, Philippe (Helmholtz Centre Potsdam - GFZ German Research Centre for Geosciences); Werthmüller, D. (TU Delft Applied Geophysics and Petrophysics); Weemstra, C. (TU Delft Applied Geophysics and Petrophysics; Royal Netherlands Meteorological Institute (KNMI))","","2024","Ocean-bottom seismometers (OBSs) are equipped with seismic sensors that record acoustic and seismic events at the seafloor, which makes them suitable for investigating tectonic structures capable of generating earthquakes offshore. One critical parameter to obtain accurate earthquake locations is the absolute time of the incoming seismic signals recorded by the OBSs. It is, however, not possible to synchronize the internal clocks of the OBSs with a known reference time, given that GNSS signals are unable to reach the instrument at the sea bottom. To address this issue, here we introduce a new method to synchronize the clocks of large-scale OBS deployments. Our approach relies on the theoretical time-symmetry of time-lapse (averaged) crosscorrelations of ambient seismic noise. Deviations from symmetry are attributed to clock errors. This implies that the recovered clock errors will be obscured by lapse crosscorrelations' deviations from symmetry that are not due to clock errors. Non-uniform surface wave illumination patterns are arguably the most notable source which breaks the time symmetry. Using field data, we demonstrate that the adverse effects of non-uniform illumination patterns on the recovered clock errors can be mitigated by means of a weighted least-squares inversion that is based on station-station distances. In addition, our methodology permits the recovery of timing errors at the time of deployment of the OBSs. This error can be attributed to either: i) a wrong initial time synchronization of the OBS or ii) a timing error induced by changing temperature and pressure conditions while the OBS is sunk to the ocean floor. The methodology is implemented in an open-source Python package named OCloC, and we applied it to the OBS recordings acquired in the context of the IMAGE project in and around Reykjanes, Iceland. As expected, most OBSs suffered from clock drift. Surprisingly, we found incurred timing errors at the time of deployment for most of the OBSs.","","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:093c8324-ed84-45f7-a177-daf316d6c5e0","http://resolver.tudelft.nl/uuid:093c8324-ed84-45f7-a177-daf316d6c5e0","Impact of CO2 hydrates on injectivity during CO2 storage in depleted gas fields: A literature review","Aghajanloo, M. (TU Delft Reservoir Engineering); Yan, L. (TU Delft Reservoir Engineering); Berg, Steffen (Shell Global Solutions International B.V.); Voskov, D.V. (TU Delft Reservoir Engineering; Stanford University); Farajzadeh, R. (TU Delft Reservoir Engineering; Shell Global Solutions International B.V.)","","2024","Carbon dioxide capture and storage in subsurface geological formations is a potential solution to limit anthropogenic CO2 emissions and combat global warming. Depleted gas fields offer significant CO2 storage volumes; however, injection of CO2 into these reservoirs poses some potential challenges for the injectivity, containment and well/facility integrity due to low temperatures caused by isenthalpic expansion of CO2. A key injectivity risk is due to possible formation of hydrates at the low expected temperatures. This study aims to address main causes of CO2 hydrate formation and its impact on permeability of porous media. This review highlights the current state of knowledge in the literature while emphasizing the need to bridge existing gaps in derisking CO2 injection into (depleted) low-pressure gas reservoirs. In summary, according to the existing literature, the potential for hydrate formation is assessed to be credible. Current industry solutions exist to manage this risk; however, they are costly and energy intensive. Future research will be needed to provide capabilities to manage this risk more efficiently.","CO hydrate; CO storage; Depleted gas fields; Injectivity; Porous media","en","journal article","","","","","","","","","","","Reservoir Engineering","","",""
"uuid:56ad355d-48e7-414d-b431-09e62987db79","http://resolver.tudelft.nl/uuid:56ad355d-48e7-414d-b431-09e62987db79","Empirical assessment of ChatGPT’s answering capabilities in natural science and engineering","Schulze Balhorn, L. (TU Delft ChemE/Process Systems Engineering); Weber, J.M. (TU Delft Pattern Recognition and Bioinformatics); Buijsman, S.N.R. (TU Delft Ethics & Philosophy of Technology); Hildebrandt, Julian R. (Rheinisch-Westfälische Technische Hochschule); Ziefle, Martina (Rheinisch-Westfälische Technische Hochschule); Schweidtmann, A.M. (TU Delft ChemE/Process Systems Engineering)","","2024","ChatGPT is a powerful language model from OpenAI that is arguably able to comprehend and generate text. ChatGPT is expected to greatly impact society, research, and education. An essential step to understand ChatGPT’s expected impact is to study its domain-specific answering capabilities. Here, we perform a systematic empirical assessment of its abilities to answer questions across the natural science and engineering domains. We collected 594 questions on natural science and engineering topics from 198 faculty members across five faculties at Delft University of Technology. After collecting the answers from ChatGPT, the participants assessed the quality of the answers using a systematic scheme. Our results show that the answers from ChatGPT are, on average, perceived as “mostly correct”. Two major trends are that the rating of the ChatGPT answers significantly decreases (i) as the educational level of the question increases and (ii) as we evaluate skills beyond scientific knowledge, e.g., critical attitude.","","en","journal article","","","","","","Publisher Copyright: © The Author(s) 2024.","","","","","ChemE/Process Systems Engineering","","",""
"uuid:be561a4b-a184-42ca-a5e1-ff96f5b510bc","http://resolver.tudelft.nl/uuid:be561a4b-a184-42ca-a5e1-ff96f5b510bc","Fatigue behaviour of toe and root stiffener cracks in stiffener-to-deck plate weld of orthotropic bridge decks","Wu, W. (TU Delft Steel & Composite Structures); Veljkovic, M. (TU Delft Steel & Composite Structures); Kolstein, M.H. (TU Delft Steel & Composite Structures); Maljaars, Johan (Eindhoven University of Technology; TNO); Pijpers, Richard (TNO)","","2024","Fatigue cracks in the stiffener-to-deck plate connections of orthotropic bridge decks, initiating from the weld toe or root and propagating into the stiffener or weld throat, are experimentally and numerically studied. A statistical analysis of the structural stress is carried out using the experimental data. Automatic welded specimens show a significantly higher fatigue resistance than manual welded ones for both details of the study. Including results in the literature, the characteristic fatigue resistances appear larger than the values in current standards and range between 100 and 160 MPa. A proposal for the fatigue resistance values is given for design purposes. The effective notch stress, averaged strain energy density factor, and fracture mechanics methods are employed to study the sensitivity of the weld toe and root cracks for different (geometrical) variations, such as the lack of weld penetration. Among them, the fracture mechanics method agrees best with the experimental data. With the increase of weld penetration ratios from 75% to 100%, the fracture mechanics predicted fatigue resistances remain approximately equal for the weld toe cracks and increase for the weld root cracks.","Fatigue resistance; Local assessment methods; Orthotropic bridge deck; Structural stress; Toe and root stiffener cracks","en","journal article","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:9f9c80c2-a405-491b-b7fd-3b0769f9711d","http://resolver.tudelft.nl/uuid:9f9c80c2-a405-491b-b7fd-3b0769f9711d","Changes in appearance during the spoilage process of fruits and vegetables: Implications for consumer use and disposal","Schifferstein, Hendrik N.J. (TU Delft Design Aesthetics)","","2024","People waste a lot of food, especially at the consumption stage in consumer households. Despite the urgency of this topic, little is known about how consumers use visual inspection to decide to throw away fruits and vegetables at different stages of ripening and spoilage. We presented 366 US consumers with images of a banana, mango, cucumber, and avocado in 5 stages of decay in an online study and we determined how signs of decay affected participants’ consumption, preparation and disposal behaviors. As expected, product attractiveness, freshness, healthiness, and nutritiousness decreased, while the degree of decay, overripeness, and disgust increased over time. The number of people willing to consume the product was linearly related to the perceived proportion of the product affected by decay, while the number of people wanting to cut off bad parts was highest when about 40% of the product was judged to be affected. As time went on, the banana was cooked and mashed more often, while the cucumber was peeled more often. As growing, ripening and decay differ considerably between agricultural products, it is important to take sensory and preparation differences into account when investigating consumption and disposal behaviors.","Decay; Decision making; Food literacy; Food safety; Food waste","en","journal article","","","","","","","","","","","Design Aesthetics","","",""
"uuid:cfcc180e-e253-4c3d-a32c-af6f8ccae757","http://resolver.tudelft.nl/uuid:cfcc180e-e253-4c3d-a32c-af6f8ccae757","Depth of Sudden Velocity Changes Derived From Multi-Mode Rayleigh Waves","Finger, C. (Fraunhofer IEG); Löer, K. (TU Delft Applied Geophysics and Petrophysics; University of Aberdeen)","","2024","To integrate structural subsurface models and smooth seismic velocity models, they need to share common features and resolutions. Here, we propose a new approach, Depth Assessment from Rayleigh Wave Ellipticities (DARE), for estimating the depth of sudden velocity changes from ambient-noise multi-mode Rayleigh waves applicable to a wide range of frequencies. At frequencies where multi-mode Rayleigh waves have an extremum in ellipticity, the phase velocity can be used to estimate the depth of sudden velocity changes. We test our approach theoretically, numerically, and on real data from two geothermal sites by extracting Rayleigh wave ellipticities and phase velocities from three-component beamforming of ambient noise using the python code package B3AMpy. For a small-scale array, our approach validates the depth of quaternary sediments predicted by geological models. For deeper velocity changes, high uncertainties remain but the general trend of inclining boundaries can be recovered well. We demonstrate that, if impedance contrasts are larger than three, our approach is valid for multiple layers, laterally heterogeneous models, and a wide range of Poisson ratios.","","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:6fd718ca-f688-48ad-b4e0-023f9edf1731","http://resolver.tudelft.nl/uuid:6fd718ca-f688-48ad-b4e0-023f9edf1731","To warrant clinical adoption AI models require a multi-faceted implementation evaluation","van de Sande, Davy (Erasmus MC); Chung, Eline Fung Fen (Erasmus MC); Oosterhoff, J.H.F. (TU Delft Information and Communication Technology); van Bommel, Jasper (Erasmus MC); Gommers, D.A.M.P.J. (Erasmus MC); van Genderen, Michel E. (Erasmus MC)","","2024","Despite artificial intelligence (AI) technology progresses at unprecedented rate, our ability to translate these advancements into clinical value and adoption at the bedside remains comparatively limited. This paper reviews the current use of implementation outcomes in randomized controlled trials evaluating AI-based clinical decision support and found limited adoption. To advance trust and clinical adoption of AI, there is a need to bridge the gap between traditional quantitative metrics and implementation outcomes to better grasp the reasons behind the success or failure of AI systems and improve their translation into clinical value.","","en","journal article","","","","","","","","","","","Information and Communication Technology","","",""
"uuid:eb309ec5-ef4f-4136-be44-fffde25b3506","http://resolver.tudelft.nl/uuid:eb309ec5-ef4f-4136-be44-fffde25b3506","User experience of wearing comfort of reusable versus disposable surgical gowns and environmental perspectives: A cross-sectional survey","van Nieuwenhuizen, Kim E. (Leiden University Medical Center); Friedericy, Hans J. (Leiden University Medical Center); van der Linden, Sjaak (Leiden University Medical Center); Jansen, F.W. (TU Delft Medical Instruments & Bio-Inspired Technology; Leiden University Medical Center); van der Eijk, A.C. (TU Delft Medical Instruments & Bio-Inspired Technology; Leiden University Medical Center)","","2024","Objective: To determine the user experience of wearing comfort of reusable sterile surgical gowns and compare these gowns with conventional disposable surgical gowns. Design: Cross-sectional survey. Setting: An academic hospital in the Netherlands. Population: Gynaecologists, surgeons, residents and operating room assistants (n=80). Methods: Quantitative and qualitative data were obtained via a written questionnaire. Participants provided subjective comments and scored the reusable gown on each individual topic with a score from 1 to 5 (1 = unsatisfactory, 2 = moderate, 3 = good, 4 = very good, 5 = excellent) and compared the reusable gown with the conventional disposable alternative (better, equal or worse). Main outcome measures: Wearing comfort: ventilation and temperature regulation, fit and length, functionality, barrier function and ease of use. Results: The results of the overall scores of the reusable gown are scored as ‘very good’ (mean 4.3, SD ± 0.5) by its users. Regarding comparison of the gowns, more than 79% (lowest score 79%, highest score 95%) of the participants scored the reusable gown equal or higher on six of seven topics. The topic ‘ease of use’ was scored equal or higher by 59% of the participants. Subjective comments provided information on possible improvements. Conclusions: The findings of this study demonstrate that there is professional acceptance regarding the utilisation of reusable surgical gowns. To facilitate broader adoption, it is imperative to foster collaboration among suppliers and healthcare institutions. The reusable surgical gown is an environmentally sustainable, safe and comfortable alternative in the operating room.","climate change; comfort; operating room; surgery; surgical gowns; sustainability; user experience","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:73cd9700-c88a-472c-b241-247fb1dab4cc","http://resolver.tudelft.nl/uuid:73cd9700-c88a-472c-b241-247fb1dab4cc","Correction: The stories about racism and health: the development of a framework for racism narratives in medical literature using a computational grounded theory approach","Figueroa, C.A. (TU Delft Information and Communication Technology; University of California); Manalo-Pedro, Erin (University of California); Pola, Swetha (University of California); Darwish, Sajia (University of California); Sachdeva, Pratik (University of California); Guerrero, Christian (American Medical Association); von Vacano, Claudia (University of California); Jha, Maithili (American Medical Association); De Maio, Fernando (DePaul University); Kennedy, Chris J. (University of California; Harvard Medical School; Massachusetts General Hospital)","","2024","After publication of this article [1], the authors reported that the disclaimer statement in the backmatter was missing and should have read ‘Disclaimer: The ideas in this article are those of the authors and do not necessarily represent policy of the American Medical Association.’ The original article [1] has been corrected.
(International Journal for Equity in Health, (2023), 22, 1, (265), 10.1186/s12939-023-02077-0)
2) storage potential to support an H2-based energy future. Understanding H2 flow and trapping in subsurface rocks is crucial to reliably evaluate their storage efficiency. In this work, we perform cyclic H2 flow visualization experiments on a layered rock sample with varying pore and throat sizes. During drainage, H2 follows a path consisting of large pores and throats, through a low permeability rock layer, substantially reducing H2 storage capacity. Moreover, due to the rock heterogeneity and depending on the experimental flow strategy, imbibition unexpectedly results in higher H2 saturation compared to drainage. These results emphasize that small-scale rock heterogeneity, which is often unaccounted for in reservoir-scale models, plays a vital role in H2 displacement and trapping in subsurface porous media, with implications for efficient storage strategies.","Energy transition; Hydrogen storage; Pore-scale 3D visualization; Porous media","en","journal article","","","","","","","","","","","Applied Geology","","",""
"uuid:54d047d6-8c9f-4c95-99b8-8f65f31dc797","http://resolver.tudelft.nl/uuid:54d047d6-8c9f-4c95-99b8-8f65f31dc797","A numerical study on the effect of asymmetry on underwater noise emission in offshore monopile installation","Molenkamp, T. (TU Delft Dynamics of Structures); Tsouvalas, A. (TU Delft Dynamics of Structures; TU Delft Offshore Engineering); Metrikine, A. (TU Delft Hydraulic Engineering; TU Delft Engineering Structures)","","2024","Offshore wind energy holds significant promise as a solution in the energy transition. However, installing offshore pile foundations can generate substantial levels of underwater noise, posing potential risks to marine life. This paper examines the influence of asymmetric impact forces and pile inclination on producing underwater noise and seabed vibrations based on cases of a small- and large-diameter monopile. The study focuses on scenarios involving inclined and eccentric forces and tilted piles. The analysis reveals that non-symmetrical conditions significantly impact the sound pressure levels around the ring frequency of the pile due to various noise generation mechanisms. However, it is observed that the vertical component of the impact force predominantly contributes to the generation of underwater noise, primarily due to its considerably higher amplitude.","Asymmetric noise field; Inclined pile; Pile driving; Underwater noise","en","journal article","","","","","","","","","","Hydraulic Engineering","Dynamics of Structures","","",""
"uuid:6a4c6bc2-1106-490d-b6c0-1f4e33b838d5","http://resolver.tudelft.nl/uuid:6a4c6bc2-1106-490d-b6c0-1f4e33b838d5","Forecasting House Prices through Credit Conditions: A Bayesian Approach","van der Drift, R. (TU Delft Real Estate Management); de Haan, J. (TU Delft Real Estate Management); Boelhouwer, P.J. (TU Delft Real Estate Management)","","2024","As housing development and housing market policies involve many long-term decisions, improving house price predictions could benefit the functioning of the housing market. Therefore, in this paper, we investigate how house price predictions can be improved. In particular, the merits of Bayesian estimation techniques in enhancing house price predictions are examined in this study. We compare the pseudo out-of-sample forecasting power of three Bayesian models—a Bayesian vector autoregression in levels (BVAR-l), a Bayesian vector autoregression in differences (BVAR-d), and a Bayesian vector error correction model (BVECM)—and their non-Bayesian counterparts. These techniques are compared using a theoretical model that predicts the borrowing capacity of credit-constrained and unconstrained households to affect house prices. The findings indicate that the Bayesian models outperform their non-Bayesian counterparts, and within the class of Bayesian models, the BVAR-d is found to be more accurate than the BVAR-l. For the two winning Bayesian models, i.e., the BVECM and the BVAR-d, the difference in forecasting power is more ambiguous; which model prevails depends on the desired forecasting horizon and the state of the economy. Hence, both Bayesian models may be considered when conducting research on house prices.","Bayesian VAR; Bayesian VECM; Cointegration; Forecasting; House prices","en","journal article","","","","","","","","","","","Real Estate Management","","",""
"uuid:b718a726-74d4-4ec5-bee7-202fd723898d","http://resolver.tudelft.nl/uuid:b718a726-74d4-4ec5-bee7-202fd723898d","Design of morphing wing for aerodynamic performance considering the wing flexibility effects","Navratil, J. (Brno University of Technology); Hostinský, V. (Brno University of Technology); Sodja, J. (TU Delft Group Sodja)","","2024","This paper provides an insight into ongoing research aimed at designing a morphing wing with the ability to continuously adapt its aerodynamic shape. The wing is targeted at a general purpose unmanned aerial vehicle. The morphing wing concept outlined in the paper is based on continuous camber changes of the wing leading and trailing edges, allowing optimal performance in different flight regimes. The aeroelastic tailoring method is used to design the load carrying structure of the wing in order to define the optimal stiffness and strength of the structure, which are considered as fixed in subsequent design steps. The research proposes a novel modular design approach that combines aerodynamic shape optimisation and aeroelastic considerations for designing morphing wing surfaces.","","en","journal article","","","","","","","","","","","Group Sodja","","",""
"uuid:ce82c956-9af7-45be-9312-6509922b4f13","http://resolver.tudelft.nl/uuid:ce82c956-9af7-45be-9312-6509922b4f13","The influence of spatial variation on the design of foundations of immersed tunnels: Advanced probabilistic analysis","'t Hart, C.M.P. (TU Delft Hydraulic Structures and Flood Risk; Tunnel Engineering Consultants; Royal Haskoning DHV); Morales Napoles, O. (TU Delft Hydraulic Structures and Flood Risk); Jonkman, Sebastiaan N. (TU Delft Hydraulic Structures and Flood Risk)","","2024","Immersed tunnels are positive buoyant structures during installation and negative buoyant after installation. A tunnel is composed of sequential immersed elements that are coupled to each other in joints. Tunnel elements consist of segments which are compressed to each other by longitudinal post-tensioning. After immersion the tunnel is supported by the seabed and the longitudinal post-tension is cut at the joints between segments. Therefore, the structure is a segmented lining which is sensitive for settlements due to non uniform circumstances over the length of the tunnel. An uneven response of the bedding underneath the tunnel introduce shear forces in joints of an immersed tunnel. Because immersed tunnels need to be buoyant during installation, they have limitations on weight and geometry, the size and therefore the capacity of these shear keys is limited because the height of the tunnel, as shear keys are applied in the walls of the tunnel. The foundation response is influenced by many factors related to subsoil but also to construction and dredging tolerances. The shear forces were derived as a function of different covariance lengths for subsoil stiffness and dredging tolerances for different tunnel layouts. In reliability analyses, using two different probabilistic methods, exceedance probabilities of maximum shear forces are derived for one lay out using Non Parametric Bayesian Networks and Vine Copulas. The analyses give more insight in to the magnitude of the shear forces in joints both in conditioned and unconditioned situations and this can be used for the design of immersed tunnels.","Bedding; Covariance length; Dredging; Gaussian random fields; Immersed tunnels; Non Parametric Bayesian Network; Soft soil tunnels; Vine Copulas","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:ee516813-bf31-4e57-a7b1-d11b06c4db1f","http://resolver.tudelft.nl/uuid:ee516813-bf31-4e57-a7b1-d11b06c4db1f","A data-driven approach to analyse the co-evolution of urban systems through a resilience lens: A Helsinki case study","Casali, Y. (TU Delft Transport and Logistics; Basque Centre for Climate Change); Aydin, N.Y. (TU Delft System Engineering); Comes, M. (TU Delft Transport and Logistics)","","2024","Urban areas are dynamic systems, in which different infrastructural, social and economic subsystems continuously co-evolve. As such, disruptions in one system can propagate to another. However, open challenges remain in (i) assessing the long-term implications of change for resilience and (ii) understanding how resilience propagates throughout urban systems over time. Despite the increasing reliance on data in smart cities, few studies empirically investigate long-term urban co-evolution using data-driven methods, leading to a gap in urban resilience assessments. This paper presents an approach that combines Getis-ord Gi* statistical and correlation analyses to investigate how cities recover from crises and adapt by analysing how the spatial patterns of urban characteristics and their relationships changed over time. We illustrate our approach through a study on Helsinki’s road infrastructure, socioeconomic system and built-up area from 1991 to 2016, a period marked by a major socioeconomic crisis. By analysing this case study, we provide insights into the co-evolution over more than two decades, thereby addressing the lack of longitudinal studies on urban resilience.","Co-evolution; Getis-Ord Gi*; recovery; resilience; road network; spatiotemporal data","en","journal article","","","","","","","","","","","Transport and Logistics","","",""
"uuid:96f83610-4736-4d77-9be1-1b617a7ac7bc","http://resolver.tudelft.nl/uuid:96f83610-4736-4d77-9be1-1b617a7ac7bc","Characterisation of bacterial decay effects on wooden foundation piles across various historical periods","Mirra, M. (TU Delft Bio-based Structures & Materials); Pagella, G. (TU Delft Bio-based Structures & Materials); Lee, Michael (Student TU Delft); Gard, W.F. (TU Delft Bio-based Structures & Materials); Ravenshorst, G.J.P. (TU Delft Bio-based Structures & Materials); van de Kuilen, J.W.G. (TU Delft Bio-based Structures & Materials; Technische Universität München)","","2024","In the historic city centre of Amsterdam (NL), the predominant foundation system is comprised of wooden piles. Due to their placement below the water table, these foundations are susceptible to bacterial decay. This study aims to investigate and compare various methods for characterizing decay patterns within the cross sections of piles retrieved from two bridges in Amsterdam. The examined piles span different construction years: three originate from 1727, four from 1886, and two from 1922. Following extraction, the piles were transported to TU Delft Stevin II Laboratory, where they underwent further subdivision into three segments, each representing the head, middle, and tip, resulting in a total of 27 segments. The effects of bacterial decay were characterised by performing micro-drilling measurements, small-scale material and compressive tests on prismatic samples extracted from the segments' cross sections, computed tomography scans, and light microscopy observations. Microscopic examination revealed severe degradation in all segments dating back to 1727, extending 20–50 mm from their surface. This outcome was also confirmed by the other adopted methods: the corresponding prisms had large moisture contents and poor mechanical properties, while low basic densities and drilling amplitudes were obtained from CT scans and micro-drilling measurements, respectively. On the contrary, the internal sections of the 1727 segments exhibited no evidence of decay and demonstrated properties consistent with those observed in sound segments from 1886 and 1922. Finally, the observed gradients of density, strength, and stiffness were well correlated with micro-drilling measurements, which can therefore be reliably used as on-site assessment method to reconstruct the properties of the piles.","Bacterial decay; Conservation of architectural heritage; Micro-drilling techniques; Service life modelling; Wooden foundation piles","en","journal article","","","","","","","","","","","Bio-based Structures & Materials","","",""
"uuid:86377084-00c8-4888-a55d-3c433a016262","http://resolver.tudelft.nl/uuid:86377084-00c8-4888-a55d-3c433a016262","Liquid injectivity in a SAG foam process: Effect of permeability","Gong, J. (TU Delft Reservoir Engineering; Hohai University); Wang, Yuan (Hohai University); Kamarul Bahrim, Ridhwan Zhafri B. (Petronas Research); Tewari, Raj Deo (Petronas Research); Mahamad Amir, Mohammad Iqbal (Petronas Research); Farajzadeh, R. (TU Delft Reservoir Engineering; Shell Global Solutions International B.V.); Rossen, W.R. (TU Delft Reservoir Engineering)","","2024","Foam is utilized in enhanced oil recovery and CO2 sequestration. Surfactant-alternating-gas (SAG) is a preferred approach for placing foam into reservoirs, due to it enhances gas injection and minimizes corrosion in facilities. Our previous studies with similar permeability cores show that during SAG injection, several banks occupy the area near the well where fluid exhibits distinct behaviour. However, underground reservoirs are heterogeneous, often layered. It is crucial to understand the effect of permeability on fluid behaviour and injectivity in a SAG process. In this work, coreflood experiments are conducted in cores with permeabilities ranging from 16 to 2300 mD. We observe the same sequence of banks in cores with different permeabilities. However, the speed at which banks propagate and their overall mobility can vary depending on permeability. At higher permeabilities, the gas-dissolution bank and the forced-imbibition bank progress more rapidly during liquid injection. The total mobilities of both banks decrease with permeability. By utilizing a bank-propagation model, we scale up our experimental findings and compare them to results obtained using the Peaceman equation. Our findings reveal that the liquid injectivity in a SAG foam process is misestimated by conventional simulators based on the Peaceman equation. The lower the formation permeability, the greater the error.","Enhanced oil recovery; Foam; Injectivity; Permeability; Surfactant-alternating-gas","en","journal article","","","","","","","","","","","Reservoir Engineering","","",""
"uuid:b2d6fbf3-30ca-4275-94fe-563842330707","http://resolver.tudelft.nl/uuid:b2d6fbf3-30ca-4275-94fe-563842330707","Beyond Failure and Success: A Process View on Imperfect Projects as Common Practice","van Marrewijk, A.H. (TU Delft Design & Construction Management; BI Norwegian Business School; Vrije Universiteit Amsterdam); Stjerne, Iben (Technical University of Denmark); Sydow, Jörg (Freie Universität Berlin)","","2024","This editorial scrutinizes the dichotomy of a project’s success and failure, which, in our opinion is too rigid, inflexible, and unnuanced. The aim of this special issue is to nuance this dichotomy by moving toward a process view on how imperfection is brought about in projects. We introduce and discuss five topics important for such a process view: (1) improvisation, (2) temporality, (3) power and politics, (4) transition, and (5) intentionality. We argue that a holistic, processual view of imperfections premises emergence and continuous learning and judgments of the project both in and over time. All five articles in this special issue deal with at least one of the discussed themes of our proposed process view on imperfect projects.","failure; imperfect project; learning; process; success","en","contribution to periodical","","","","","","","","","","","Design & Construction Management","","",""
"uuid:a097ae29-f9c3-4629-87f0-49c037cdec52","http://resolver.tudelft.nl/uuid:a097ae29-f9c3-4629-87f0-49c037cdec52","From Short Circuit to Completed Circuit: Conductive Hydrogel Facilitating Oral Wound Healing","Zhou, Qiangqiang (Fudan University); Dai, Hanqing (Fudan University); Yan, Yukun (Fudan University); Qin, Zhiming (Fudan University); Zhou, Mengqi (Fudan University); Zhang, Wanlu (Fudan University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Guo, Ruiqian (Fudan University); Wei, X. (Fudan University)","","2024","The primary challenges posed by oral mucosal diseases are their high incidence and the difficulty in managing symptoms. Inspired by the ability of bioelectricity to activate cells, accelerate metabolism, and enhance immunity, a conductive polyacrylamide/sodium alginate crosslinked hydrogel composite containing reduced graphene oxide (PAA-SA@rGO) is developed. This composite possesses antibacterial, anti-inflammatory, and antioxidant properties, serving as a bridge to turn the “short circuit” of the injured site into a “completed circuit,” thereby prompting fibroblasts in proximity to the wound site to secrete growth factors and expedite tissue regeneration. Simultaneously, the PAA-SA@rGO hydrogel effectively seals wounds to form a barrier, exhibits antibacterial and anti-inflammatory properties, and prevents foreign bacterial invasion. As the electric field of the wound is rebuilt and repaired by the PAA-SA@rGO hydrogel, a 5 × 5 mm2 wound in the full-thickness buccal mucosa of rats can be expeditiously mended within mere 7 days. The theoretical calculations indicate that the PAA-SA@rGO hydrogel can aggregate and express SOX2, PITX1, and PITX2 at the wound site, which has a promoting effect on rapid wound healing. Importantly, this PAA-SA@rGO hydrogel has a fast curative effect and only needs to be applied for the first three days, which significantly improves patient satisfaction during treatment.","conductive hydrogel; microphysiological electric field; oral mucosal disease; tissue regeneration","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-02","","","Electronic Components, Technology and Materials","","",""
"uuid:8928e27d-d3b4-409a-99a2-20c5c53208e0","http://resolver.tudelft.nl/uuid:8928e27d-d3b4-409a-99a2-20c5c53208e0","Natronoglomus mannanivorans gen. nov., sp. nov., beta-1,4-mannan utilizing natronoarchaea from hypersaline soda lakes","Sorokin, Dimitry Y. (TU Delft BT/Environmental Biotechnology; Russian Academy of Sciences); Elcheninov, Alexander G. (Russian Academy of Sciences); Bale, Nicol J. (NIOZ Royal Netherlands Institute for Sea Research); Sinnighe Damsté, Jaap S. (NIOZ Royal Netherlands Institute for Sea Research); Kublanov, Ilya V. (Russian Academy of Sciences)","","2024","Beta-mannans are insoluble plant polysaccharides with beta-1,4-linked mannose as the backbone. We used three forms of this polysaccharide, namely, pure mannan, glucomannan, and galactomannan, to enrich haloarchaea, which have the ability to utilize mannans for growth. Four mannan-utilizing strains obtained in pure cultures were closely related to each other on the level of the same species. Furthermore, another strain selected from the same habitats with a soluble beta-1,4-glucan (xyloglucan) was also able to grow with mannan. The
phylogenomic analysis placed the isolates into a separate lineage of the new genus level within the family Natrialbaceae of the class Halobacteria. The strains are moderate alkaliphiles, extremely halophilic, and aerobic saccharolytics. In addition to the three beta-mannan forms, they can also grow with cellulose, xylan, and xyloglucan. Functional genome analysis of two representative strains demonstrated the presence of several genes coding for extracellular endo-beta-1,4-mannanase from the GH5_7 and 5_8 subfamilies and the GH26 family of glycosyl hydrolases. Furthermore, a large spectrum of genes encoding other glycoside hydrolases that were potentially involved in the hydrolysis of cellulose and xylan were also identified in the genomes. A comparative genomics analysis also showed the presence of similar endo-beta-1,4-mannanase homologs in the cellulotrophic genera Natronobiforma and Halococcoides. Based on the unique physiological properties and the results of phylogenomic analysis, the novel mannan-utilizing halolarchaea are proposed to be classified into a new genus and species Natronoglomus mannanivorans gen. nov., sp. nov. with the type strain AArc-m2/3/4 (=JCM 34861=UQM 41565).","Hypersaline lakes; haloarchaea; glucomannan; galactomannan; beta-1,4-mannan","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:e84e88ef-7994-413c-a273-24ad4dd24d40","http://resolver.tudelft.nl/uuid:e84e88ef-7994-413c-a273-24ad4dd24d40","Genome-wide characterization of circulating metabolic biomarkers","Karjalainen, Minna K. (University of Oulu); Karthikeyan, Savita (University of Cambridge); Oliver-Williams, Clare (University of Cambridge); Sliz, Eeva (University of Oulu); Allara, Elias (University of Cambridge); Fung, Wing Tung (University of Cambridge); Surendran, Praveen (University of Cambridge); van den Akker, E.B. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center)","","2024","Genome-wide association analyses using high-throughput metabolomics platforms have led to novel insights into the biology of human metabolism1–7. This detailed knowledge of the genetic determinants of systemic metabolism has been pivotal for uncovering how genetic pathways influence biological mechanisms and complex diseases8–11. Here we present a genome-wide association study for 233 circulating metabolic traits quantified by nuclear magnetic resonance spectroscopy in up to 136,016 participants from 33 cohorts. We identify more than 400 independent loci and assign probable causal genes at two-thirds of these using manual curation of plausible biological candidates. We highlight the importance of sample and participant characteristics that can have significant effects on genetic associations. We use detailed metabolic profiling of lipoprotein- and lipid-associated variants to better characterize how known lipid loci and novel loci affect lipoprotein metabolism at a granular level. We demonstrate the translational utility of comprehensively phenotyped molecular data, characterizing the metabolic associations of intrahepatic cholestasis of pregnancy. Finally, we observe substantial genetic pleiotropy for multiple metabolic pathways and illustrate the importance of careful instrument selection in Mendelian randomization analysis, revealing a putative causal relationship between acetone and hypertension. Our publicly available results provide a foundational resource for the community to examine the role of metabolism across diverse diseases.","","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:c52e6616-3284-45c8-9577-7e92be7c49a9","http://resolver.tudelft.nl/uuid:c52e6616-3284-45c8-9577-7e92be7c49a9","Examining student profiles for dealing with wickedness","Veltman, M.M. (Universiteit van Amsterdam; Windesheim University of Applied Sciences); van Keulen, J. (TU Delft Science Education and Communication); Smits, A. E.H. (Windesheim University of Applied Sciences); Voogt, J. M. (Universiteit van Amsterdam)","","2024","When addressing problems with wicked tendencies in higher professional education, students experience complexity, uncertainty, and value divergence. Furthermore, they are confronted with disciplinary, organisational, and sector boundaries. Prior research has revealed variability in students’ experiences and boundary-crossing behaviour when dealing with problems with wicked tendencies. In this study we explore these differences by identifying student profiles based on the attributes that comprise the competence for dealing with problems with wicked tendencies, and by identifying their relations with students’ boundary-crossing behaviour and relevant work experience. Person-centred cluster analysis in a sample of first-year students (N = 264) from a bachelor’s programme in social work identified four student profiles, based on students’ self-assessed degree of creativity, critical thinking, initiative, proactivity, risk tolerance, and work efficacy. Meaningful relations with students’ prior work experiences and their boundary-crossing behaviour were found. These profiles could serve to better understand students’ boundary-crossing behaviour when confronted with problems with wicked tendencies, and help teachers foster the development of all students.","boundary crossing; higher education; problem-solving competence; student profiles; teacher strategies; wicked problems","en","journal article","","","","","","","","","","","Science Education and Communication","","",""
"uuid:53403207-3875-4e99-a6f0-f7128f60e942","http://resolver.tudelft.nl/uuid:53403207-3875-4e99-a6f0-f7128f60e942","Moral foundations in gender violence cases decided in Portuguese courts","Martins Martinho Bessa, A.C. (TU Delft Transport and Logistics); Kroesen, M. (TU Delft Transport and Logistics); Chorus, C.G. (TU Delft Industrial Design Engineering)","","2024","Gender violence encompasses a multitude of morally problematic psychological, physical, and sexual behaviors that, in most countries, constitute criminal offenses. In this study, we investigate the association between moral foundations (Care, Fairness, Loyalty, Authority, and Sanctity) and punitive responses to gender violence offenses. Our case study focuses on gender violence in Portugal, a country in which these offenses are a prevalent social problem. We collected data on gender violence legal cases decided in Portuguese courts between 2002 and 2022, and we used a latent class cluster analysis model to identify the complex patterns in the data and reduce such patterns to a distinct number of clusters. Four main clusters unravel latent relations between the foundations mapped in the legal narratives and corresponding punitive responses: (i) Affirmative with suspended prison time (moral rhetoric rooted in Authority); (ii) Mixed outcomes but no prison time (moral rhetoric rooted in Sanctity); (iii) Affirmative with lengthy prison time large compensation (moral rhetoric rooted in Loyalty and Care); and (iv) Affirmative with court fines (moral rhetoric rooted in Fairness). The moral foundations provide a valuable lens to understand the problem of gender violence, but further research is needed to establish the causal mechanisms between morality and punitive responses to gender violence.","Court; domestic violence; gender violence; latent class cluster analysis; legal cases; moral foundations theory; morality","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-05","Industrial Design Engineering","","Transport and Logistics","","",""
"uuid:a7c6a5cf-825f-4565-a32d-a56b60326e39","http://resolver.tudelft.nl/uuid:a7c6a5cf-825f-4565-a32d-a56b60326e39","Preface","Camara, Oscar (Pompeu Fabra University); Puyol-Antón, Esther (King’s College London); Sermesant, Maxime (Inria); Suinesiaputra, Avan (King’s College London); Tao, Q. (TU Delft ImPhys/Tao group); Wang, Chengyan (Fudan University); Young, Alistair (King’s College London)","","2024","","","en","contribution to periodical","","","","","","","","","","","ImPhys/Tao group","","",""
"uuid:dafbd1f9-d043-4b34-b0ed-a3a6461d5ee1","http://resolver.tudelft.nl/uuid:dafbd1f9-d043-4b34-b0ed-a3a6461d5ee1","Bayesian Linear Inverse Problems in Regularity Scales with Discrete Observations","Yan, Dong (Student TU Delft); Gugushvili, Shota (Wageningen University & Research); van der Vaart, A.W. (TU Delft Statistics)","","2024","We obtain rates of contraction of posterior distributions in inverse problems with discrete observations. In a general setting of smoothness scales we derive abstract results for general priors, with contraction rates determined by discrete Galerkin approximation. The rate depends on the amount of prior concentration near the true function and the prior mass of functions with inferior Galerkin approximation. We apply the general result to non-conjugate series priors, showing that these priors give near optimal and adaptive recovery in some generality, Gaussian priors, and mixtures of Gaussian priors, where the latter are also shown to be near optimal and adaptive.","35R30; 62G20; Adaptive estimation; Fixed design; Galerkin; Gaussian prior; Hilbert scale; Interpolation; Linear inverse problem; Nonparametric Bayesian estimation; Posterior contraction rate; Random series prior; Regression; Regularity scale","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-07","","","Statistics","","",""
"uuid:23bdd3f9-4114-456c-879b-2e716a0203f1","http://resolver.tudelft.nl/uuid:23bdd3f9-4114-456c-879b-2e716a0203f1","Effects of idealized land cover and land management changes on the atmospheric water cycle","De Hertog, Steven J. (Vrije Universiteit Brussel); Lopez-Fabara, Carmen E. (Vrije Universiteit Brussel); van der Ent, R.J. (TU Delft Water Resources); Keune, Jessica (Universiteit Gent); Miralles, Diego G. (Universiteit Gent); Portmann, Raphael (Agroscope); Schemm, Sebastian (ETH Zürich); Havermann, Felix (Ludwig Maximilians University); Guo, Suqi (Ludwig Maximilians University)","","2024","Land cover and land management changes (LCLMCs) play an important role in achieving low-end warming scenarios through land-based mitigation. However, their effects on moisture fluxes and recycling remain uncertain, although they have important implications for the future viability of such strategies. Here, we analyse the impact of idealized LCLMC scenarios on atmospheric moisture transport in three different Earth system model (ESMs): the Community Earth System Model (CESM), the Max Planck Institute Earth System Model (MPI-ESM), and the European Consortium Earth System Model (EC-EARTH). The LCLMC scenarios comprise of a full cropland world, a fully afforested world, and a cropland world with unlimited irrigation expansion. The effects of these LCLMC in the different ESMs are analysed for precipitation, evaporation, and vertically integrated moisture flux convergence to understand the LCLMC-induced changes in the atmospheric moisture cycle. Then, a moisture tracking algorithm is applied to assess the effects of LCLMC on moisture recycling at the local (grid cell level) and the global scale (continental moisture recycling). By applying a moisture tracking algorithm on fully coupled ESM simulations we are able to quantify the complete effects of LCLMC on moisture recycling (including circulation changes), which are generally not considered in moisture recycling studies. Our results indicate that cropland expansion is generally causing a drying and reduced local moisture recycling, while afforestation and irrigation expansion generally cause wetting and increased local moisture recycling. However, the strength of this effect varies across ESMs and shows a large dependency on the dominant driver. Some ESMs show a dominance of large-scale atmospheric circulation changes while other ESMs show a dominance of local to regional changes in the atmospheric water cycle only within the vicinity of the LCLMC. Overall, these results corroborate that LCLMC can induce substantial effects on the atmospheric water cycle and moisture recycling, both through local effects and changes in atmospheric circulation. However, more research is needed to constrain the uncertainty of these effects within ESMs to better inform future land-based mitigation strategies.","","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:4ca9de29-9ff2-4194-8caf-79d1f920e083","http://resolver.tudelft.nl/uuid:4ca9de29-9ff2-4194-8caf-79d1f920e083","Safe Sowing Windows for Smallholder Farmers in West Africa in the Context of Climate Variability","Agoungbome, David (TU Delft Water Resources); ten Veldhuis, Marie-claire (TU Delft Water Resources); van de Giesen, N.C. (TU Delft Water Resources)","","2024","Climate variability poses great challenges to food security in West Africa, a region heavily dependent on rainfall for farming. Identifying sowing strategies that minimize yield losses for farmers in the region is crucial to securing their livelihood. In this paper, we investigate three sowing strategies to assess their ability to identify safe sowing windows for smallholder farmers in the Sudanian region of West Africa (WA) in the context of a changing climate. The GIS version of the FAO crop model, AquaCrop-GIS, is used to simulate the yield response of maize (Zea mays L.) to varying sowing dates throughout the rainy season across WA. Based on an average of 38 years of data per grid cell, we identify safe sowing windows across the Sudanian region that secure at least 90% of maximal yield. We find that current sowing strategies, based on minimum thresholds for rainfall accumulated over a period that are widely applied in the region, carry a higher risk of yield failure, especially at the beginning of the rainy season. This analysis shows that delaying sowing for a month to mid-June in the central region (east of Lon 8.5°W), and to early August in the semi-arid areas is a safer strategy that ensures optimal yields. A comparison between the periods 1982–1991 and 1992–2019 shows a negative shift for LO10 mm and LO20 mm, suggesting a wetter regime compared to the dry periods of the 1970s and 1980s. On the contrary, we observe a positive shift in the safe window strategy, highlighting the need for precautions due to erratic rainfall at the beginning of the season. The precipitation-based strategies hold a high risk, while the safe sowing window strategy, easily accessible to smallholder farmers, is more fitting, given the current climate.","climate variability; false start; sowing strategy; West Africa; AquaCrop; sowing window","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:044f3dff-d554-4635-8e7f-775f23bbcea0","http://resolver.tudelft.nl/uuid:044f3dff-d554-4635-8e7f-775f23bbcea0","Editorial - Human-Like Locomotion and Manipulation: Current Achievements and Challenges (Part I)","Li, Qiang (Shenzhen Technology University); Li, Gaofeng (Zhejiang University); Yu, Chuang (The University of Manchester); Luo, Shan (King’s College London); Ding, J. (TU Delft Learning & Autonomous Control)","","2024","","","en","contribution to periodical","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-29","","","Learning & Autonomous Control","","",""
"uuid:975eb4eb-9c30-4bbd-a07f-5bde6538388d","http://resolver.tudelft.nl/uuid:975eb4eb-9c30-4bbd-a07f-5bde6538388d","Mesoscale modelling of North Sea wind resources with COSMO-CLM: model evaluation and impact assessment of future wind farm characteristics on cluster-scale wake losses","Borgers, Ruben (Katholieke Universiteit Leuven); Dirksen, M. (TU Delft Atmospheric Remote Sensing); Wijnant, Ine L. (Royal Netherlands Meteorological Institute (KNMI)); Stepek, Andrew (Royal Netherlands Meteorological Institute (KNMI)); Stoffelen, Ad (Royal Netherlands Meteorological Institute (KNMI)); Akhtar, Naveed (Helmholtz-Zentrum Hereon); Neirynck, Jérôme (Katholieke Universiteit Leuven); Van de Walle, Jonas (Katholieke Universiteit Leuven); Meyers, Johan (Katholieke Universiteit Leuven); van Lipzig, Nicole P. M. (Katholieke Universiteit Leuven)","","2024","As many coastal regions experience a rapid increase in offshore wind farm installations, inter-farm distances become smaller, with a tendency to install larger turbines at high capacity densities. It is, however, not clear how the wake losses in wind farm clusters depend on the characteristics and spacing of the individual wind farms. Here, we quantify this based on multiple COSMO-CLM simulations, each of which assumes a different, spatially invariant combination of the turbine type and capacity density in a projected, future wind farm layout in the North Sea. An evaluation of the modelled wind climate with mast and lidar data for the period 2008–2020 indicates that the frequency distributions of wind speed and wind direction at turbine hub height are skillfully modelled and the seasonal and inter-annual variations in wind speed are represented well. The wind farm simulations indicate that for a typical capacity density and for SW winds, inter-farm wakes can reduce the capacity factor at the inflow edge of wind farms from 59 % to between 54 % and 30 % depending on the proximity, size and number of the upwind farms. The efficiency losses due to intra- and inter-farm wakes become larger with increasing capacity density as the layout-integrated, annual capacity factor varies between 51.8 % and 38.2 % over the considered range of 3.5 to 10 MW km−2. Also, the simulated efficiency of the wind farm layout is greatly impacted by switching from 5 MW turbines to next-generation, 15 MW turbines, as the annual energy production increases by over 27 % at the same capacity density. In conclusion, our results show that the wake losses in future wind farm clusters are highly sensitive to the inter-farm distances and the capacity densities of the individual wind farms and that the evolution of turbine technology plays a crucial role in offsetting these wake losses.","","en","journal article","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:adfeb14a-2407-438a-9ade-ca027934e2d0","http://resolver.tudelft.nl/uuid:adfeb14a-2407-438a-9ade-ca027934e2d0","Fleet scheduling for electric towing of aircraft under limited airport energy capacity","Zoutendijk, M. (TU Delft Control & Simulation); Mitici, M.A. (TU Delft Air Transport & Operations; Universiteit Utrecht)","","2024","Taxiing aircraft using electric vehicles is seen as an effective solution to meet aviation targets of climate neutrality. However, making the transition to electric taxiing operations is expected to significantly increase the electricity demand at airports. In this paper we propose a mixed-integer linear program to schedule electric vehicles for aircraft towing and battery charging, while considering a limit for the supply of energy. The objective of the schedule is to maximize emissions savings. For computational tractability, we develop an Adaptive Large Neighbourhood Search which makes use of multiple local search heuristics to identify scheduling solutions. For daily scheduling with a small fleet size, the developed heuristic achieves solutions with an average 4% gap to the best linear programming solution. The results show that charging the vehicles during daytime is essential to maximize saved emissions: removing charging opportunities for a few hours during the day reduces the performance by an average of 6.4%. In addition, it is found that fast charging leads to low vehicle downtime, unless the battery size exceeds 750kWh, when charging rates over 150kW become unnecessary. Overall, our model provides support for infrastructure planning of airports during the transition to aircraft electric taxiing.","Adaptive Large Neighbourhood Search; Charging strategy; Electric taxiing; Electricity capacity; Fleet scheduling","en","journal article","","","","","","","","","","","Control & Simulation","","",""
"uuid:8884bec9-7326-436a-8723-86870fb14c87","http://resolver.tudelft.nl/uuid:8884bec9-7326-436a-8723-86870fb14c87","Single-molecule visualization of twin-supercoiled domains generated during transcription","Janissen, R. (TU Delft BN/Bionanoscience); Barth, R. (TU Delft BN/Cees Dekker Lab); Polinder, M.L. (TU Delft BN/Cees Dekker Lab); van der Torre, J. (TU Delft BN/Cees Dekker Lab); Dekker, C. (TU Delft BN/Cees Dekker Lab)","","2024","Transcription-coupled supercoiling of DNA is a key factor in chromosome compaction and the regulation of genetic processes in all domains of life. It has become common knowledge that, during transcription, the DNA-dependent RNA polymerase (RNAP) induces positive supercoiling ahead of it (downstream) and negative supercoils in its wake (upstream), as rotation of RNAP around the DNA axis upon tracking its helical groove gets constrained due to drag on its RNA transcript. Here, we experimentally validate this so-called twin-supercoiled-domain model with in vitro real-time visualization at the single-molecule scale. Upon binding to the promoter site on a supercoiled DNA molecule, RNAP merges all DNA supercoils into one large pinned plectoneme with RNAP residing at its apex. Transcription by RNAP in real time demonstrates that up- and downstream supercoils are generated simultaneously and in equal portions, in agreement with the twin-supercoiled-domain model. Experiments carried out in the presence of RNases A and H, revealed that an additional viscous drag of the RNA transcript is not necessary for the RNAP to induce supercoils. The latter results contrast the current consensus and simulations on the origin of the twin-supercoiled domains, pointing at an additional mechanistic cause underlying supercoil generation by RNAP in transcription.","","en","journal article","","","","","","","","","","BN/Bionanoscience","BN/Cees Dekker Lab","","",""
"uuid:371423e2-8928-4460-b5f1-37427fc08c00","http://resolver.tudelft.nl/uuid:371423e2-8928-4460-b5f1-37427fc08c00","Design and In Vitro Validation of an Orthopaedic Drill Guide for Femoral Stem Revision in Total Hip Arthroplasty","Klok, J.W.A. (TU Delft Medical Instruments & Bio-Inspired Technology); Groenewegen, Jessica (SIMtoCARE); Temmerman, Olivier (Noordwest Hospital); Van Straten, Niels (Van Straten Medical); van Straten, Bart (Van Straten Medical); Dankelman, J. (TU Delft Medical Instruments & Bio-Inspired Technology); Horeman, T. (TU Delft Medical Instruments & Bio-Inspired Technology)","","2024","Objective: Cemented total hip arthroplasty (THA) demonstrates superior survival rates compared to uncemented procedures. Nevertheless, most younger patients opt for uncemented THA, as removing well-fixed bone cement in the femur during revisions is complex, particularly the distal cement plug. This removal procedure often increases the risk of femoral fracture or perforation, haemorrhage and weakening bone due to poor drill control and positioning. Aim of this study was to design a novel drill guide to improve drill positioning. Methods and procedures: A novel orthopaedic drill guide was developed, featuring a compliant centralizer activated by a drill guide actuator. Bone models were prepared to assess centralizing performance. Three conditions were tested: drilling without guidance, guided drilling with centralizer activation held, and guided drilling with centralizer activation released. Deviations from the bone centre were measured at the entry and exit point of the drill. Results: In the centralizing performance test, the drill guide significantly reduced drill hole deviations in both entry and exit points compared to the control (p < 0.05). The absolute deviation on the exit side of the cement plug was 10.59mm (SD 1.56) for the 'No drill guide' condition, 3.02mm (SD 2.09) for 'Drill guide - hold' and 2.12mm (SD 1.71) for 'Drill guide - release'. The compliant drill guide centralizer significantly lowered the risk of cortical bone perforation during intramedullary canal drilling in the bone models due to better control of the cement drill position. Clinical and Translational Impact Statement: The drill guide potentially reduces perioperative risks in cemented femoral stem revision. Future research should identify optimal scenarios for its application.","Drill positioning; guiding mechanisms; medical device prototyping; novel design; sustainable design; total hip arthroplasty","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:2606b384-813c-4ae5-9637-c69b3064834c","http://resolver.tudelft.nl/uuid:2606b384-813c-4ae5-9637-c69b3064834c","The Moon as an effective propellant source: A comprehensive exergy analysis from extraction to depot","Gallbrecht, M. M. (European Space Agency (ESA); Student TU Delft); Cervone, A. (TU Delft Astrodynamics & Space Missions); Vincent-Bonnieu, S. (European Space Agency (ESA))","","2024","Establishing a permanent lunar base has gained increasing attention since it offers opportunities for international cooperation and the commercialization of space, forming the foundation and testing ground for a human existence independent from Earth. Essential to future missions beyond cislunar space is the exploration and in situ processing of the Moon's resources, especially the sustainable production of energetic resources and propellants. Utilizing in situ generated propellants can dramatically reduce transportation costs by removing the need to source propellants from Earth. Resources on the Moon are limited, and the extraction of available resources are energy-intensive processes demanding advanced techniques and technologies. Consequently, one of the biggest challenges lies in developing process architectures with a positive energy balance, for which comprehensive analyses are still missing. The focus currently lies on the extraction of water ice from lunar regolith and the production of hydrogen and oxygen through water electrolysis. However, alternative fuel and process options may reduce the energy cost while providing equivalent energetic revenue. In the scope of this research, the infrastructure and technologies required for extraction, refining, and storing are assumed to exist in cislunar space; therefore, only the operating cost is considered. Exergy analyses of in situ extraction methods are conducted to investigate whether the required energetic budget allows sustainable implementation. The analysis includes extraction methods and propellant options to reveal the extent to which alternatives to hydrogen are feasible. Exergy analyses determine thermodynamic losses of energy flows giving the ground for process optimization. The exergy destructed represents the margin of improvement within the process architecture and thus reflects the process's thermodynamic and economic value while allowing a more distinct examination of energy use. Assuming the availability of water and carbon dioxide ice in permanently shadowed regions, the analysis shows that choosing methane instead of hydrogen in combination with oxygen as propellants can reduce the required exergy input by up to a third. An example mission allows to directly compare the operating cost of the extraction processes for the different propellant options. The mission entails a spacecraft propelled by a liquid bipropellant engine utilizing the extracted propellant and transporting a payload of the same propellant to a depot located in lunar near-rectilinear halo orbit (NRHO). Although abundant in space, the results suggest that hydrogen may not be the only or even energetically cost-effective resource for developing cislunar and Martian space infrastructures. Likewise, sustainable extraction of propellants suitable for current and future propulsion systems will foster humanity's reach further into the solar system.","Depot; Economical; Exergy; Moon; Propellent","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-02","","","Astrodynamics & Space Missions","","",""
"uuid:f601a4e0-25c4-43dc-ab09-2753787e4ed9","http://resolver.tudelft.nl/uuid:f601a4e0-25c4-43dc-ab09-2753787e4ed9","Distribution of economic damages due to climate-driven sea-level rise across European regions and sectors","Cortés Arbués, I. (TU Delft Multi Actor Systems; TU Delft Policy Analysis); Chatzivasileiadis, T. (TU Delft Multi Actor Systems; TU Delft Policy Analysis); Ivanova, Olga (Planbureau voor de Leefomgeving); Storm, S.T.H. (TU Delft Values Technology and Innovation; TU Delft Economics of Technology and Innovation); Bosello, Francesco (Ca' Foscari University Venice; RFF-CMCC European Institute on Economics and the Environment (EIEE)); Filatova, T. (TU Delft Multi Actor Systems; TU Delft Policy Analysis)","","2024","Economic costs of climate change are conventionally assessed at the aggregated global and national levels, while adaptation is local. When present, regionalised assessments are confined to direct damages, hindered by both data and models’ limitations. This article goes beyond the aggregated analysis to explore direct and indirect economic consequences of sea level rise (SLR) at regional and sectoral levels in Europe. Using a dynamic computable general equilibrium model and novel datasets, we estimate the distribution of losses and gains across regions and sectors. A comparison of a high-end scenario against a no-climate-impact baseline suggests a GDP loss of 1.26% (€871.8 billion) for the whole EU&UK. Conversely our refined assessments show that some coastal regions lose 9.56–20.84% of GDP, revealing striking regional disparities. Inland regions grow due to the displaced demand from coastal areas, but the GDP gains are small (0–1.13%). While recovery benefits the construction sector, public services and industry face significant downturns. We show that prioritising recovery of critical sectors locally reduces massive regional GDP losses, at negligible costs to the overall European economy. Our analysis traces regional economic restructuring triggered by SLR, underscoring the necessity of region-specific adaptation policies that embrace uneven geographic impacts and unique sectoral profiles to inform resilient strategy design.","","en","journal article","","","","","","","","","","Multi Actor Systems","Policy Analysis","","",""
"uuid:c390ab8c-1ff0-44d8-8885-a6b1e7088503","http://resolver.tudelft.nl/uuid:c390ab8c-1ff0-44d8-8885-a6b1e7088503","Characterization and mechanical removal of metallic aluminum (Al) embedded in weathered municipal solid waste incineration (MSWI) bottom ash for application as supplementary cementitious material","Chen, B. (TU Delft Materials and Environment); Chen, J. (TU Delft Materials and Environment); França de Mendonça Filho, F. (TU Delft Materials and Environment); Sun, Yubo (Universiteit Gent); van Zijl, Marc Brito (Mineralz); Copuroglu, Oguzhan (TU Delft Materials and Environment); Ye, G. (TU Delft Materials and Environment; Universiteit Gent)","","2024","Municipal solid waste incineration (MSWI) bottom ash, due to its high mineral content, presents great potential as supplementary cementitious material (SCM). Weathering, also known as aging, is a treatment process commonly employed in waste management to minimize the risk of heavy metal leaching from MSWI bottom ash. Using weathered MSWI bottom ash to produce blended cement pastes is considered as a high-value-added and sustainable waste disposal solution. However, a critical challenge arises from the metallic aluminum (Al) in weathered MSWI bottom ash, which is known to induce detrimental effects such as volume expansion and strength loss of blended cement pastes. While most metallic Al in weathered MSWI bottom ash can be removed with eddy current separators in metal recovery plants, the residual metallic Al, owing to its small particle size, cannot be removed with the same technique. This study is dedicated to addressing this issue. An in-depth analysis was conducted on residual metallic Al embedded in weathered MSWI bottom ash particles, aiming to guide the removal of this metal. This analysis revealed that mechanical removal was the most suitable method for extracting metallic Al. The specific processes and mechanisms underlying this method were elucidated. After reducing metallic Al content in weathered MSWI bottom ash by 77 %, a significant improvement in the quality of blended cement pastes was observed. This work contributes to the broader adoption of mechanical treatments for removing residual metallic Al from weathered MSWI bottom ash and facilitates the application of treated ash as SCM.","Mechanical treatments; Metallic aluminum (Al) distribution; Municipal solid waste incineration (MSWI) bottom ash; Supplementary cementitious material (SCM); Weathering","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:a2b62e51-13d8-4f72-962d-3150dd00670c","http://resolver.tudelft.nl/uuid:a2b62e51-13d8-4f72-962d-3150dd00670c","Traditional adhesive production systems in Zambia and their archaeological implications","Fajardo, Sebastian (TU Delft Team Joris Dik; Universiteit Leiden); Zeekaf, Jelte (Wageningen University & Research); van Andel, Tinde (Wageningen University & Research; Naturalis Biodiversity Center; Universiteit Leiden); Maombe, Christabel (National Heritage Conservation Commission); Nyambe, Terry (Livingstone Museum); Mudenda, George (Livingstone Museum); Aleo, A. (TU Delft Team Joris Dik); Kayuni, Martha Nchimunya (Max Planck Institute of Geoanthropology); Langejans, G.H.J. (TU Delft Team Joris Dik; University of Johannesburg)","","2024","This study explores traditional adhesives using an ethnobiological approach within a multisocioecological context in Zambia. Through semi-structured interviews, videotaped demonstrations, and herbarium collections, we investigated the traditional adhesives people know and use, the flexibility of production processes, resource usage, and knowledge transmission in adhesive production. Our findings reveal flexibility in adhesive production systems. People use a wide range of organic and inorganic materials in their adhesive recipes. Recipes are flexible, demonstrating the ability to adapt to changes and substitute materials as needed to achieve the desired end product. Additionally, our study reveals a variety of redundant pathways for knowledge transmission typically confined within individual population groups. These include same-sex vertical transmission and distinct learning spaces and processes. Also, we identified material procurement zones showing that people are prepared to travel 70 km for ingredients. We use our findings to review the archaeology and we discuss the identification of archaeological adhesives, the functional roles of adhesive materials, adhesive storage, and the sustained human interaction with species from families such as Euphorbiaceae and Apiade. Our findings underscore the diversity and adaptability of traditional adhesive production and suggest that further research on adhesives would reveal similar diversity within the archaeological record.","Adaptive systems; Adhesives; Complex technology; Ethnobiology; Euphorbiaceae; Glue; Knowledge transmission; Resilience; Resin; Zambia","en","journal article","","","","","","","","","","","Team Joris Dik","","",""
"uuid:3a823325-8b9b-4bae-bf10-becc58901b21","http://resolver.tudelft.nl/uuid:3a823325-8b9b-4bae-bf10-becc58901b21","The geography of collective bargaining in French multi-establishment companies","Askenazy, Philippe (Maurice Halbwachs Center (CMH)); Cottineau, C. (TU Delft Urban Studies; Maurice Halbwachs Center (CMH))","","2024","Despite growing interest in the firm bargaining process, little research focuses on the structure of bargaining within multi-establishment firms. We question whether running negotiations at the workplace level and/or firm level is a strategic choice for employers. We hypothesize that the level chosen depends on the geography of the firm. Employers face a trade-off: workplace bargaining is more efficient because it meets local conditions; yet higher level negotiations increase coordination costs for workers and weakens their bargaining power, which can benefit the employer. Using a French representative survey, we find a significant relation between the level of bargaining within a firm and the number, spatial distribution and heterogeneity of its establishments, suggesting that the structure of multi-establishment firms can inform the level at which collective bargaining takes place.","","en","journal article","","","","","","","","","","","Urban Studies","","",""
"uuid:37a7080d-b540-4cfd-bc75-9a1315aa8c60","http://resolver.tudelft.nl/uuid:37a7080d-b540-4cfd-bc75-9a1315aa8c60","Predicting nodal influence via local iterative metrics","Zhang, S. (TU Delft Multimedia Computing); Hanjalic, A. (TU Delft Intelligent Systems); Wang, H. (TU Delft Multimedia Computing)","","2024","Nodal spreading influence is the capability of a node to activate the rest of the network when it is the seed of spreading. Combining nodal properties (centrality metrics) derived from local and global topological information respectively has been shown to better predict nodal influence than using a single metric. In this work, we investigate to what extent local and global topological information around a node contributes to the prediction of nodal influence and whether relatively local information is sufficient for the prediction. We show that by leveraging the iterative process used to derive a classical nodal centrality such as eigenvector centrality, we can define an iterative metric set that progressively incorporates more global information around the node. We propose to predict nodal influence using an iterative metric set that consists of an iterative metric from order 1 to K produced in an iterative process, encoding gradually more global information as K increases. Three iterative metrics are considered, which converge to three classical node centrality metrics, respectively. In various real-world networks and synthetic networks with community structures, we find that the prediction quality of each iterative based model converges to its optimal when the metric of relatively low orders (K∼4) are included and increases only marginally when further increasing K. This fast convergence of prediction quality with K is further explained by analyzing the correlation between the iterative metric and nodal influence, the convergence rate of each iterative process and network properties. The prediction quality of the best performing iterative metric set with K=4 is comparable with the benchmark method that combines seven centrality metrics: their prediction quality ratio is within the range [91%,106%] across all three quality measures and networks. In two spatially embedded networks with an extremely large diameter, however, iterative metric of higher orders, thus a large K, is needed to achieve comparable prediction quality with the benchmark.","OA-Fund TU Delft","en","journal article","","","","","","","","","","Intelligent Systems","Multimedia Computing","","",""
"uuid:0a28a9de-726c-4376-8a15-70cfd8f50bc1","http://resolver.tudelft.nl/uuid:0a28a9de-726c-4376-8a15-70cfd8f50bc1","A hierarchic isogeometric hyperelastic solid-shell","Leonetti, Leonardo (University of Calabria; Ho Chi Minh City University of Technology (HCMUT)); Verhelst, H.M. (TU Delft Ship and Offshore Structures; TU Delft Numerical Analysis)","","2024","The present study aims to develop an original solid-like shell element for large deformation analysis of hyperelastic shell structures in the context of isogeometric analysis (IGA). The presented model includes a new variable to describe the thickness change of the shell and allows for the application of unmodified three-dimensional constitutive laws defined in curvilinear coordinate systems and the analysis of variable thickness shells. In this way, the thickness locking affecting standard solid-shell-like models is cured by enhancing the thickness strain by exploiting a hierarchical approach, allowing linear transversal strains. Furthermore, a patch-wise reduced integration scheme is adopted for computational efficiency reasons and to annihilate shear and membrane locking. In addition, the Mixed-Integration Point (MIP) format is extended to hyperelastic materials to improve the convergence behaviour, hence the efficiency, in Newton iterations. Using benchmark problems, it is shown that the proposed model is reliable and resolves locking issues that were present in the previously published isogeometric solid-shell formulations.","Hyperelastic materials; Isogeometric analysis; Large deformations; Large Strains; MIP Newton; Solid-shells","en","journal article","","","","","","","","","","","Ship and Offshore Structures","","",""
"uuid:a16ebbae-f8d3-4453-a38a-f5de9e99b92d","http://resolver.tudelft.nl/uuid:a16ebbae-f8d3-4453-a38a-f5de9e99b92d","Estimation of the incubation time distribution in the singly and doubly interval censored model","Groeneboom, P. (TU Delft Statistics)","","2024","We analyze nonparametric estimators for the distribution function of the incubation time in the singly and doubly interval censoring model. The classical approach is to use parametric families like Weibull, log-normal or gamma distributions in the estimation procedure. We propose nonparametric estimates for functions of the observations, which stay closer to the data than the classical parametric methods. We also give explicit limit distributions for discrete versions of the models and apply this to compute confidence intervals. The methods complement the analysis of the continuous model in Groeneboom (2021, 2023). R scripts for computation of the estimates are provided in Groeneboom (2020).","confidence intervals; deconvolution; double interval censoring; Fisher information; incubation time; single interval censoring; support reduction","en","journal article","","","","","","","","","","","Statistics","","",""
"uuid:8e37b9b1-c3ab-4c93-b6d3-1c93ed4742b5","http://resolver.tudelft.nl/uuid:8e37b9b1-c3ab-4c93-b6d3-1c93ed4742b5","Understanding people-centered planning practice in Europe: An institutionalist comparison between urban development projects in Vienna and Helsingborg","Janssen, C. (TU Delft Practice Chair Urban Area Development)","","2024","Pursuing people-centered planning practices (PCPs) such as participatory approaches demands a better understanding of how their operationalization relates to contextual structures and conditions in urban governance. By comparing two cases of social sustainability operationalization in Austrian and Swedish urban development projects based on Ostrom’s IAD-framework, this article identifies institutions relating to performed people-centered activities. The findings reveal that PCPs do not necessarily benefit from highly formalized rules prescribing specific localized activities. Instead, they are shaped by institutions that (1) position the actors responsible for performing people-centered activities, (2) define strong socially-oriented and innovation-oriented outcomes, and (3) enable funding that upholds long-term public interests within the markets that co-shape the projects.","IAD framework; urban development project; localism; people-centered; social sustainability; participatory planning","en","journal article","","","","","","","","","","","Practice Chair Urban Area Development","","",""
"uuid:a7fdaa8a-4d72-4c9a-87f6-4da6c2726b73","http://resolver.tudelft.nl/uuid:a7fdaa8a-4d72-4c9a-87f6-4da6c2726b73","Promoting the private rented sector in metropolitan China: Key challenges and solutions","Li, B. (TU Delft Real Estate Management); van der Heijden, H.M.H. (TU Delft Real Estate Management); Jansen, S.J.T. (TU Delft Real Estate Management); Yan, Juan (Tongji University); Boelhouwer, P.J. (TU Delft Real Estate Management)","","2024","The private rented sector (PRS) plays an increasingly important role in accommodating young people and migrants in China’s metropolitan cities. However, the PRS in China is still underdeveloped, as evidenced by, e.g. a low degree of professionalisation, lack of basic rental laws and regulations, and poor housing experiences of tenants. The purpose of the current article is to identify the main challenges towards a well-functioning PRS, as perceived by Chinese local governments, landlords, and tenants, and to propose possible solutions to cope with these challenges. After reviewing both academic and grey literature and exploring the results of our previous research, we found that these challenges result from three main root causes, i.e. power imbalance between landlords and tenants, inadequate institutional arrangements, and path dependence on the past productivism model. Based on the analysis, a number of recommendations were proposed, including formalising the PRS, introducing regulations, setting minimum housing standards, and increasing public school availability to enhance equal citizenship rights between renters and homeowners.","private rented sector; challenges; solutions; rent regulation; housing inequality","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-04","","","Real Estate Management","","",""
"uuid:4e28b84a-ae73-4dc9-b292-a8c0f17673bb","http://resolver.tudelft.nl/uuid:4e28b84a-ae73-4dc9-b292-a8c0f17673bb","Multi-objective calibration of vertical-axis wind turbine controllers: balancing aero-servo-elastic performance and noise","Brandetti, L. (TU Delft Wind Energy); Mulders, S.P. (TU Delft Team Mulders); Merino Martinez, R. (TU Delft Aircraft Noise and Climate Effects); Watson, S.J. (TU Delft Wind Energy); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2024","Vertical-axis wind turbines (VAWTs) are considered promising solutions for urban wind energy generation due to their design, low maintenance costs, and reduced noise and visual impact compared to horizontal-axis wind turbines (HAWTs). However, deploying these turbines close to densely populated urban areas often triggers considerable local opposition to wind energy projects. Among the primary concerns raised by communities is the issue of noise emissions. Noise annoyance should be considered in the design and decision-making process to foster the social acceptance of VAWTs in urban environments. At the same time, maximising the operational efficiency of VAWTs in terms of power generation and actuation effort is equally important. This paper balances noise and aero-servo-elastic performance by formulating and solving a multi-objective optimisation problem from a controller calibration perspective. Psychoacoustic annoyance is taken as a novel indicator for the noise objective by providing a more reliable estimate of the human perception of wind turbine noise than conventional sound metrics. The computation of the psychoacoustic annoyance metric is made feasible by integrating it with an accurate and computationally efficient low-fidelity noise prediction model. For optimisation, an advanced partial-load control scheme – often used in industrial turbines – is considered, with the Kω2 controller as a baseline for comparison. Optimal solutions balancing the defined objectives are identified using a multi-criteria decision-making method (MCDM) and are subsequently assessed using a frequency-domain controller analysis framework and mid-fidelity time-domain aero-servo-elastic simulations. The MCDM results indicate the potential application of this controller in small-scale urban VAWTs to attain power gains of up to 39 % on one side and to trade off a reduction in actuation effort of up to 25 % at the cost of only a 2 % power decrease and a 6 % increase in psychoacoustic annoyance on the other side compared to the baseline. These findings confirm the flexible structure of the optimally calibrated wind speed estimator and tip-speed ratio (WSE–TSR) tracking controller, effectively balancing aero-servo-elastic performance with noise emissions and marking the first instance of integrating residential concerns into the decision-making process.","","en","review","","","","","","","","","","","Wind Energy","","",""
"uuid:f4487e7b-95e3-4d32-b10f-865a2195aa24","http://resolver.tudelft.nl/uuid:f4487e7b-95e3-4d32-b10f-865a2195aa24","A novel machine learning model to design historical-independent health indicators for composite structures","Moradi, M. (TU Delft Group Zarouchas); Gul, F.C. (TU Delft Group Zarouchas); Zarouchas, D. (TU Delft Group Zarouchas)","","2024","Developing comprehensive health indicators (HIs) for composite structures encompassing various damage types is challenging due to the stochastic nature of damage accumulation and uncertain events (like impact) during operation. This complexity is amplified when striving for HIs independent of historical data. This paper introduces an AI-driven approach, the Hilbert transform-convolutional neural network under a semi-supervised learning paradigm, to designing reliable HIs (fulfilling requirements, referred to as 'fitness'). It exclusively utilizes current guided wave data, eliminating the need for historical information. Ensemble learning techniques were also used to enhance HI quality while reducing deep learning randomness. The fitness equation is refined for dependable comparisons and practicality. The methodology is validated through investigations on T-single stiffener CFRP panels under compression-fatigue and dogbone CFRP specimens under tension-fatigue loadings, showing high performance of up to 93% and 81%, respectively, in prognostic criteria.","Compression-compression fatigue; Intelligent health indicator; Prognostics and health management; Semi-supervised learning; T-single stiffener CFRP; Tension-tension fatigue","en","journal article","","","","","","","","","","","Group Zarouchas","","",""
"uuid:9a1569e1-d573-43e2-93a4-257dfd6cd22f","http://resolver.tudelft.nl/uuid:9a1569e1-d573-43e2-93a4-257dfd6cd22f","Global diversity of enterococci and description of 18 previously unknown species","Schwartzman, Julia A. (Harvard Medical School; University of Southern California); Lebreton, Francois (Harvard Medical School; Walter Reed Army Institute of Research); Salamzade, Rauf (Massachusetts Institute of Technology; University of Wisconsin School of Medicine and Public Health); Shea, Terrance (Massachusetts Institute of Technology); Martin, Melissa J. (Harvard Medical School; Walter Reed Army Institute of Research); Schaufler, Katharina (Harvard Medical School; Greifswald University; Christian-Albrechts-Universität zu Kiel); Urhan, A. (TU Delft Pattern Recognition and Bioinformatics; Massachusetts Institute of Technology); Abeel, T.E.P.M.F. (TU Delft Pattern Recognition and Bioinformatics; Massachusetts Institute of Technology); Camargo, Ilana L.B.C. (Universidade de São Paulo)","","2024","Enterococci are gut microbes of most land animals. Likely appearing first in the guts of arthropods as they moved onto land, they diversified over hundreds of millions of years adapting to evolving hosts and host diets. Over 60 enterococcal species are now known. Two species, Enterococcus faecalis and Enterococcus faecium, are common constituents of the human microbiome. They are also now leading causes of multidrug-resistant hospital-associated infection. The basis for host association of enterococcal species is unknown. To begin identifying traits that drive host association, we collected 886 enterococcal strains from widely diverse hosts, ecologies, and geographies. This identified 18 previously undescribed species expanding genus diversity by >25%. These species harbor diverse genes including toxins and systems for detoxification and resource acquisition. Enterococcus faecalis and E. faecium were isolated from diverse hosts highlighting their generalist properties. Most other species showed a more restricted distribution indicative of specialized host association. The expanded species diversity permitted the Enterococcus genus phylogeny to be viewed with unprecedented resolution, allowing features to be identified that distinguish its four deeply rooted clades, and the entry of genes associated with range expansion such as B-vitamin biosynthesis and flagellar motility to be mapped to the phylogeny. This work provides an unprecedentedly broad and deep view of the genus Enterococcus, including insights into its evolution, potential new threats to human health, and where substantial additional enterococcal diversity is likely to be found.","antibiotic resistance; Enterococcus; genomics; global diversity; host microbe interaction","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:71534aae-41f4-435a-8402-dd23e104483a","http://resolver.tudelft.nl/uuid:71534aae-41f4-435a-8402-dd23e104483a","Damage Classification of a Bolted Connection using Guided Waves and Explainable Artificial Intelligence","Hu, M. (TU Delft Structural Integrity & Composites; Harbin Engineering University); Yue, N. (TU Delft Group Yue); Groves, R.M. (TU Delft Group Groves)","","2024","With the improvements in computational power and advances in chip and sensor technology, the applications of machine learning (ML) technologies in structural health monitoring (SHM) are increasing rapidly. Compared with traditional methods, deep learning based SHM (Deep SHM) methods are more efficient and have a higher accuracy. However, due to the black box nature of deep learning, the trained models are usually difficult to interpret, which blocks their practical application. Therefore, it is of great importance to develop explainable artificial intelligence (XAI) methods to understand the internal decision-making mechanisms of damage classification in Deep SHM. In this paper, a novel XAI algorithm named Deep Gradient-weighted Class Activation Mapping (Deep Grad CAM) is proposed by combining the existing method Grad CAM with the convolutional neural network (CNN) deconvolution mechanism. In this paper, Deep Grad CAM is used to interpret a one-dimensional convolutional neural network trained to detect bolt loosening based on guided wave propagation. The interpretation performance of Deep Grad CAM is compared with Grad CAM, and their performances are quantified using Infidelity. The results show that the Infidelity of Deep Grad CAM is much smaller than that of Grad CAM, indicating significant improvements in explanation accuracy and reliability.","deep learning; explainable AI (XAI); guided waves; one-dimensional convolutional neural network (1D CNN); structural health monitoring (SHM)","en","journal article","","","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:62166ffb-ad44-4afc-aedd-234b9cd4a399","http://resolver.tudelft.nl/uuid:62166ffb-ad44-4afc-aedd-234b9cd4a399","Carbon solute drag effect on the growth of carbon supersaturated bainitic ferrite: Modeling and experimental validations","Dai, Zongbiao (Xi’an Jiaotong University); Chen, H. (TU Delft Novel Aerospace Materials; Tsinghua University); Sun, Junjie (Xi’an Jiaotong University); van der Zwaag, S. (TU Delft Group Garcia Espallargas); Sun, Jun (Xi’an Jiaotong University)","","2024","The carbon partitioning and lengthening rate of bainitic ferrite (αb) are excellent experimental parameters to estimate our level of understanding of the mechanism of bainitic transformation from a continuum perspective and our ability to capture it in analytical expressions. For Fe-C alloys and relatively simple steels the classical Zener-Hillert theory captures the bainitic transformation rather well but mispredicts the level of carbon in solution in the bainite and overestimates the lengthening rates for transformations at lower temperatures. To address this issue, this paper presents a new thermo-kinetic model based on the Zener-Hillert theory and the Gibbs energy balance concept to simulate the lengthening behavior of αb in the Fe-C and low alloyed steels. The model incorporates the effect of the temperature dependent carbon diffusion within the migrating interface via a temperature dependent ferrite/austenite interfacial energy and a temperature dependent diffusion coefficient but does not impose local equilibrium across the interface. The good agreement between the model predictions and nine sets of published experiments indicates that both the carbon supersaturation in αb and the slower lengthening rate are caused by carbon diffusion within the migrating interface. It is found that the degree of carbon supersaturation in αb increases significantly with decreasing temperature. Consequently, the enhanced carbon solute drag effect, resulting from carbon diffusion within the interface, strongly retards the lengthening rates of αb at lower temperatures. Transformation strain is shown to have a modest effect on the lengthening rates but to lower the degree of carbon supersaturation.","Bainite formation; Carbon diffusion; Carbon supersaturation; Energy dissipation; Lengthening kinetics","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-02","","","Novel Aerospace Materials","","",""
"uuid:1c5b4a48-1f6c-46fe-a480-82354e07aa5c","http://resolver.tudelft.nl/uuid:1c5b4a48-1f6c-46fe-a480-82354e07aa5c","Neural network relief: a pruning algorithm based on neural activity","Dekhovich, A. (TU Delft Team Marcel Sluiter); Tax, D.M.J. (TU Delft Pattern Recognition and Bioinformatics); Sluiter, M.H.F. (TU Delft Team Marcel Sluiter); Bessa, M.A. (Brown University)","","2024","Current deep neural networks (DNNs) are overparameterized and use most of their neuronal connections during inference for each task. The human brain, however, developed specialized regions for different tasks and performs inference with a small fraction of its neuronal connections. We propose an iterative pruning strategy introducing a simple importance-score metric that deactivates unimportant connections, tackling overparameterization in DNNs and modulating the firing patterns. The aim is to find the smallest number of connections that is still capable of solving a given task with comparable accuracy, i.e. a simpler subnetwork. We achieve comparable performance for LeNet architectures on MNIST, and significantly higher parameter compression than state-of-the-art algorithms for VGG and ResNet architectures on CIFAR-10/100 and Tiny-ImageNet. Our approach also performs well for the two different optimizers considered—Adam and SGD. The algorithm is not designed to minimize FLOPs when considering current hardware and software implementations, although it performs reasonably when compared to the state of the art.","Connection pruning; Iterative pruning; Neural network pruning; Sparsity","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-05","","","Team Marcel Sluiter","","",""
"uuid:ad8e8a0b-7228-4f33-9a86-5b51f774922b","http://resolver.tudelft.nl/uuid:ad8e8a0b-7228-4f33-9a86-5b51f774922b","Downsizing and the use of timber as embodied carbon reduction strategies for new-build housing: A partial life cycle assessment","Souaid, C. (TU Delft Urban Development Management); ten Caat, P.N. (TU Delft Environmental & Climate Design); Meijer, A. (TU Delft Environmental & Climate Design); Visscher, H.J. (TU Delft Design & Construction Management)","","2024","The 2050 decarbonization goals coupled with the growing housing shortage in Europe intensify the pressure on new-build dwellings to enhance their energy performance. Beyond a zero operational energy, the focus has shifted towards reducing embodied carbon (EC). Against this backdrop, this study investigates the simultaneous impact of downsizing and the use of timber in new-build dwellings, EC reduction strategies seldom explored concurrently. Through partial life cycle assessments, three scenarios are modelled: the Small, Medium, and Large House, with two construction variations for each, comparing a modular timber design to a conventional concrete alternative. Designs are based on dwellings built in Almere, the Netherlands. Data is extracted from the Swiss Ecoinvent database using the TOTEM tool and the static −1/+1 approach for biogenic carbon accounting is adopted. Results show a total EC ranging from 42,608 to 70,384 kgCO2eq for the timber designs versus 54,681 to 91,270 kgCO2eq for their concrete counterparts. Findings suggest that the relationship between house size and EC is sublinear whereby a house twice the size entails less than twice the EC emissions. Only the simultaneous implementation of downsizing and the use of timber achieved 53% carbon savings. The discussion explores implications of outcomes across academic, industry and policy perspectives, challenges in implementing smaller timber dwellings, and study limitations and future research. Beyond its empirical contribution, this paper offers a practical contribution with its hierarchical data analysis approach covering building, element and component. This approach can be implemented by researchers and practitioners alike to inform their design process.","Embodied carbon; Life cycle assessment; Timber construction; Downsizing; House size; Housing","en","journal article","","","","","","","","","","","Urban Development Management","","",""
"uuid:db8a45fb-5bf5-4320-a11a-138ae5a80939","http://resolver.tudelft.nl/uuid:db8a45fb-5bf5-4320-a11a-138ae5a80939","A new numerical modelling framework for fixed oscillating water column wave energy conversion device combining BEM and CFD methods: Validation with experiments","Raghavan, V. (TU Delft Offshore Engineering); Simonetti, Irene (University of Florence); Metrikine, A. (TU Delft Hydraulic Engineering; TU Delft Engineering Structures); Lavidas, G. (TU Delft Offshore Engineering); Cappietti, Lorenzo (University of Florence)","","2024","The Oscillating Water Column (OWC) wave energy converter has been shown to have high potential, thus rendering extensive development in recent years. In order to further accelerate its development, highly accurate yet computationally efficient tools are necessary particularly when studying the interaction of multiple OWC devices. This paper proposes a new framework for fixed OWC devices with an orifice, that uses the input from a high fidelity non-linear numerical model to improve the accuracy of a low fidelity linear numerical model keeping computational costs low. This is done by accounting for the non-linearities in the pressure-flow of an orifice in the input to the linear numerical model. Experimental data is used to validate the framework, thus providing an accurate and computationally efficient linear numerical model, that can be used for the preliminary analysis of fixed OWC devices.","wave energy; computational fluid dynamic (CFD); Boundary Element Method (BEM); Oscillating Water Column; HAMS-MREL; OpenFOAM; Framework","en","journal article","","","","","","","","","","Hydraulic Engineering","Offshore Engineering","","",""
"uuid:876fad45-94fd-4f78-a250-a48a4d229216","http://resolver.tudelft.nl/uuid:876fad45-94fd-4f78-a250-a48a4d229216","MACE: Automated Assessment of Stereochemistry of Transition Metal Complexes and Its Applications in Computational Catalysis","Chernyshov, I. (TU Delft ChemE/Inorganic Systems Engineering); Pidko, E.A. (TU Delft ChemE/Inorganic Systems Engineering)","","2024","Computational chemistry pipelines typically commence with geometry generation, well-established for organic compounds but presenting a considerable challenge for transition metal complexes. This paper introduces MACE, an automated computational workflow for converting chemist SMILES/MOL representations of the ligands and the metal center to 3D coordinates for all feasible stereochemical configurations for mononuclear octahedral and square planar complexes directly suitable for quantum chemical computations and implementation in high-throughput computational chemistry workflows. The workflow is validated through a structural screening of a data set of transition metal complexes extracted from the Cambridge Structural Database. To further illustrate the power and capabilities of MACE, we present the results of a model DFT study on the hemilability of pincer ligands in Ru, Fe, and Mn complexes, which highlights the utility of the workflow for both focused mechanistic studies and larger-scale high-throughput pipelines.","","en","journal article","","","","","","Publisher Copyright: © 2024 The Authors. Published by American Chemical Society","","","","","ChemE/Inorganic Systems Engineering","","",""
"uuid:e9c748fa-0998-41d9-838f-c2bdb97b7d3a","http://resolver.tudelft.nl/uuid:e9c748fa-0998-41d9-838f-c2bdb97b7d3a","A Novel Composite Sensor for UHF Partial Discharge and Gas State Measurement in GIS","Chen, Huan (Xi’an Jiaotong University); Zhang, Zhaoyu (Xi’an Jiaotong University); Guo, R. (TU Delft High Voltage Technology Group); Han, Xutao (Xi’an Jiaotong University); Zhang, Xuanrui (Xi’an Jiaotong University); Lin, Junhao (Xi’an Jiaotong University)","","2024","The gas state of the insulating gas significantly impacts the development and extent of the partial discharge (PD) in gas-insulated switchgear (GIS). Correlating these two factors allows for a more comprehensive diagnosis of insulation defects. At present, the ultrahigh-frequency (UHF) method has been widely used for PD detection in GIS. However, the measurements of the insulating gas’s state in the field are primarily conducted offline or at gas valves, providing ineffective data for reference. To address this challenge, this article introduces a novel composite sensor capable of simultaneous UHF and gas state measurements. Building upon the disk-type UHF sensor structure, the composite sensor integrates a gas state sensing unit with the micro-electromechanical systems (MEMS) sensor as the core. A robust shielding shell is designed through finite element simulation to ensure the safety of the sensor, with the maximum induced voltage and electric field strength on the sensing unit measuring 0.28 V and 414 V/m, respectively. The average UHF measurement sensitivity of the composite sensor reaches 13.3 mm. In addition, the composite sensor exhibits low errors (±0.2 °C, ±3 kPa, and $\pm 10~\mu \text{L}$ /L), high consistency and repeatability in temperature, pressure, and moisture measurement. Finally, the composite sensor has demonstrated its capability to simultaneously and safely detect PD signals and the gas state within GIS.","Combined detection; electromagnetic shielding; gas-insulated switchgear (GIS); gas state; micro-electromechanical systems (MEMS) sensor; ultrahigh frequency (UHF)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-01","","","High Voltage Technology Group","","",""
"uuid:a4085c4b-de19-4894-8c45-ea6b684bfe3c","http://resolver.tudelft.nl/uuid:a4085c4b-de19-4894-8c45-ea6b684bfe3c","Centimeter-Level Indoor Visible Light Positioning","Zhu, R. (TU Delft Embedded Systems); Van Den Abeele, Maxim (Katholieke Universiteit Leuven); Beysens, Jona (CSEM SA); Yang, J. (TU Delft Web Information Systems); Wang, Q. (TU Delft Embedded Systems)","","2024","Visible light positioning (VLP) based on the received signal strength (RSS) can leverage a dense deployment of LEDs in future lighting infrastructure to provide accurate and energy-efficient indoor positioning. However, its positioning accuracy heavily depends on the density of collected fingerprints, which is labor-intensive. In this work, we propose a data pre-processing method, including data cleaning and data augmentation, to construct reliable and dense fingerprint samples, thereby alleviating the impact of noisy samples as well as reducing labor intensity. Extensive experiments demonstrate that our proposed method achieves an average positioning error of 1.7 cm, utilizing a sparse dataset that reduces the fingerprint collection effort by 98 percent. Running a tinyML-based model for VLP on the Arduino Nano microcontroller, we also show the possibilities for deploying RSS fingerprint-based VLP systems on resource-constrained embedded devices for real-world applications.","","en","journal article","","","","","","","","2024-09-30","","","Embedded Systems","","",""
"uuid:0f81470b-a2c5-441b-af0f-ba9a2a5a1ec8","http://resolver.tudelft.nl/uuid:0f81470b-a2c5-441b-af0f-ba9a2a5a1ec8","BSc Optics","Konijnenberg, A.P. (TU Delft ImPhys/Optics); Adam, A.J.L. (TU Delft ImPhys/Adam group); Urbach, Paul (TU Delft ImPhys/Stallinga group)","","2024","This book treats optics at the level of students in the later stage of their bachelor or the beginning of their master. It is assumed that the student is familiar with Maxwell’s equations. Although the book takes account of the fact that optics is part of electromagnetism, special emphasis is put on the usefulness of approximate models of optics, their hierarchy and limits of validity. Approximate models such as geometrical optics and paraxial geometrical optics are treated extensively and applied to image formation by the human eye, the microscope and the telescope.
Polarisation states and how to manipulate them are studied using Jones vectors and Jones matrices. In the context of interference, the coherence of light is explained thoroughly. To understand fundamental limits of resolution which cannot be explained by geometrical optics, diffraction theory is applied to imaging. The angular spectrum method and evanescent waves are used to understand the inherent loss of information about subwavelength features during the propagation of light. The book ends with a study of the working principle of the laser.","geometrical optics; interferometry; diffraction optics; polarisation states; Jones vectors; coherence of light","en","book","TU Delft OPEN","978-94-6366-847-7","","","","TU Delft OPEN Textbook","","","","","ImPhys/Optics","","",""
"uuid:3eca4e81-1449-4cce-8e6c-cf8d2929d6ea","http://resolver.tudelft.nl/uuid:3eca4e81-1449-4cce-8e6c-cf8d2929d6ea","ORC Waste Heat Recovery System for the Turboshaft Engines of Turboelectric Aircraft: correction","Krempus, D. (TU Delft Flight Performance and Propulsion); Beltrame, F. (TU Delft Flight Performance and Propulsion); Majer, M. (TU Delft Flight Performance and Propulsion); Colonna, Piero (TU Delft Flight Performance and Propulsion); de Servi, C.M. (TU Delft Flight Performance and Propulsion); Vos, Roelof (TU Delft Flight Performance and Propulsion)","","2024","This paper presents a preliminary study about a combined-cycle engine based on a turboshaft engine and an organic-Rankine-cycle (ORC) bottoming unit to be used onboard an aircraft with a turboelectric propulsion system. The aim is to analyse whether benefits with respect to mission fuel consumption can be derived by employing such a combined-cycle
engine when compared to a simple-cycle turboshaft engine. For this purpose, a multidisciplinary optimization framework is developed, incorporating models for the engine, ORC system, ORC turbine, heat exchangers, and mission analysis. This framework is coupled with an optimizer to identify the optimal combined-cycle engine design for minimum mission fuel consumption. The results suggest that fuel savings of around 1.5% are possible with the optimized system if compared to the aircraft employing turboshaft engines. Heat exchanger volume is identified as the most constraining parameter when it comes to combined-cycle performance. The analysis of the results suggests as aspects which might lead to further improvements the evaluation of other ORC architectures, working fluids and heat exchanger topologies.","Organic Rankine Cycle; Waste heat recovery; Turboshaft engines; Turboelectric aircraft","en","report","","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:ae16fe71-3f56-4ee1-87dc-db4076993d4b","http://resolver.tudelft.nl/uuid:ae16fe71-3f56-4ee1-87dc-db4076993d4b","Multiscale Upscaling Study for CO2 Storage in Carbonate Rocks Using Machine Learning and Multiscale Imaging","Yong, Wen Pin (Petronas Research); Menke, Hannah (Heriot-Watt University); Maes, Julien (Heriot-Watt University); Geiger, S. (TU Delft Applied Geology); Bakar, Zainol Affendi Abu (Petronas Research); Lewis, Helen (Heriot-Watt University); Buckman, Jim (Heriot-Watt University); Bonnin, Anne (Paul Scherrer Institut); Singh, Kamaljit (Heriot-Watt University)","","2024","Microporosity is commonly assumed to be non-connected porosity and not commonly studied in geoengineering industry. However, the presence of micropores plays a key role in connecting macropores and it can contribute significantly to the overall flow performance. In this study, targeted CO2 storage carbonate fields in Southeast Asia have significant amounts of microporosity ranging from 10 to 60% of the total measured porosity. Microporosity can only be seen in high resolution images. To study the unresolved and the resolved microporosity, Middle Miocene carbonate samples from CO2 storage candidate fields were scanned using lower resolution micro-computed micro-tomography (micro-CT) and higher resolution synchrotron light source to understand the pore scale structure of the carbonate sample at different length scales. This paper proposes a proof-of-concept upscaling method that integrates multiscale 3D imaging techniques and trendline analysis to establish porosity-permeability relationships with microporosity insight. After image acquisition and processing, the images were divided into smaller sub-volumes. Pore-scale modelling was conducted to predict the permeability using Darcy-Brinkman-Stokes (DBS) model. Then, a nano-scale porosity-permeability transform is generated using natural log trendline fitting based on simulation results. The porosity-permeability transform is further extended to three cases to cover the low case, mid case, and high case of datapoint fittings and is further validated with laboratory measured data. The established porosity-permeability transforms in this study have been applied to compare with petrophysical derived porosity-permeability transforms with better performance (higher R2 value) for low permeability datapoint. The multiscale imaging upscaling workflow has integrated machine learning during image segmentation with pore-scale modelling and trendline fitting during the upscaling study. It emphasises the importance of seeing the unseen (unresolved microporous phase) to understand the internal texture and microstructure of a rock sample in order to understand the connectivity of the overall flow performance in a carbonate rock.","carbonate rock; geologist; sedimentary rock; geology; rock type; reservoir characterization; reservoir simulation; scaling method; fluid dynamics; trendline fitting","en","conference paper","Offshore Technology Conference","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-22","","","Applied Geology","","",""
"uuid:af8e2827-331c-464c-aa5f-bd6c7d1a2b96","http://resolver.tudelft.nl/uuid:af8e2827-331c-464c-aa5f-bd6c7d1a2b96","Three-Dimensional Clustering in the Characterization of Spatiotemporal Drought Dynamics: Cluster Size Filter and Drought Indicator Threshold Optimization","Diaz, Vitali (TU Delft Digital Technologies; IHE Delft Institute for Water Education); Corzo Perez, Gerald A. (IHE Delft Institute for Water Education); Van Lanen, Henny A.J. (Wageningen University & Research); Solomatine, D.P. (TU Delft Water Resources; Water Problems Institute of Russian Academy of Sciences; IHE Delft Institute for Water Education)","","2024","In its three-dimensional (3-D) characterization, drought is an event whose spatial extent changes over time. Each drought event has an onset and end time, a location, a magnitude, and a spatial trajectory. These characteristics help to analyze and describe how drought develops in space and time (i.e., drought dynamics). Methodologies for 3-D characterization of drought include a 3-D clustering technique to extract the drought events from the hydrometeorological data. The application of the clustering method yields small artifact droughts. These small clusters are removed from the analysis with the use of a cluster size filter. However, according to the literature, the filter parameters are usually set arbitrarily, so this study concentrated on a method to calculate the optimal cluster size filter for the 3-D characterization of drought. The effect of different drought indicator thresholds to calculate drought is also analyzed. The approach was tested in South America with data from the Latin American Flood and Drought Monitor for 1950–2017. Analysis of the spatial trajectories and characteristics of the most extreme droughts is also included. Calculated droughts are compared with information reported at a country scale and a reasonably good match is found.","Spatiotemporal drought analysis; Drought tracking; Drought dynamics; Drought characterization; Drought clustering","en","book chapter","AGU/Wiley","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-15","","","Digital Technologies","","",""
"uuid:a8a413df-ce92-4833-9dbd-98b07f0c1b71","http://resolver.tudelft.nl/uuid:a8a413df-ce92-4833-9dbd-98b07f0c1b71","Irradiation resistance of thermo-optical properties of zirconium diboride by 3 MeV electrons","Rønning, K.D. (Student TU Delft); Tang, Y. (TU Delft Group Tang)","","2024","Due to good thermal conductivity and thermal shock resistance, ultra-high temperature ceramics such as zirconium diboride (ZrB2) have been investigated as promising materials to be used in reusable thermal protection systems TPSs are vital to the heat balance of a spacecraft during atmospheric reentry and subsequent operation in space. Hence, the thermal and optical properties are especially critical for such applications. Meanwhile, radiation exposure in space can pose risks of degrading such material properties, especially over a prolonged mission duration. The interaction of electron radiation-which can be found in the outer Van Allen belt, with ZrB2 has not been studied previously and was chosen as the main scope of this study. An electron source of 3 MeV with different radiation exposure time was used. The response of thermo-optical properties of ZrB2 to increasing electron radiation fluences was investigated. ZrB2 samples were made through spark plasma sintering into sintered pellets and then exposed to 3 MeV electron irradiation. These ZrB2 samples were characterized by their microstructure, thermal conductivity, coefficient of thermal expansion (CTE), emittance, absorptivity, and surface roughness before and after irradiation. It was found that ZrB2’s thermo-optical properties showed high radiation resistance at these fluences, and no apparent microstructural change was observed after irradiation. However, the irradiated samples had, on average, a 29% lower surface roughness than the unirradiated samples, possibly originating from electron sputtering.","zirconium diboride; electron irradiation; thermal protection systems; thermal properties; optical properties","en","journal article","","","","","","","","","","","Group Tang","","",""
"uuid:835a8662-ebd2-4456-b9de-02ec74bb382f","http://resolver.tudelft.nl/uuid:835a8662-ebd2-4456-b9de-02ec74bb382f","Polydispersity effect on dry and immersed granular collapses: an experimental study","Polanía, Oscar (Universidad de los Andes; Université de Montpellier); Estrada, Nicolas (Universidad de los Andes); Azéma, Emilien (Institut Universitaire de France; Université de Montpellier); Renouf, Mathieu (Université de Montpellier); Cabrera, M.A. (TU Delft Geo-engineering)","","2024","The column collapse experiment is a simplified version of natural and industrial granular flows. In this set-up, a column built with grains collapses and spreads over a horizontal plane. Granular flows are often studied with a monodisperse distribution; however, this is not the case in natural granular flows where a variety of grain sizes, known as polydispersity, is a common feature. In this work, we study the effect of polydispersity, and of the inherent changes that polydispersity causes in the initial packing fraction, in dry and immersed columns. We show that dry columns are not significantly affected by polydispersity, reaching similar distances at similar times. In contrast, immersed columns are strongly affected by the polydispersity and packing fraction, and the collapse sequence is linked to changes of the basal pore fluid pressure P. At the collapse initiation, negative changes of P beneath the column produce a temporary increase of the column strength. The negative change of P lasts longer in polydisperse columns than in monodisperse columns, delaying the collapse sequence. Conversely, during the column spreading, positive changes of P lead to a decrease of the shear strength. For polydisperse collapses, the excess of P lasts longer, allowing the material to reach farther distances, compared with the collapses of monodisperse materials. Finally, we show that a mobility model that scales the final runout with the collapse kinetic energy remains true for different polydispersity levels in a three-dimensional configuration, capturing the scaling between the micro to macro controlling features.","avalanches; gravity currents; particle/fluid flow","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-21","","","Geo-engineering","","",""
"uuid:7a7c041f-a807-4ba5-9535-206bf6615500","http://resolver.tudelft.nl/uuid:7a7c041f-a807-4ba5-9535-206bf6615500","Recommendations for accelerating open preprint peer review to improve the culture of science","Avissar-Whiting, Michele (Howard Hughes Medical Institute, Cambridge); Belliard, F. (TU Delft Scholarly Communications and Publishing); Bertozzi, Stafano M. (University of California Berkeley); Brand, Amy (Massachusetts Institute of Technology); Brown, Katherine (The Company of Biologists, Cambridge); Clément-Stoneham, Géraldine (UKRI, London); Dawson, Staphanie (ScienceOpen, Berlin); Dey, Gautam (European Molecular Biology Laboratory Heidelberg); Ecer, Daniel (Sciety/eLife, Cambridge)","","2024","AUPeer: Plea reviewsecoisnfianrmthimportant atallheadi part nglof evethelsarere scientific presenteprocess, dcorrectbut ly: traditional peer review at journals is coming under increased scrutiny for its inefficiency and lack of transparency. As preprints become more widely used and accepted, they raise the possibility of rethinking the peer-review process. Preprints are enabling new forms of peer review that have the potential to be more thorough, inclusive, and collegial than traditional journal peer review, and to thus fundamentally shift the culture of peer review toward constructive collaboration. In this Consensus View, we make a call to action to stakeholders in the community to accelerate the growing momentum of preprint sharing and provide recommendations to empower researchers to provide open and constructive peer review for preprints.","","en","journal article","","","","","","","","","","","Scholarly Communications and Publishing","","",""
"uuid:606a9222-0b59-4b6a-8c84-64e808477a1d","http://resolver.tudelft.nl/uuid:606a9222-0b59-4b6a-8c84-64e808477a1d","Effect of the number of Cyperus rotundus and medium height on the performance of batch-constructed wetland in treating aquaculture effluent","Imron, M.F. (TU Delft Sanitary Engineering; Airlangga University); Hestianingsi, Wa Ode Ayu (Airlangga University); Putranto, Trisnadi Widyaleksono Catur (Airlangga University); Citrasari, Nita (Airlangga University); Abdullah, Siti Rozaimah Sheikh (Universiti Kebangsaan Malaysia); Hasan, Hassimi Abu (Universiti Kebangsaan Malaysia); Kurniawan, Setyo Budi (Institute of Microbiology of the Academy of Sciences of the Czech Republic)","","2024","Increasing aquaculture cultivation produces large quantities of wastewater. If not handled properly, it can have negative impacts on the environment. Constructed wetlands (CWs) are one of the phytoremediation methods that can be applied to treat aquaculture effluent. This research was aimed at determining the performance of Cyperus rotundus in removing COD, BOD, TSS, turbidity, ammonia, nitrate, nitrite, and phosphate from the batch CW system. Treatment was carried out for 30 days with variations in the number of plants (10, 15, and 20) and variations in media height (10, 12, and 14 cm). The result showed that aquaculture effluent contains high levels of organic compounds and nutrients, and C. rotundus can grow and thrive in 100% of aquaculture effluent. Besides that, the use of C. rotundus in CWs with the effect of numbers of plants and media height showed performance of COD, BOD, TSS, turbidity, ammonia, nitrate, nitrite, and phosphate with 70, 79, 90, 96, 64, 82, 92, and 48% of removal efficacy, respectively. There was no negative impact observed on C. rotundus growth after exposure to aquaculture effluent, as indicated by the increase in wet weight, dry weight, and growth rate when compared to the control. Thus, adding aquaculture effluent to CWs planted with C. rotundus supports the growth and development of plants while also performing phytoremediation.","Aquaculture; Environmental pollution; Phytotechnology; Sustainable treatment","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-02","","","Sanitary Engineering","","",""
"uuid:abbee81c-8047-420c-9684-3b666ee5dd48","http://resolver.tudelft.nl/uuid:abbee81c-8047-420c-9684-3b666ee5dd48","Fracture Mechanics: An Engineering Primer","Janssen, M. (TU Delft Team Vera Popovich); Zuidema, J; Wanhill, R.J.H. (Royal Netherlands Aerospace Centre NLR)","","2024","In this second edition, which is the result of numerous revisions, updates and additions, the authors cover the basic concepts of fracture mechanics for both the linear elastic and elastic-plastic regimes. The fracture mechanics parameters K, G, J and CTOD are treated in a basic manner along with the test methods to determine critical values. The development of failure assessment based on elastic-plastic fracture mechanics is reflected in a comprehensive treatment.
Three chapters are devoted to the fracture mechanics characterisation of crack growth. Fatigue crack growth is extensively treated and attention is paid to the important topic of the initiation and growth of short fatigue cracks. Furthermore, sustained load fracture and dynamic crack growth are discussed, including various test techniques, e.g. the determination of the crack arrest toughness.
Finally, there are two chapters dealing with mechanisms of fracture and the ways in which actual material behaviour influences the fracture mechanics characterisation of crack growth. This textbook is intended primarily for engineering students. It will be useful to practising engineers as well, since it provides the background to several test and design methods and to criteria for material selection.","Fracture Mechanisms; Fracture Toughness; Failure Assessment; Fatigue; Sustained Load Fracture","en","book","TU Delft OPEN","978-94-6366-817-0","","","","TU Delft OPEN Textbook","","","","","Team Vera Popovich","","",""
"uuid:7c702c97-ce75-4226-a15d-1b96f6da087c","http://resolver.tudelft.nl/uuid:7c702c97-ce75-4226-a15d-1b96f6da087c","Beyond control over data: Conceptualizing data sovereignty from a social contract perspective","Abbas, A.E. (TU Delft Information and Communication Technology); van Velzen, Thomas (Student TU Delft); Ofe, H.A. (TU Delft Information and Communication Technology); van de Kaa, G. (TU Delft Economics of Technology and Innovation); Zuiderwijk-van Eijk, A.M.G. (TU Delft Information and Communication Technology); de Reuver, Mark (TU Delft Information and Communication Technology)","","2024","In the data economy, data sovereignty is often conceptualized as data providers’ ability to control their shared data. While control is essential, the current literature overlooks how this facet interrelates with other sovereignty facets and contextual conditions. Drawing from social contract theory and insights from 31 expert interviews, we propose a data sovereignty conceptual framework encompassing protection, participation, and provision facets. The protection facets establish data sharing foundations by emphasizing baseline rights, such as data ownership. Building on this foundation, the participation facet, through responsibility divisions, steers the provision facets. Provision comprises facets such as control, security, and compliance mechanisms, thus ensuring that foundational rights are preserved during and after data sharing. Contextual conditions (data type, organizational size, and business data sharing setting) determine the level of difficulty in realizing sovereignty facets. For instance, if personal data is shared, privacy becomes a relevant protection facet, leading to challenges of ownership between data providers and data subjects, compliance demands, and control enforcement. Our novel conceptualization paves the way for coherent and comprehensive theory development concerning data sovereignty as a complex, multi-faceted construct.","Data economy; Data marketplaces; Data sharing; Data sovereignty; L86; Meta-platforms","en","journal article","","","","","","","","","","","Information and Communication Technology","","",""
"uuid:e56b944e-f24d-4893-81b6-4dd8304fe9aa","http://resolver.tudelft.nl/uuid:e56b944e-f24d-4893-81b6-4dd8304fe9aa","Full nitrogen and phosphorus removal in the PASDEBPR system","Kamath, P. (IHE Delft Institute for Water Education); Rubio-Rincón, F. J. (IHE Delft Institute for Water Education); Brdjanovic, Damir (TU Delft BT/Environmental Biotechnology; IHE Delft Institute for Water Education); Lopez-Vazquez, C. M. (IHE Delft Institute for Water Education)","","2024","Photo-activated sludge (PAS) system aims to utilize microalgae to deliver oxygen for bacterial respiration, eliminating the need for external aeration. However, research on the treatment potential of PAS systems in the removal of nutrients is limited. In this context, a research study was devised to evaluate the possibility of developing a microalgae-bacteria consortium to achieve the simultaneous removal of organic carbon, nitrogen, and phosphorus. A successful PAS system capable of removing phosphorus was established at the end of the first phase, with an effluent phosphorus (P) concentration of 1.6 mg P L−1. In the subsequent stage, during the introduction of the nitrification-denitrification process, the system lost stability and deteriorated. Interestingly the system recovered via the sparging of nitrogen gas reaching effluent concentrations of 1.22 mg P L−1 and 0.88 mg N L−1. Thus, the system was capable of removing phosphorus and nitrogen via biological means without the need for external aeration. It is hypothesized that the inhibition caused was due to the production of a gaseous compound during the nitrification/denitrification process.","Denitrification; Enhanced biological phosphorus removal; Microalgae-bacteria consortium; Nitrous oxide","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-16","","","BT/Environmental Biotechnology","","",""
"uuid:870df03c-b8a8-4544-9b76-0e7420f829f7","http://resolver.tudelft.nl/uuid:870df03c-b8a8-4544-9b76-0e7420f829f7","Advances in using municipal solid waste incineration (MSWI) bottom ash as precursor for alkali-activation materials: A critical review","Chen, B. (TU Delft Materials and Environment); Perumal, Priyadharshini (University of Oulu); Aghabeyk, F. (TU Delft Materials and Environment); Adediran, Adeolu (University of Oulu); Illikainen, Mirja (University of Oulu); Ye, G. (TU Delft Materials and Environment)","","2024","The pursuit of low-carbon binders as alternatives to Portland cement has sparked interest in developing alkali-activated materials (AAM).1 Using municipal solid waste incineration (MSWI) bottom ash as precursor for AAM has attracted increasing attention as it offers a sustainable, resource-efficient solution to mitigate the environmental impacts associated with the landfill of MSWI bottom ash. However, the varying properties of MSWI bottom ash present challenges in its wide application as AAM precursor. This review provides a comprehensive overview of advances in MSWI bottom ash-based AAM,2 with a particular focus on the relationship between the physicochemical properties of MSWI bottom ash and the engineering properties of MSWI bottom ash-based AAM. This work consolidates the most up-to-date understanding of the reaction mechanism and reaction products of MSWI bottom ash, along with the existing knowledge about mix design and microstructure formation of MSWI bottom ash-based AAM. The factors influencing the engineering properties of MSWI bottom ash-based AAM are detailed, and the environmental impacts of MSWI bottom ash-based AAM are reviewed. Ultimately, this review provides recommendations for the standardized and effective use of MSWI bottom ash as AAM precursor.","Alkali-activated materials; Durability; Environmental impacts; Mechanical properties; Municipal solid waste incineration bottom ash; Reaction","en","review","","","","","","","","","","","Materials and Environment","","",""
"uuid:bc8e981e-2a58-440a-8715-db3999eb64d3","http://resolver.tudelft.nl/uuid:bc8e981e-2a58-440a-8715-db3999eb64d3","Mode substitution induced by electric mobility hubs: Results from Amsterdam","Liao, F. (Radboud Universiteit Nijmegen); Vleugel, J (TU Delft Transport and Planning); Bösehans, Gustav (Newcastle University); Dissanayake, Dilum (University of Birmingham); Thorpe, Neil (Jacobs); Bell, Margaret (Newcastle University); van Arem, B. (TU Delft Transport and Planning); Correia, Gonçalo (TU Delft Transport and Planning)","","2024","Electric mobility hubs (eHUBS) are locations where multiple shared electric modes including electric cars and e-bikes are available. To assess their potential to reduce private car use, it is important to investigate to what extent people would switch to eHUBS modes after their introduction. Moreover, people may adapt their behaviour differently depending on their current travel mode. This study is based on stated preference data collected in Amsterdam. We analysed the data using mixed logit models. We found that users of different modes not only have varied general preferences for different shared modes but also have different sensitivity for attributes such as travel time and cost. Public transport users are more likely to switch to eHUBS modes than car users. People who bike and walk have strong inertia, but the percentage choosing eHUBS modes doubles when the trip distance is longer (5 or 10 km).","Carsharing; eHUBS; Mode choice; Shared e-bike","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:bc088cea-5a70-459f-8eb9-e5e6d086379b","http://resolver.tudelft.nl/uuid:bc088cea-5a70-459f-8eb9-e5e6d086379b","Towards sustainable groundwater development with effective measures under future climate change in Beijing Plain, China","Liu, S. (TU Delft Water Resources; IHE Delft Institute for Water Education); Zhou, Yangxiao (IHE Delft Institute for Water Education; Hebei University); Eiman, Fatima (IHE Delft Institute for Water Education); McClain, M.E. (TU Delft Water Resources; IHE Delft Institute for Water Education); Wang, Xu sheng (China University of Geosciences)","","2024","To cope with the groundwater depletion problem and achieve sustainable groundwater development, groundwater conservation measures and managed aquifer recharge (MAR) have been implemented worldwide. However, knowledge gaps exit how does the aquifer system respond to these interventions differently and if these interventions are adequate to lead to long-term sustainable groundwater development under future climate change. In Beijing Plain, two measures have been implemented: reduction of groundwater abstraction by substituting groundwater abstraction with transferred surface water and implementation of managed aquifer recharge (MAR) in two major rivers. This study aims to assess how do the shallow and deep aquifers respond to these measures and if these measures can lead to long-term sustainable groundwater development in Beijing Plain under future climate change. A 3-D transient groundwater flow model was calibrated and used to simulate groundwater level and budget changes from 2021 to 2050. The monthly groundwater recharge was estimated using the projected monthly precipitation from three downscaled regional climate models under two scenarios (RCP4.5 and RCP8.5). The results show that declines in groundwater head and storage can be reversed with the combined two measures, thereby contributing to achieve sustainable groundwater development. The reduction of abstractions is a deciding measure to reverse the trend of groundwater depletion, especially in the deep confined aquifers, while large scale MAR schemes can restore the cones of depressions in shallow aquifers and maintain the groundwater abstraction. Climate variation has large impacts on groundwater resources, especially, consecutive dry years can cause rapid groundwater storage depletion. The projected monthly precipitation from 2021 to 2050 is not significantly different from the past. Therefore, the projected future precipitation has minor impacts on groundwater resources in the next 30 years. The findings from the study will support the Beijing municipality to maintain the tight control on groundwater abstraction and to implement large-scale MAR schemes in two rivers. This successful example will encourage managers of other heavily exploited aquifers to take similar measures to achieve sustainable groundwater development.","Beijing Plain; Climate change; Groundwater sustainability; Substitution of abstraction, Managed Aquifer Recharge","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:1f4ed1e0-15a9-4854-a6a0-74adaa8a1424","http://resolver.tudelft.nl/uuid:1f4ed1e0-15a9-4854-a6a0-74adaa8a1424","Flocculation characteristics of suspended Mississippi River mud under variable turbulence, water and salt sources, and salinity: a laboratory study","Abolfazli, Ehsan (Virginia Tech College of Engineering); Osborn, Ryan (Virginia Tech College of Engineering); Dunne, K.B.J. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Nittrouer, Jeffrey A. (Texas Tech University); Strom, Kyle (Virginia Tech College of Engineering)","","2024","Muddy sediment constitutes a major fraction of the suspended sediment mass carried by the Mississippi River. Thus, adequate knowledge of the transport dynamics of suspended mud in this region is critical in devising efficient management plans for coastal Louisiana. We conducted laboratory tank experiments on the sediment suspended in the lower reaches of the Mississippi River to provide insight into the flocculation behavior of the mud. In particular, we measure how the floc size distribution responds to changing environmental factors of turbulent energy, sediment concentration, and changes in base water composition and salinity during summer and winter. We also compare observations from the tank experiments to in situ observations. Turbulence shear rate, a measure of river hydrodynamic energy, was found to be the most influential factor in determining mud floc size. All flocs produced at a given shear rate could be kept in suspension down to shear rates of approximately 20 s−1. At this shear rate, flocs on the order of 150–200 μm and larger can settle out. Equilibrium floc size was not found to depend on sediment concentration; flocs larger than 100 μm formed in sediment concentrations as low as 20 mgL−1. An increase in salinity generated by adding salts to river water suspensions did not increase the flocculation rate or equilibrium size. However, the addition of water collected from the Gulf of Mexico to river-water suspensions did enhance the flocculation rate and the equilibrium sizes. We speculate that the effects of Gulf of Mexico water originate from its biomatter content rather than its ion composition. Floc sizes in the mixing tanks were comparable to those from the field for similar estimated turbulent energy. Flocs were found to break within minutes under increased turbulence but can take hours to grow under conditions of reduced shear in freshwater settings. Growth was faster with the addition of Gulf of Mexico water. Overall, the experiments provide information on how suspended mud in the lower reaches of the Mississippi might respond to changes in turbulence and salinity moving from the fluvial to marine setting through natural distributary channels or man-made diversions.","flocculation; Gulf of Mexico; Mississippi River; mud; sediment","en","journal article","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:08df48eb-44d1-4ed2-b8c2-8e1fae6fc6f5","http://resolver.tudelft.nl/uuid:08df48eb-44d1-4ed2-b8c2-8e1fae6fc6f5","Investigation of mode-II delamination fracture energy with a discontinuous computational homogenization model","Ke, L. (TU Delft Applied Mechanics); van der Meer, F.P. (TU Delft Applied Mechanics)","","2024","Numerical methods for delamination analysis, such as the cohesive zone method, require fracture energy as an essential input. Existing formulations rely on a phenomenological relationship that links fracture energy to the mode of fracture based on linear elastic fracture mechanics (LEFM). However, doubts exist about the applicability of LEFM. It has been demonstrated that the phenomenological relationships describing fracture energy as a function of mode-ratio are not universally valid. Computational homogenization (FE2) provides an alternative where the dissipative mechanisms can be resolved on the microscale. This paper aims to assess the suitability of a proposed discontinuous FE2 framework for characterizing delamination growth under mode-II conditions by comparing it to direct numerical simulations (DNS). The impact of plasticity on effective fracture energy is evaluated for two distinct mode-II test configurations. The dissipation density from the bulk integration points within the delamination propagation zone is monitored. The findings demonstrate the FE2 model's capability to accurately capture plastic energy dissipation around a growing crack. Variations in plastic dissipation are observed between the mTCT and ENF test setups, leading to differences in effective mode-II fracture energy. These nuances, unaccounted for in state-of-the-art mesoscale cohesive models, highlight the FE2 framework's potential for enhancing delamination modeling.","Composites; Computational homogenization; Delamination; Fracture energy; Plasticity","en","journal article","","","","","","","","","","","Applied Mechanics","","",""
"uuid:39d9f3f8-3ac0-4654-ab33-000bf6635f9c","http://resolver.tudelft.nl/uuid:39d9f3f8-3ac0-4654-ab33-000bf6635f9c","Development of an Innovative Extension for Fatigue Life Monitoring Using a Piezoelectric Sensor","Ghaderiaram, A. (TU Delft Materials and Environment); Mohammadi, R. (TU Delft Structural Integrity & Composites); Schlangen, E. (TU Delft Materials and Environment); Fotouhi, M. (TU Delft Materials and Environment)","","2024","Engineering structures, such as bridges, wind turbines, airplanes, ships, buildings, and offshore platforms, often experience uncertain dynamic loadings due to environmental factors and operational conditions. The lack of knowledge about the load spectrum for these structures poses challenges in terms of design and can lead to either over-engineering or catastrophic failure. This research introduces a robust and innovative device, analogous to a ""Fitbit"" for structures, capable of measuring complex loading conditions throughout the structure's lifespan. The proposed approach involves developing a middleware, referred to as an ""extension,"" which facilitates the transfer of mechanical deformation to a piezoelectric sensor. This approach overcomes challenges associated with directly attaching piezoelectric sensors to the structure's surface such as rupture possibility in higher strain and attaching on rough surfaces. The feasibility study primarily focuses on validating the performance of the extension and monitoring variation trends. The ultimate objective is to develop an Internet of Things (IoT) sensor node capable of measuring applied cyclic loads. To achieve this goal, an electronic system and embedded software will be developed to capture the complex load spectrum and convert it into a fatigue damage index for predicting the structure's fatigue life. The collected data will be transmitted to the user through a wireless communication platform. The proposed sensor design is versatile, allowing for both attachment and embedding and is demonstrated here for monitoring fatigue in engineering structures.","Fatigue life monitoring; Piezoelectric sensor","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:4dee4bae-d221-4397-b589-495a74720c0f","http://resolver.tudelft.nl/uuid:4dee4bae-d221-4397-b589-495a74720c0f","Scaling up dynamic charging infrastructure: Significant battery cost savings","Liao, X. (TU Delft Transport and Planning); Saeednia, M. (TU Delft Transport and Planning); Nogal Macho, M. (TU Delft Integral Design & Management); Tavasszy, Lorant (TU Delft Transport and Planning; TU Delft Transport and Logistics)","","2024","Large-scale electrification of heavy-duty road freight faces challenges including scarcity of charging infrastructure and high battery costs. Dynamic charging could help overcome these challenges by enabling trucks to charge while driving. Important additional benefits for carriers related to lower required sizes and longer lifetimes of batteries could justify the required investments. The study investigates the optimal configuration of network sections to be electrified so that the balance between costs and benefits turns out positive. A case study for a highway network spanning 4 countries in Europe suggests that dynamic charging can lead to a significant reduction in overall transport system costs, up to very large network sizes. The study supports the decision-making of policymakers and road authorities by providing new insights into the costs and benefits of dynamic charging networks, and simultaneously considering the perspectives of investors and users.","Battery-electric landscape; Dynamic charging network planning; Sustainable road freight; Truck electrification","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:12a11b1c-a3de-4c8b-a05a-fc6790d538a5","http://resolver.tudelft.nl/uuid:12a11b1c-a3de-4c8b-a05a-fc6790d538a5","A conceptual design of two-stream alkali-activated materials","Sun, Yubo (Universiteit Gent); Mohan, Manu K. (Universiteit Gent); Tao, Yaxin (Universiteit Gent); Zhang, Yi (Universiteit Gent; Tongji University); Van Tittelboom, Kim (Universiteit Gent); Ye, G. (TU Delft Materials and Environment; Universiteit Gent); De Schutter, Geert (Universiteit Gent)","","2024","To properly control the reaction kinetics and fresh properties evolution in conventional alkali-activated materials (AAMs), a conceptual design of two-stream AAMs has been proposed in this study. This is achieved by dividing the solid and liquid components in AAMs, including blast furnace slag (BFS) and electric arc furnace slag (EFS) precursors, as well as aqueous sodium hydroxide and silicate activators into two separate streams A and B, where a very limited reactivity is expected in individual streams to ensure sufficient workability retention. Moreover, a final-stage intermixing is required to combine individual stream mixtures and trigger the major activation reaction. Fresh and hardened properties of combined mixtures were checked at different stages. The microstructure and reaction products were investigated to understand the strength development. Low dynamic rheological parameters and good workability retention have been detected in all individual stream mixtures, accompanied by limited exothermic heat flows after the initial dissolution confirmed by calorimetry tests. Further, Portland cement (PC) is partially blended into stream A to alter the early stiffening process in combined mixtures and meet various setting demands after intermixing. However, this might lead to a reduction in mechanical properties, associated with the formation of porous microstructures and an increase in the Ca/Si ratio in reaction products. Eventually, the conceptual design is validated in different scenarios including self-compacting and 3D-printing concrete applications.","3D-printing; Microstructure; Rheology; Self-compacting; Two-stream AAMs","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-23","","","Materials and Environment","","",""
"uuid:10589431-fb03-40dd-94a3-0f85d7741a09","http://resolver.tudelft.nl/uuid:10589431-fb03-40dd-94a3-0f85d7741a09","Spatial Parameters for Circular Construction Hubs: Location Criteria for a Circular Built Environment","Tsui, T.P.Y. (TU Delft Environmental & Climate Design); Furlan, C. (TU Delft Environmental Technology and Design; University of Natural Resources and Applied Life Sciences, Vienna; Universiteit Antwerpen); Wandl, Alex (TU Delft Environmental Technology and Design); van Timmeren, A. (TU Delft Environmental Technology and Design)","","2024","Implementing a circular economy in cities has been proposed by policy makers as a potential solution for achieving sustainability in the construction sector. One strategy that has gained interest by both policy makers and companies is to develop “circular construction hubs”: locations that collect, store, and redistribute waste as secondary resources. However, there is limited literature taking a spatially explicit view, identifying the spatial parameters that could affect the locations of hubs both for now and in the future. This study therefore aims to categorize different types of circular hubs for the construction industry, collect spatial parameters required for finding suitable locations for each type of circular hub, and translate the spatial parameters into a list of data and spatial analysis methods that could be used to identify potential future locations. The study used the Netherlands as a case study, extracting spatial parameters from two sources: Dutch governmental policy documents on circular economy and spatial development and interviews with companies operating circular hubs. Four types of circular construction hubs were identified: urban mining hubs, industry hubs, local material banks, and craft centers. The spatial parameters were extracted for each type of hub from four perspectives: resources (such as material type, business model), accessibility (such as mode and scale of transportation), land use (such as plot size, land use), and socio-economic (such as labor availability). The parameters were then translated into a list of spatial data and analysis methods required to identify future locations of circular construction hubs.","Circular cities; Circular construction hub; GIS; Territorializing circular economy; Urban mining; Site selection analysis","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:ba5cf3db-50de-42b1-a7dc-48b1b3778d09","http://resolver.tudelft.nl/uuid:ba5cf3db-50de-42b1-a7dc-48b1b3778d09","Model Reference Adaptive Stabilizing Control with Application to Leaderless Consensus","Yue, Dongdong (Southeast University); Baldi, S. (Southeast University); Cao, Jinde (Southeast University); De Schutter, B.H.K. (TU Delft Delft Center for Systems and Control)","","2024","This article describes an extension of the well-known model reference adaptive control (MRAC) approach. The extension relies on explicitly involving the tracking error in the feedback control law: it is shown that including this term along with its appropriate extra adaptive gain allows one to handle possibly unstable reference dynamics. Owing to its stabilizing nature, the proposed framework is referred to as model reference adaptive stabilizing control. Such an extension turns out to be particularly useful in leaderless consensus of heterogeneous uncertain agents, since the literature has discussed that leaderless adaptation may not avoid unstable closed-loop dynamics. In such consensus setting, the framework, referred to as model reference adaptive stabilizing consensus, generalizes the existing MRAC-based consensus schemes and can achieve consensus when state-of-the-art MRAC-based schemes may fail.","Adaptation models; Adaptive control; adaptive stabilization; consensus; directed spanning tree; Mathematical models; MRAC; Multi-agent systems; multiagent systems; Observers; Standards; Target tracking","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-11","","Delft Center for Systems and Control","","","",""
"uuid:ba50ad21-1940-4127-a4f7-4d68b1d4ff6a","http://resolver.tudelft.nl/uuid:ba50ad21-1940-4127-a4f7-4d68b1d4ff6a","Mapping a 50-spin-qubit network through correlated sensing","van de Stolpe, G.L. (TU Delft QID/Taminiau Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Kwiatkowski, D.P. (TU Delft QID/Taminiau Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Bradley, C.E. (TU Delft QID/Taminiau Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Randall, J.A.D. (TU Delft QID/Taminiau Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Abobeih, M.H.M.A. (TU Delft QID/Taminiau Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Breitweiser, S. A. (University of Pennsylvania); Bassett, L. C. (University of Pennsylvania); Markham, M. (Element Six Innovation); Twitchen, D. J. (Element Six Innovation); Taminiau, T.H. (TU Delft QID/Taminiau Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft)","","2024","Spins associated to optically accessible solid-state defects have emerged as a versatile platform for exploring quantum simulation, quantum sensing and quantum communication. Pioneering experiments have shown the sensing, imaging, and control of multiple nuclear spins surrounding a single electron spin defect. However, the accessible size of these spin networks has been constrained by the spectral resolution of current methods. Here, we map a network of 50 coupled spins through high-resolution correlated sensing schemes, using a single nitrogen-vacancy center in diamond. We develop concatenated double-resonance sequences that identify spin-chains through the network. These chains reveal the characteristic spin frequencies and their interconnections with high spectral resolution, and can be fused together to map out the network. Our results provide new opportunities for quantum simulations by increasing the number of available spin qubits. Additionally, our methods might find applications in nano-scale imaging of complex spin systems external to the host crystal.","","en","journal article","","","","","","","","","","","QID/Taminiau Lab","","",""
"uuid:bc63aa2f-630e-47f2-a093-8042d697bc88","http://resolver.tudelft.nl/uuid:bc63aa2f-630e-47f2-a093-8042d697bc88","Scalable Photochromic Film for Solar Heat and Daylight Management","Meng, Weihao (University of Chinese Academy of Sciences); Kragt, A.J.J. (TU Delft Architectural Technology; ClimAd Technology B.V.); Gao, Yingtao (University of Chinese Academy of Sciences); Brembilla, E. (TU Delft Environmental & Climate Design); van der Burgt, Julia S. (ClimAd Technology B.V.); Schenning, Albertus P.H.J. (Eindhoven University of Technology); Klein, T. (TU Delft Architectural Technology); van den Ham, E.R. (TU Delft Environmental & Climate Design); Wang, Jingxia (University of Chinese Academy of Sciences)","","2024","The adaptive control of sunlight through photochromic smart windows could have a huge impact on the energy efficiency and daylight comfort in buildings. However, the fabrication of inorganic nanoparticle and polymer composite photochromic films with a high contrast ratio and high transparency/low haze remains a challenge. Here, a solution method is presented for the in situ growth of copper-doped tungsten trioxide nanoparticles in polymethyl methacrylate, which allows a low-cost preparation of photochromic films with a high luminous transparency (luminous transmittance Tlum = 91%) and scalability (30 × 350 cm2). High modulation of visible light (ΔTlum = 73%) and solar heat (modulation of solar transmittance ΔTsol = 73%, modulation of solar heat gain coefficient ΔSHGC = 0.5) of the film improves the indoor daylight comfort and energy efficiency. Simulation results show that low-e windows with the photochromic film applied can greatly enhance the energy efficiency and daylight comfort. This photochromic film presents an attractive strategy for achieving more energy-efficient buildings and carbon neutrality to combat global climate change.","daylight comfort; energy saving; photochromicity; scalability; smart windows; transparency; tungsten trioxide","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-05","","","Architectural Technology","","",""
"uuid:72083644-74c4-49fd-8314-0f7e6ecf5de0","http://resolver.tudelft.nl/uuid:72083644-74c4-49fd-8314-0f7e6ecf5de0","A computational framework for pharmaco-mechanical interactions in arterial walls using parallel monolithic domain decomposition methods","Balzani, Daniel (Center for Interface-Dominated High Performance Materials); Heinlein, A. (TU Delft Delft Institute of Applied Mathematics; TU Delft Numerical Analysis); Klawonn, Axel (University of Cologne); Knepper, Jascha (University of Cologne); Nurani Ramesh, Sharan (Center for Interface-Dominated High Performance Materials); Rheinbach, Oliver (University of Technology Bergakademie Freiberg); Saßmannshausen, Lea (University of Cologne); Uhlmann, Klemens (Center for Interface-Dominated High Performance Materials)","","2024","A computational framework is presented to numerically simulate the effects of antihypertensive drugs, in particular calcium channel blockers, on the mechanical response of arterial walls. A stretch-dependent smooth muscle model by Uhlmann and Balzani is modified to describe the interaction of pharmacological drugs and the inhibition of smooth muscle activation. The coupled deformation-diffusion problem is then solved using the finite element software FEDDLib and overlapping Schwarz preconditioners from the Trilinos package FROSch. These preconditioners include highly scalable parallel GDSW (generalized Dryja–Smith–Widlund) and RGDSW (reduced GDSW) preconditioners. Simulation results show the expected increase in the lumen diameter of an idealized artery due to the drug-induced reduction of smooth muscle contraction, as well as a decrease in the rate of arterial contraction in the presence of calcium channel blockers. Strong and weak parallel scalability of the resulting computational implementation are also analyzed.","calcium channel blockers; domain decomposition methods; drug transport; finite element method; GDSW coarse space; hypertension; iterative solvers; overlapping Schwarz; RGDSW coarse space; scalable preconditioners; smooth muscle cells; structural mechanics","en","journal article","","","","","","","","","","","Numerical Analysis","","",""
"uuid:0426f78d-5df0-4fe0-8f71-6fc5ead33d00","http://resolver.tudelft.nl/uuid:0426f78d-5df0-4fe0-8f71-6fc5ead33d00","Time-of-day perception in paintings","Yu, C. (TU Delft Human Information Communication Design); Van Zuijlen, Mitchell J.P. (Kyoto University); Spoiala, C. (TU Delft Human Information Communication Design); Pont, S.C. (TU Delft Human Information Communication Design); Wijntjes, M.W.A. (TU Delft Human Information Communication Design); Hurlbert, Anya (Newcastle University)","","2024","The spectral shape, irradiance, direction, and diffuseness of daylight vary regularly throughout the day. The variations in illumination and their effect on the light reflected from objects may in turn provide visual information as to the time of day. We suggest that artists' color choices for paintings of outdoor scenes might convey this information and that therefore the time of day might be decoded from the colors of paintings. Here we investigate whether human viewers' estimates of the depicted time of day in paintings correlate with their image statistics, specifically chromaticity and luminance variations. We tested time-of-day perception in 17th- to 20th-century Western European paintings via two online rating experiments. In Experiment 1, viewers' ratings from seven time choices varied significantly and largely consistently across paintings but with some ambiguity between morning and evening depictions. Analysis of the relationship between image statistics and ratings revealed correlations with the perceived time of day: higher ""morningness"" ratings associated with higher brightness, contrast, and saturation and darker yellow/brighter blue hues; ""eveningness"" with lower brightness, contrast, and saturation and darker blue/brighter yellow hues. Multiple linear regressions of extracted principal components yielded a predictive model that explained 76% of the variance in time-of-day perception. In Experiment 2, viewers rated paintings as morning or evening only; rating distributions differed significantly across paintings, and image statistics predicted people's perceptions. These results suggest that artists used different color palettes and patterns to depict different times of day, and the human visual system holds consistent assumptions about the variation of natural light depicted in paintings.","OA-Fund TU Delft","en","journal article","","","","","","","","","","","Human Information Communication Design","","",""
"uuid:f8e2efa5-90b9-485a-bc42-8c190d2de1df","http://resolver.tudelft.nl/uuid:f8e2efa5-90b9-485a-bc42-8c190d2de1df","A Multilayer Control Strategy for the Calais Canal","Segovia Castillo, P. (TU Delft Transport Engineering and Logistics); Puig, Vicenc (Universitat Politecnica de Catalunya; Institut de Ròbotica i Informàtica Industrial, Barcelona); Duviella, Eric (Université de Lille)","","2024","This article presents the design of a control strategy for the Calais canal, a navigation canal located in a lowland area in northern France that is affected by tides. Moreover, the available actuators are discrete-valued and the hierarchy of operational objectives is time-varying. All these circumstances render water level regulation of the Calais canal a challenging problem. In view of this situation, the design of the overall control architecture is divided into a sequence of structured tasks, which are distributed among layers. The upper layer determines the current operating mode based on the analysis of several environmental and operational aspects. Information regarding the current mode is taken into account at the intermediate layer to select the appropriate optimization-based control problem, which is solved using lexicographic minimization. The optimal control setpoints are determined and sent to the lower layer, where scheduling problems are solved to select low-level control actions from a finite set to minimize the mismatch with respect to the optimal setpoints. Different realistic simulation scenarios are tested to demonstrate the effectiveness of the proposed approach.","Actuators; Irrigation; Logic gates; Model predictive control (MPC); multilayer control; Navigation; Nonhomogeneous media; Tides; water management; Water resources; water resources","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-08","","","Transport Engineering and Logistics","","",""
"uuid:2cc582aa-c13c-45b2-8769-09a68d2e1209","http://resolver.tudelft.nl/uuid:2cc582aa-c13c-45b2-8769-09a68d2e1209","Testing Stationarity and Statistical Independence of Multistatic/Polarimetric Sea-Clutter with Application to NetRAD Data","Aubry, Augusto (Università degli Studi di Napoli Federico II); Carotenuto, Vincenzo (Università degli Studi di Napoli Federico II); Maio, Antonio De (Università degli Studi di Napoli Federico II); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems)","","2024","The design of bespoke adaptive detection schemes relying on the joint use of multistatic/polarimetric measurements requires a preliminary statistical inference on the clutter interference environment. This is of paramount importance to develop an analytic model for the received signal samples, which is mandatory for the synthesis of radar detectors. In this respect, the aim of this article is the development of suitable learning tools to study some important statistical features of the sea-clutter environment perceived at the nodes of a multistatic/polarimetric radar system. Precisely, the stationarity of the data in the slow-time domain is first assessed by resorting to generalized inner product (GIP) based statistics. Then, the possible presence of structural symmetries in the clutter covariance matrices is investigated. Finally, relationships between some statistical parameters characterizing the sea-clutter returns on the bistatic polarimetric channels are explored via specific sequential hypothesis testing. This research activity is complemented by the use of radar returns measured via the netted RADar (NetRAD), which collects simultaneously monostatic and bistatic polarimetric measurements. The results indicate that the analyzed data can be modeled as drawn from a stationary Gaussian process within the coherence time. In addition, the bistatic returns on the different polarimetric channels can be assumed statistically independent with speckle components possibly exhibiting proportional/equal covariance matrices depending on the transmit/receive polarization and bistatic geometry.","Spherically Invariant Random Process (SIRP); sea-clutter; multistatic/polarimetric radar; Generalized Inner Product (GIP); data homogeneity; covariance matrix structure; Model Order Selection (MOS); proportionality/equality of covariance matrices","en","journal article","","","","","","","","","","","Microwave Sensing, Signals & Systems","","",""
"uuid:ac261766-a741-48d5-8db3-018dbd0979fb","http://resolver.tudelft.nl/uuid:ac261766-a741-48d5-8db3-018dbd0979fb","The Simultaneous Model-Based Estimation of Joint, Muscle, and Tendon Stiffness is Highly Sensitive to the Tendon Force-Strain Relationship","Cop, Christopher P. (University of Twente); Jakubowski, Kristen L. (Emory University); Schouten, A.C. (TU Delft Biomechanical Engineering); Koopman, Bart (University of Twente); Perreault, Eric J. (Northwestern University); Sartori, Massimo (University of Twente)","","2024","Objective: Accurate estimation of stiffness across anatomical levels (i.e., joint, muscle, and tendon) in vivo has long been a challenge in biomechanics. Recent advances in electromyography (EMG)-driven musculoskeletal modeling have allowed the non-invasive estimation of stiffness during dynamic joint rotations. Nevertheless, validation has been limited to the joint level due to a lack of simultaneous in vivo experimental measurements of muscle and tendon stiffness. Methods: With a focus on the triceps surae, we employed a novel perturbation-based experimental technique informed by dynamometry and ultrasonography to derive reference stiffness at the joint, muscle, and tendon levels simultaneously. Here, we propose a new EMG-driven model-based approach that does not require external joint perturbation, nor ultrasonography, to estimate multi-level stiffness. We present a novel set of closed-form equations that enables the person-specific tuning of musculoskeletal parameters dictating biological stiffness, including passive force-length relationships in modeled muscles and tendons. Results: Calibrated EMG-driven musculoskeletal models estimated the reference data with average normalized root-mean-square error ≈ 20%. Moreover, only when calibrated tendons were approximately four times more compliant than typically modeled, our approach could estimate multi-level reference stiffness. Conclusion: EMG-driven musculoskeletal models can be calibrated on a larger set of reference data to provide more realistic values for the biomechanical variables across multiple anatomical levels. Moreover, the tendon models that are typically used in musculoskeletal modeling are too stiff. Significance: Calibrated musculoskeletal models informed by experimental measurements give access to an augmented range of biomechanical variables that might not be easily measured with sensors alone.","Biomechanics; Electromyography; Impedance; Joint stiffness; Mathematical models; muscle stiffness; Muscles; musculoskeletal modeling; Perturbation methods; tendon stiffness; Tendons","en","journal article","","","","","","","","","","Biomechanical Engineering","","","",""
"uuid:d3cdc49f-3d2c-4b85-b8f4-0f63af6193d8","http://resolver.tudelft.nl/uuid:d3cdc49f-3d2c-4b85-b8f4-0f63af6193d8","A 72-Channel Resistive-and-Capacitive Sensor-Interface Chip With Noise-Orthogonalizing and Pad-Sharing Techniques","Feng, Xiangdong (Zhejiang University; Nanhu Brain-Computer Interface Institute); Luo, Yuxuan (Zhejiang University); Cai, Tianyi (Zhejiang University); Xuan, Yangfan (Zhejiang University); Zhang, Yunshan (Microaiot); Shen, Yili (Zhejiang University); Yang, Changgui (Zhejiang University); Xiao, Qijing (Zhejiang University); Du, S. (TU Delft Electronic Instrumentation); Zhao, Bo (Zhejiang University; Nanhu Brain-Computer Interface Institute)","","2024","The growing trend of the Internet of Things (IoT) involves trillions of sensors in various applications. An extensive array of parameters need to be gathered concurrently with high-precision, low-cost, and low-power sensor nodes, such as resistive (R) and capacitive (C) sensors. Single-chip channel fusion can be an effective solution, while it is challenging to suppress the noise and integrate massive I/O pads. However, conventional oversampling noise-shaping methods increase power consumption, which fails to meet the demand of long-term monitoring applications. In addition, existing R/C sensor-interface chips require a pair of I/O pads for each sensor, where the pad frame dominates the overall chip area in massive-channel integration. In this work, we demonstrate a 72-channel R&C sensor-interface chip for proximity-and-temperature sensing. A noise-orthogonalizing technique is proposed to eliminate the quantization noise at the signal frequencies, achieving an energy efficiency of 19.1 pJ/step/channel. Moreover, a pad-sharing technique is proposed to reduce the number of I/O pads by half, enabling 72 sensors to be read by 36 pairs of I/O pads. The chip is fabricated by 65-nm CMOS technology, and measurement results show resolutions of 286 Omega and 162 fF, respectively. The power consumption and die area are reduced to 0.74 mu text{W} /Channel and 0.038 mm2/Channel, respectively.","Low power; multichannel sensing; noise-orthogonalizing; pad-sharing; sensor interface","en","journal article","","","","","","","","2024-07-23","","","Electronic Instrumentation","","",""
"uuid:6d8dc39f-14bc-419e-b776-56328b984521","http://resolver.tudelft.nl/uuid:6d8dc39f-14bc-419e-b776-56328b984521","Design Formulas for Flat Gradient Index Lenses with Planar or Spherical Output Wavefront","Hu, Weiya (Student TU Delft); Coco Martin, C.M. (TU Delft Tera-Hertz Sensing); Cavallo, D. (TU Delft Tera-Hertz Sensing)","","2024","A semi-analytical method is presented for the design of gradient index (GRIN) flat lenses. Closed-form expressions are derived to define the refractive index distribution of the lens, for several cases: collimating lenses with on-axis feed, collimating lenses with off-axis feed, lenses converting spherical wavefronts with different wavenumbers, lenses changing the focal number of a quasi-optical system, and Fresnel zone lenses. The design equations are validated by ray-tracing simulations in inhomogeneous media, implemented by numerical solution of the Eikonal equation.","geometrical optics; gradient indexes lenses; lens antennas; optical design; ray tracing","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-07","","","Tera-Hertz Sensing","","",""
"uuid:98be4917-1def-48a5-8e5d-0267997807bd","http://resolver.tudelft.nl/uuid:98be4917-1def-48a5-8e5d-0267997807bd","Understanding students’ adoption of the ChatGPT chatbot in higher education: the role of anthropomorphism, trust, design novelty and institutional policy","Polyportis, A. (TU Delft BT/Biotechnology and Society; Erasmus Universiteit Rotterdam); Pachos-Fokialis, N. (TU Delft Economics of Technology and Innovation)","","2024","The present research aims to highlight the underlying factors that drive students’ adoption of the ChatGPT chatbot in higher education. This study extends the meta-UTAUT framework by including additional exogenous factors of anthropomorphism, trust, design novelty, and institutional policy. Empirical examination with Structural Equation Modelling among 355 students in Dutch higher education institutions revealed attitude and behavioural intention as significant positive predictors of students’ ChatGPT use behaviour. Institutional policy negatively moderated the effect of behavioural intention on use behaviour. Behavioural intention was significantly and positively influenced by attitude, performance expectancy, social influence, and facilitating conditions. Anthropomorphism, design novelty, trust, performance expectancy, and effort expectancy were unveiled as significant positive antecedents of attitude. The central theoretical contributions of this research include investigating students’ use behaviour instead of behavioural intention, establishing attitude as a core construct, underlining additional antecedents of attitude, and highlighting the importance of institutional policy. The present study contributes to prior research on technology adoption, especially in the area of artificial intelligence in education. The findings yield valuable insights for chatbot designers, product managers, and higher education policy writers.","Anthropomorphism; chatbot adoption; ChatGPT; design novelty; higher education; meta-UTAUT","en","journal article","","","","","","","","","","","BT/Biotechnology and Society","","",""
"uuid:fd7539a7-9015-4357-8060-3cea3816205d","http://resolver.tudelft.nl/uuid:fd7539a7-9015-4357-8060-3cea3816205d","Unifying the Conversation: Membrane Separation Performance in Energy, Water, and Industrial Applications","Dischinger, Sarah M. (Lawrence Berkeley National Laboratory); Miller, Daniel J. (Lawrence Berkeley National Laboratory); Vermaas, D.A. (TU Delft ChemE/Transport Phenomena); Kingsbury, Ryan S. (Lawrence Berkeley National Laboratory; Princeton University)","","2024","Dense polymer membranes enable a diverse range of separations and clean energy technologies, including gas separation, water treatment, and renewable fuel production or conversion. The transport of small molecular and ionic solutes in the majority of these membranes is described by the same solution-diffusion mechanism, yet a comparison of membrane separation performance across applications is rare. A better understanding of how structure-property relationships and driving forces compare among applications would drive innovation in membrane development by identifying opportunities for cross-disciplinary knowledge transfer. Here, we aim to inspire such cross-pollination by evaluating the selectivity and electrochemical driving forces for 29 separations across nine different applications using a common framework grounded in the physicochemical characteristics of the permeating and rejected solutes. Our analysis shows that highly selective membranes usually exhibit high solute rejection, rather than fast solute permeation, and often exploit contrasts in the size and charge of solutes rather than a nonelectrostatic chemical property, polarizability. We also highlight the power of selective driving forces (e.g., the fact that applied electric potential acts on charged solutes but not on neutral ones) to enable effective separation processes, even when the membrane itself has poor selectivity. We conclude by proposing several research opportunities that are likely to impact multiple areas of membrane science. The high-level perspective of membrane separation across fields presented herein aims to promote cross-pollination and innovation by enabling comparisons of solute transport and driving forces among membrane separation applications.","Chemical potential; Membranes; Permeability; Selectivity; Separation mechanism","en","review","","","","","","","","","","","ChemE/Transport Phenomena","","",""
"uuid:f3dffda4-099d-4c85-b510-ca3285b06f01","http://resolver.tudelft.nl/uuid:f3dffda4-099d-4c85-b510-ca3285b06f01","Design of Integrated Hybrid Configuration of Modular Multilevel Converter and Marx Generator to Generate Complex Waveforms for Dielectric Testing of Grid Assets","Ganeshpure, D.A. (TU Delft High Voltage Technology Group); Soeiro, Thiago Batista (University of Twente); Ghaffarian Niasar, M. (TU Delft High Voltage Technology Group); Kulkarni, Nitish Milind (Student TU Delft); Bauer, P. (TU Delft DC systems, Energy conversion & Storage); Vaessen, P.T.M. (TU Delft High Voltage Technology Group; KEMA Laboratories)","","2024","This article proposes a new configuration of a Modular Multilevel Converter (MMC) and a Marx generator to generate fast-rising impulse waveforms. This new configuration improves the capabilities of the MMC-based high voltage arbitrary wave shape generator to generate fast-rising impulse since the MMC topology faces many inherent limitations. Similar to the conventional superimposed circuit of the AC transformer or DC rectifier circuit with the Marx generator, three hybrid circuits of MMC and the Marx generator are introduced, where the most optimal choice is made considering the practical aspect of testing, such as the size, cost and the preparation time. Then, the detailed analytical study is performed on the Marx generator circuit and MMC circuit, and when both circuits are coupled together to deliver a complete guideline on choosing various system parameters when the impulse wave shape and the load capacitor are given. The concept of this new hybrid configuration is demonstrated with a scaled-down prototype where the impulse with a rise time of 1μs is superimposed on different arbitrary wave shapes. Similarly, the MATLAB-Simulink simulation model validates the proposed configuration for a 200 kV DC link voltage and 67 submodules with the desired impulse performance.","Modular Multilevel Converter; Marx generator; Superimposed waveforms; Lightning Impulse","en","journal article","","","","","","","","","","","High Voltage Technology Group","","",""
"uuid:efd0081a-9890-40e4-a444-392190c28f7b","http://resolver.tudelft.nl/uuid:efd0081a-9890-40e4-a444-392190c28f7b","Do You Need a Hand?: a Bimanual Robotic Dressing Assistance Scheme","Zhu, J. (TU Delft Learning & Autonomous Control; University of York); Gienger, Michael (Honda Research Institute Europe GmbH); Franzese, G. (TU Delft Learning & Autonomous Control); Kober, J. (TU Delft Learning & Autonomous Control)","","2024","Developing physically assistive robots capable of dressing assistance has the potential to significantly improve the lives of the elderly and disabled population. However, most robotics dressing strategies considered a single robot only, which greatly limited the performance of the dressing assistance. In fact, healthcare professionals perform the task bimanually. Inspired by them, we propose a bimanual cooperative scheme for robotic dressing assistance. In the scheme, an interactive robot joins hands with the human thus supporting/guiding the human in the dressing process while the dressing robot performs the dressing task. We identify a key feature: the elbow angle that affects the dressing action and propose an optimal strategy for the interactive robot using the feature. A dressing coordinate based on the posture of the arm is defined to better encode the dressing policy. We validate the interactive dressing scheme with extensive experiments and also an ablation study.","Estimation; Manipulators; Robot kinematics; Robots; Sensors; Task analysis; Tracking","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-14","","","Learning & Autonomous Control","","",""
"uuid:1c3889b2-579d-4f23-86c2-33e896c28867","http://resolver.tudelft.nl/uuid:1c3889b2-579d-4f23-86c2-33e896c28867","Tunable Quantum Criticality in Multicomponent Rydberg Arrays","Chepiga, N. (TU Delft QN/Chepiga Lab; Kavli institute of nanoscience Delft)","","2024","Arrays of Rydberg atoms have appeared as a remarkably rich playground to study quantum phase transitions in one dimension. One of the biggest puzzles that was brought forward in this context are chiral phase transitions out of density waves. Theoretically predicted chiral transition out of period-four phase is still pending experimental verification mainly due to extremely short interval over which this transition is realized in a single-component Rydberg array. In this Letter, we show that multicomponent Rydberg arrays with extra experimentally tunable parameters provide a mechanism to manipulate quantum critical properties without breaking translation symmetry explicitly. We consider an effective blockade model of two component Rydberg atoms. Weak and strong components obey nearest- and next-nearest-neighbor blockades correspondingly. When laser detuning is applied to either of the two components the system is in the period-3 and period-2 phases. But laser detuning applied to both components simultaneously stabilizes the period-4 phase partly bounded by the chiral transition. We show that relative ratio of the Rabi frequencies of the two components tunes the properties of the conformal Ashkin-Teller point and allows us to manipulate an extent of the chiral transition. The prospects of multicomponent Rydberg arrays in the context of critical fusion is briefly discussed.","","en","journal article","","","","","","","","","","","QN/Chepiga Lab","","",""
"uuid:468b11e4-732a-426e-9b5c-3307081177ad","http://resolver.tudelft.nl/uuid:468b11e4-732a-426e-9b5c-3307081177ad","Enhancing the durability of Pt nanoparticles for water electrolysis using ultrathin SiO2 layers","Li, M. (TU Delft ChemE/Product and Process Engineering); Saedy, S. (TU Delft ChemE/Product and Process Engineering); Fu, S. (TU Delft Large Scale Energy Storage); Stellema, T.P. (Student TU Delft); Kortlever, R. (TU Delft Large Scale Energy Storage); van Ommen, J.R. (TU Delft ChemE/Product and Process Engineering)","","2024","Extending the lifetime of electrocatalytic materials is a major challenge in electrocatalysis. Here, we employ atomic layer deposition (ALD) to coat the surface of carbon black supported platinum nanoparticles (Pt/CB) with an ultra-thin layer of silicon dioxide (SiO2) to prevent deactivation of the catalyst during H2 evolution. Our results show that after an accelerated durability test (ADT) the current density at −0.2 V vs. reversible hydrogen electrode (RHE) of the unprotected Pt/CB catalyst was reduced by 34%. By contrast, after coating the Pt/CB catalyst with 2 SiO2 ALD cycles, the current density at the same potential was reduced by 7% after the ADT procedure, whereas when the Pt/CB sample was coated with 5 SiO2 ALD cycles, the current density was reduced by only 2% after the ADT. Characterization of the Pt particles after electrochemical testing shows that the average particle size of the uncoated Pt/CB catalyst increases by roughly 16% after the ADT, whereas it only increases by 3% for the Pt/CB catalyst coated with 5 cycles of SiO2 ALD. In addition, the coating also strongly reduces the detachment of Pt nanoparticles, as shown by a strong decrease in the Pt concentration in the electrolyte after the ADT. However, 20 cycles of SiO2 ALD coating results in an over-thick coating that has an inhibitory effect on the catalytic activity. In summary, we demonstrate that only a few cycles of SiO2 ALD can strongly improve the stability of Pt catalyst for the hydrogen evolution reaction.","","en","journal article","","","","","","","","","","","ChemE/Product and Process Engineering","","",""
"uuid:0dea20a7-3733-4325-8ba8-6a59857f228a","http://resolver.tudelft.nl/uuid:0dea20a7-3733-4325-8ba8-6a59857f228a","Exploring beliefs and perceptions towards Advanced Rider Assistance Systems (ARAS) in motorcycle safety","Kaye, Sherrie Anne (Queensland University of Technology); Nandavar, Sonali (Queensland University of Technology); Lewis, Ioni (Queensland University of Technology); Blackman, Ross (Deakin University); Schramm, Amy; McDonald, Melinda (Queensland University of Technology); Oviedo-Trespalacios, O. (TU Delft Safety and Security Science); Haworth, Narelle (Queensland University of Technology)","","2024","The study applied the Theory of Planned Behaviour (TPB) to explore motorcycle riders’ underlying behavioural, normative, and control beliefs towards Advanced Rider Assistance Systems (ARAS). Each belief was explored in terms of three categories of technologies, (i) advanced technologies that help riders manage riding according to situations and conditions, (ii) advanced technologies that help riders to stop, and (iii) advanced technologies that help riders to corner. Eight focus groups were conducted with 39 motorcycle riders (Mage = 44.54 years, 27 males) who resided in Australia. First, participants completed a short online questionnaire which asked demographic information (e.g., age, gender, riding experience), before taking part in a 50-minute semi-structured online focus group. Participants’ knowledge of ARAS differed depending on the type of technology, with most participants reporting good to excellent knowledge of cruise control and standard anti-lock braking system (ABS) and a poor to fair understanding of selectable riding modes and cornering ABS. For behavioural beliefs, two common advantages reported for all three categories of technologies were safety and that the technologies would benefit new riders or riders with less experience. The three common disadvantages included concerns over riders’ reliance on the technologies, cost, and loss of skill or false sense of security. For normative beliefs, participants reported that their loved ones (i.e., partner, family, and friends) would approve of them using these technologies, with participants perceiving that ‘purists’ (i.e., riders who prefer to ride traditional motorcycles) would disapprove. For control beliefs, cost, lack of information on the safety of advanced technologies, and not being able to switch off systems were reported as barriers to use. Lowering insurance premiums, education/test rides, technologies as selectable options, and availability, were all identified as factors that would encourage use of ARAS. By providing information about ARAS, riders will become more informed about ARAS, which may enhance trust and user acceptance. Additionally, ongoing research and development are essential to ensure the evaluation and improvement of ARAS and mitigate any unintended consequences.","Advanced rider assistance systems; Beliefs; Motorcycle; Qualitative research; Theory of planned behaviour","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:fdf06b31-3987-4387-8420-f0d161978b5d","http://resolver.tudelft.nl/uuid:fdf06b31-3987-4387-8420-f0d161978b5d","From ethics to epistemology and back again: informativeness and epistemic injustice in explanatory medical machine learning","Pozzi, G. (TU Delft Ethics & Philosophy of Technology); Duran, J.M. (TU Delft Ethics & Philosophy of Technology)","","2024","In this paper, we discuss epistemic and ethical concerns brought about by machine learning (ML) systems implemented in medicine. We begin by fleshing out the logic underlying a common approach in the specialized literature (which we call the informativeness account). We maintain that the informativeness account limits its analysis to the impact of epistemological issues on ethical concerns without assessing the bearings that ethical features have on the epistemological evaluation of ML systems. We argue that according to this methodological approach, epistemological issues are instrumental to and autonomous of ethical considerations. This means that the informativeness account considers epistemological evaluation uninfluenced and unregulated by an ethical counterpart. Using an example that does not square well into the informativeness account, we argue for ethical assessments that have a substantial influence on the epistemological assessment of ML and that such influence should not be understood as merely informative but rather regulatory. Drawing on the case analyzed, we claim that within the theoretical framework of the informativeness approach, forms of epistemic injustice—especially epistemic objectification—remain unaddressed. Our analysis should motivate further research investigating the regulatory role that ethical elements play in the epistemology of ML.","Epistemic injustice; Epistemology and ethics of ML; Explanatory ML; Informativeness; Medical ML","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:1c902586-87c8-4396-8563-fa9fadf8dee1","http://resolver.tudelft.nl/uuid:1c902586-87c8-4396-8563-fa9fadf8dee1","The impact of decarbonising the iron and steel industry on European power and hydrogen systems","Boldrini, Annika (European Commission Joint Research Centre; Universiteit Utrecht); Koolen, Derck (European Commission; Universiteit Utrecht); Crijns-Graus, Wina (Universiteit Utrecht); van den Broek, M.A. (TU Delft Energie and Industrie)","","2024","The transition of the European iron and steel industry (ISI) towards low-carbon manufacturing is crucial for the European Union (EU)’s 2050 climate neutrality objective. One emerging solution is electrification by using hydrogen (H2) as iron ore reductant, which increases specific electricity use per tonne of steel up to 35 times compared to the conventional, most adopted coal-based technology. This study develops three scenarios, encompassing a moderate to an accelerated ISI transition, to evaluate the impact of the ISI decarbonisation on the power system CO2 emissions, generation mix and volume, and marginal prices in 2030. The study first estimates future electricity and H2 demand by considering country-specific technologies deployment and energy intensities. Then, these estimates serves as input to the model METIS to simulate European power system operations through a unit commitment and economic dispatch problem. The study shows that the power system can accommodate a transition of the ISI that substitutes 28% of the coal-based production with low carbon technologies, mainly based on H2. This leads to a 25% reduction in direct CO2 emissions and a demand increase of 20 TWh of electricity and 40 TWhHHV of H2. Furthermore, a 50% reduction in indirect power system emissions is achieved, compared to 2018, thanks to the substantial renewable power capacity deployment foreseen in the coming years. The study also demonstrates that a reduction of indirect CO2 emissions by over 85% can be achieved by deploying 1.2 and 2.7 GW of renewable power generators, and 200 and 400 MW of electrolyser capacity for each million tonne of steel produced annually with low-carbon technologies. Additional renewable capacity that ensures green steel production is also key to maintaining stable electricity prices.","Decarbonisation; Energy policy; Hydrogen; Iron and steel industry; Power systems","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:081986a3-7360-4815-98a2-7cedce5b224b","http://resolver.tudelft.nl/uuid:081986a3-7360-4815-98a2-7cedce5b224b","Impact of Speed Limit Enforcement Cameras on Speed Behavior: Naturalistic Evidence from Brazil","Amancio, Eduardo Cesar (Universidade Tecnológica Federal Do Paraná (UTFPR)); Gadda, Tatiana Maria Cecy (Universidade Tecnológica Federal Do Paraná (UTFPR)); Corrêa, Janine Nicolosi (Universidade Tecnológica Federal Do Paraná (UTFPR)); Bonetti, Gabriela da Costa (Universidade Tecnológica Federal Do Paraná (UTFPR)); Oviedo-Trespalacios, O. (TU Delft Safety and Security Science); Bastos, Jorge Tiago (Federal University of Paraná)","","2024","Speeding is widely recognized as a key contributor to the occurrence and severity of road crashes, making studies on speed reduction devices particularly relevant given poor road safety outcomes worldwide. This study investigates the impact of fixed speed cameras on driver behavior and speed reduction in urban arterials using a naturalistic driving study methodology. Data from 13 drivers and 116 trips in Curitiba, Brazil, were analyzed, with a focus on speed cameras placed on arterial roads. Speed data were grouped and analyzed by various categories, including topographic profile, day and week periods, and rain conditions. Mean comparisons were used to compare data sets, revealing an overall speed reduction effect of 0.69 km/h (−1.33%) around the speed camera. The study identified a pattern of punctual speed reduction, known as a “kangaroo jump,” a speed reduction followed by an increase in speed, referred to as the “compensation effect,” and a new pattern characterized by a non-significant speed reduction at the speed camera site followed by an increase in speed, referred to as the “cobra strike effect” because of its curve pattern. The largest speed reductions were observed for flat topographic profiles (−2.98%), daytime travel (−1.58%), and travel on working days (−1.75%) with rain (−1.80%). Conversely, the speed camera had little impact on vehicle speed for uphill topographic profiles, no rain conditions, and travels during weekend.","automated enforcement; general; safety; speeding; traffic law enforcement","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:fbe01343-2ef4-4134-b547-9ff1ab8f310b","http://resolver.tudelft.nl/uuid:fbe01343-2ef4-4134-b547-9ff1ab8f310b","Green ammonia supply chain and associated market structure","Zhao, H. (TU Delft Energie and Industrie)","","2024","Green ammonia is poised to be a key part in the hydrogen economy. This paper discusses green ammonia supply chains with a focus on market structures. The architecture of upstream and downstream supply chains is explored. Market structure prototypes in different stages are explored based on transaction cost economics and lessons from the energy industry. In the infancy, a highly vertically integrated structure is proposed to reduce risks and ensure capital recovery. A restructuring towards a disintegrated structure is necessary in the next stage to improve the efficiency. In the late stage, a competitive structure characterized by a separation between asset ownership and production activities and further development of short-term and spot markets are proposed towards a market-driven industry. Mixed structures combining multiple structure features are also discussed due to the dependency on actual conditions. Further, a multi-linear regression model is developed to evaluate the designed structures using a case in the gas industry, due to the commonality in vertical integration and data availability. Results indicate that high asset specificity and uncertainty and low frequency lead to a more disintegrated market structure, and vice versa, thus supporting the structures designed. In addition, evidence from the latest trends in the clean ammonia market also verifies the designed early structure. Besides, potential ways to accelerate market emergence are also discussed. We assume the findings and results contribute to developing green ammonia supply chains and the hydrogen economy.","Green ammonia; Hydrogen economy; Market structure; Supply chain; Transaction cost economics","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:6f2d7bae-5777-4e37-aa8a-875e2704e62a","http://resolver.tudelft.nl/uuid:6f2d7bae-5777-4e37-aa8a-875e2704e62a","Optimizing safety barrier allocation to prevent domino effects in large-scale chemical clusters using graph theory and optimization algorithms","Zhang, Muchen (Nanjing Tech University); Li, Zelin (Nanjing Tech University); Hou, Shuya (Nanjing Tech University); Deng, Siyu (Nanjing Tech University); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven); Yang, M. (TU Delft Safety and Security Science; Universiti Teknologi Malaysia; University of Tasmania); Zhang, Bin (Nanjing Tech University)","","2024","Domino effects are high-impact low-probability events that can have catastrophic consequences. To prevent and to reduce risks related to such events, safety barriers (SBs) are crucial. However, the initiation, propagation, and stopping processes of domino effects are characterized with complexity and uncertainties and hence they are unpredictable. This makes it challenging to allocate SBs based on predicted probabilities. In this study, a multi-objective optimization model which integrates graph theory with Non-dominated Sorting Genetic Algorithm II (NSGA-II) was proposed to allocate add-on SBs effectively. Graph metrics were used to quantify the escalation risks related to storage tanks and to optimize the allocation of add-on SBs, thereby minimizing the consequences of a domino effect under a budget constraint. The results of the case study demonstrate great efficiency in finding globally optimal strategies with a largest reduction of 94.3% in the out-closeness score due to the implementation of add-on SBs, allowing decision-makers to choose the most preferable investment strategy in face of domino effect risk. Our study therefore provides a novel approach to achieve an optimal allocation of add-on SBs globally and can be useful in preventing domino effects in large-scale chemical clusters equipped with a large number of storage tanks.","Domino effects; Graph theory; Multi-objective optimization; NSGA-II; Safety barriers","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-22","","","Safety and Security Science","","",""
"uuid:3abe64b4-8a0a-4f2a-ae47-679c9294e659","http://resolver.tudelft.nl/uuid:3abe64b4-8a0a-4f2a-ae47-679c9294e659","Are creative users more apt in reusing and adopting Open Government Data (OGD)? Gender differences","Alexopoulos, Charalampos (University of the Aegean); Saxena, Stuti (Graphic Era University); Rizun, Nina (Politechnika Gdanska); Matheus, R. (TU Delft Information and Communication Technology); Janssen, M.F.W.H.A. (TU Delft Engineering, Systems and Services)","","2024","Open Government Data (OGD) has been considered as a potent instrument for value creation and innovation by a range of stakeholders. Given that individual ingenuity is a function of individual and environmental factors, it is important to understand how the OGD adoption and usage is a factor of creative performance behaviors (CPB), viz., Problem Identification (PI), Information Search (IS), Idea Generation (IG) and Idea Promotion (IP) as well as creative self-efficacy (CSE). Invoking the adapted Unified Theory of Acceptance and Use of Technology (UTAUT) constructs alongside the moderating effects of CPB and CSE constructs and also gender, the present study seeks to underline the behavioural intention towards OGD adoption and usage among 362 undergraduate and postgraduate university students in India. The guiding research question is: “Is there any difference among the males and females in terms of their OGD adoption and usage as far as their creative propensities are concerned?” Findings from the PLS-SEM (Partial Least Squares-Structural Equation Modeling) procedures show that there are gender differences across the CPB and CSE constructs. The study's contribution lies in furthering our understanding of OGD adoption and use with the additional determinants of creativity literature.","CPB; Creative performance behavior; Creative self-efficacy; Creativity; CSE; Gender; OGD; Open government data; UTAUT","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-18","","Engineering, Systems and Services","Information and Communication Technology","","",""
"uuid:58b1acba-4b66-45ec-91a5-3b196b531ac9","http://resolver.tudelft.nl/uuid:58b1acba-4b66-45ec-91a5-3b196b531ac9","Digital Slot Machines: Social Media Platforms as Attentional Scaffolds","Voinea, Cristina (Oxford Uehiro Centre for Practical Ethics); Marin, L. (TU Delft Ethics & Philosophy of Technology); Vică, Constantin (Bucharest University)","","2024","In this paper we introduce the concept of attentional scaffolds and show the resemblance between social media platforms and slot machines, both functioning as hostile attentional scaffolds. The first section establishes the groundwork for the concept of attentional scaffolds and draws parallels to the mechanics of slot machines, to argue that social media platforms aim to capture users’ attention to maximize engagement through a system of intermittent rewards. The second section shifts focus to the interplay between emotions and attention, revealing how online attentional capture through emotionally triggering stimuli leads to distraction. The final section elucidates the collective implications of scaffolding attention through social media platforms. The examination of phenomena such as emotional contagion and the emergence of group emotions underscores the transition from individual experiences to shared collective outcomes. Employing online moral outrage as a case study, we illustrate how negative emotions serve as scaffolds for individuals’ attention, propagate within social groups, and give rise to collective attitudes.","Attentional scaffolds; Digital slot machines; Hostile scaffolds; Social media platforms","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:cd347b6d-0075-4742-b13b-ab21fabd50c3","http://resolver.tudelft.nl/uuid:cd347b6d-0075-4742-b13b-ab21fabd50c3","Enhanced sensitivity and tunability of thermomechanical resonance near the buckling bifurcation","Liu, Hanqing (TU Delft Dynamics of Micro and Nano Systems; National University of Defense Technology); Baglioni, G. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Boix-Constant, Carla (Universidad de Valencia (ICMol)); van der Zant, H.S.J. (TU Delft QN/van der Zant Lab); Steeneken, P.G. (TU Delft Dynamics of Micro and Nano Systems); Verbiest, G.J. (TU Delft Dynamics of Micro and Nano Systems)","","2024","The high susceptibility of ultrathin two-dimensional (2D) material resonators to force and temperature makes them ideal systems for sensing applications and exploring thermomechanical coupling. Although the dynamics of these systems at high stress has been thoroughly investigated, their behavior near the buckling transition has received less attention. Here, we demonstrate that the force sensitivity and frequency tunability of 2D material resonators are significantly enhanced near the buckling bifurcation. This bifurcation is triggered by compressive displacement that we induce via thermal expansion of the devices, while measuring their dynamics via an optomechanical technique. We understand the frequency tuning of the devices through a mechanical buckling model, which allows to extract the central deflection and boundary compressive displacement of the membrane. Surprisingly, we obtain a remarkable enhancement of up to 14× the vibration amplitude attributed to a very low stiffness of the membrane at the buckling transition, as well as a high frequency tunability by temperature of more than 4.02$\%$ K−1. The presented results provide insights into the effects of buckling on the dynamics of free-standing 2D materials and thereby open up opportunities for the realization of 2D resonant sensors with buckling-enhanced sensitivity.","nanomechanical resonator; buckling bifurcation; frequency tuning; vibration amplitude","en","journal article","","","","","","","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:af03cb84-d74a-4738-b928-3c63e31c7c92","http://resolver.tudelft.nl/uuid:af03cb84-d74a-4738-b928-3c63e31c7c92","What factors contribute to in-role and extra-role safety behavior among food delivery riders?","Nguyen-Phuoc, Duy Quy (The University of Da Nang); Mai, Nhat Xuan (The University of Da Nang); Ho-Mai, Nhi Thao (Hanken School of Economics); Nguyen, Minh Hieu (University of Transport and Communications); Oviedo-Trespalacios, O. (TU Delft Safety and Security Science)","","2024","Food delivery riders face significant road safety risks globally. Previous studies have primarily focused on the direct relationship between job demands, job resources, and road safety behaviors, often neglecting the potential indirect paths through dual processes, namely the health impairment process and the motivational process, which may lead to dangerous riding behaviors. Additionally, the impact of organizational citizenship behaviors on the safety of food delivery riders within the industry remains unexplored. This study aims to address these gaps by applying the dual processes of the Job Demands-Resources model (JD-R) to identify factors influencing the safety behaviors of food delivery riders in Vietnam. Data were collected through face-to-face surveys with 410 riders in public places. The findings show a nuanced interplay between job demands, resources, and safety behaviors. Specifically, job burnout acts as a bridge, mediating the influence of job demands on in-role safety behaviors. On the other hand, job resources-encompassing facets like job autonomy, performance feedback, technology support, and work support-indirectly shape both in-role and extra-role safety behaviors via the conduit of motivation. These resources not only bolster motivation but also serve as pillars in fostering a culture of safety among riders. This research not only deepens our understanding of the determinants of food delivery riders' safety behaviors but also highlights the pivotal role food delivery companies play in shaping these behaviors. By prioritizing the holistic well-being of their riders and offering robust support, these companies can foster an environment conducive to safety. Additionally, by integrating additional dimensions of job demands and resources into the JD-R model, this study paves the way for more comprehensive and nuanced future research in this domain.","Gig Economy workers; Human factors; Job design; Job strain; Occupational safety; Safety behaviors","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-06","","","Safety and Security Science","","",""
"uuid:76a85d9f-76a1-499c-afff-3e10802772bc","http://resolver.tudelft.nl/uuid:76a85d9f-76a1-499c-afff-3e10802772bc","Why and how often do authorities remunicipalise urban public transport? The case of France","van de Velde, Didier (TU Delft Organisation & Governance); Desmaris, Christian (Université de Lyon)","","2024","For decades, public transport services in most French towns and cities have been provided on a delegated management basis, by subcontracting to private parties, using calls for tender as a selection mechanism. Recently, however, a number of cities, some of them large, have opted for direct management, moving away from the private, competitive model in favour of public management.
Our study answers two questions: What is the scale of this shift? And how can it be interpreted: what are the triggers and motivations behind it? The literature on these questions is sparse, partial and dated. Our database shows that remunicipalisation is no longer an anecdotal phenomenon in France. Our interviews reveal that the motivations are always composite and that political factors are predominant.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-11","","","Organisation & Governance","","",""
"uuid:0d7b1285-0322-445f-aa42-98e333f041d2","http://resolver.tudelft.nl/uuid:0d7b1285-0322-445f-aa42-98e333f041d2","Architecture Unmoored","Radman, A. (TU Delft Theory, Territories & Transitions)","","2024","Review of Architecture after Deleuze and Guattari, by Chris L. Smith, London, Bloomsbury, 2023, 248 pp., ISBN: 978-1-3501-6849-7","","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-15","","","Theory, Territories & Transitions","","",""
"uuid:0e4af207-3298-4671-944f-5fd6dc0cd655","http://resolver.tudelft.nl/uuid:0e4af207-3298-4671-944f-5fd6dc0cd655","The Chvátal–Gomory procedure for integer SDPs with applications in combinatorial optimization","de Meijer, F.J.J. (TU Delft Discrete Mathematics and Optimization); Sotirov, Renata (Tilburg University)","","2024","In this paper we study the well-known Chvátal–Gomory (CG) procedure for the class of integer semidefinite programs (ISDPs). We prove several results regarding the hierarchy of relaxations obtained by iterating this procedure. We also study different formulations of the elementary closure of spectrahedra. A polyhedral description of the elementary closure for a specific type of spectrahedra is derived by exploiting total dual integrality for SDPs. Moreover, we show how to exploit (strengthened) CG cuts in a branch-and-cut framework for ISDPs. Different from existing algorithms in the literature, the separation routine in our approach exploits both the semidefinite and the integrality constraints. We provide separation routines for several common classes of binary SDPs resulting from combinatorial optimization problems. In the second part of the paper we present a comprehensive application of our approach to the quadratic traveling salesman problem ( QTSP ). Based on the algebraic connectivity of the directed Hamiltonian cycle, two ISDPs that model the QTSP are introduced. We show that the CG cuts resulting from these formulations contain several well-known families of cutting planes. Numerical results illustrate the practical strength of the CG cuts in our branch-and-cut algorithm, which outperforms alternative ISDP solvers and is able to solve large QTSP instances to optimality.","integer semidefinite programming; Chvátal-Gomory procedure; total dual integrality; branch-and-cut; quadratic traveling salesman problem","en","journal article","","","","","","","","","","","Discrete Mathematics and Optimization","","",""
"uuid:33971f54-4b3d-4bee-a23d-217b69bd240a","http://resolver.tudelft.nl/uuid:33971f54-4b3d-4bee-a23d-217b69bd240a","Input Decoupling of Lagrangian Systems via Coordinate Transformation: General Characterization and its Application to Soft Robotics","Pustina, P. (TU Delft Learning & Autonomous Control; Sapienza University of Rome); Della Santina, C. (TU Delft Learning & Autonomous Control; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Boyer, Frederic (IMT Atlantique); De Luca, Alessandro (Sapienza University of Rome); Renda, Federico (Khalifa University of Science and Technology)","","2024","Suitable representations of dynamical systems can simplify their analysis and control. On this line of thought, this paper aims to answer the following question: <italic>Can a transformation of the generalized coordinates under which the actuators directly perform work on a subset of the configuration variables be found?</italic> Not only we show that the answer to this question is <italic>yes</italic>, but we also provide necessary and sufficient conditions. More specifically, we look for a representation of the configuration space such that the right-hand side of the dynamics in Euler-Lagrange form becomes [<italic>IO</italic>]tu, being u the system input. We identify a class of systems, called <italic>collocated</italic>, for which this problem is solvable. Under mild conditions on the input matrix, a simple test is presented to verify whether a system is collocated or not. By exploiting power invariance, we provide necessary and sufficient conditions that a change of coordinates decouples the input channels if and only if the dynamics is collocated. In addition, we use the collocated form to derive novel controllers for damped underactuated mechanical systems. To demonstrate the theoretical findings, we consider several Lagrangian systems with a focus on continuum soft robots.","Dynamics; Mechanical systems; Modeling, Control, and Learning for Soft Robots; Motion Control; Robot kinematics; Robots; Soft robotics; Sufficient conditions; Symmetric matrices; Underactuated Robots; Vectors","en","journal article","","","","","","","","","","","Learning & Autonomous Control","","",""
"uuid:896d1388-10e5-4142-980c-e8c9c77ddfff","http://resolver.tudelft.nl/uuid:896d1388-10e5-4142-980c-e8c9c77ddfff","Model-based approach for the automatic inclusion of production considerations in the conceptual design of aircraft structures","Bruggeman, A.M.R.M. (TU Delft Flight Performance and Propulsion); Bansal, D. (TU Delft Flight Performance and Propulsion); la Rocca, G. (TU Delft Flight Performance and Propulsion); van der Laan, T (Fokker Aerostructures); van den Berg, T. (Fokker/GKN Aerospace)","","2024","Including production considerations in the early design stages of aircraft structures is challenging. Production information is mostly known by experts and rarely formally documented such that it can be effectively used during the design process. Producibility is mostly considered after completing the design, resulting in increased cost and development time due to the late discovery of production issues. This paper presents a new model, called the Manufacturing Information Model (MIM), which supports the automatic inclusion of production considerations into the design process. The MIM provides a single source of truth and a generic structure to capture and organize production-related information in a product system. Furthermore, it provides compatibility analyses to automatically warn for or exclude infeasible designs. Analysis tools use the information stored within the MIM to calculate the mass, costs, and production rate of the product. To show the functionalities of the MIM, it has been applied to the conceptual design of a wing box at a Tier 1 company. This use case shows how the MIM supports trade-off decisions, as it allows for the identification of trends and the ranking of different manufacturing concepts. Overall, the MIM provides a structured and formal approach to include production information in the conceptual design, improving the decision-making process.","","en","journal article","","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:6c351747-4fbf-4ec1-9833-ef8b4fb11b87","http://resolver.tudelft.nl/uuid:6c351747-4fbf-4ec1-9833-ef8b4fb11b87","On Self-Intersections of Cubic Bézier Curves","Yu, Ying-Ying (Liaoning Normal University); Li, Xin (Dalian Maritime University); Ji, Y. (TU Delft Numerical Analysis)","","2024","Cubic Bézier curves are widely used in computer graphics and geometric modeling, favored for their intuitive design and ease of implementation. However, self-intersections within these curves can pose significant challenges in both geometric modeling and analysis. This paper presents a comprehensive approach to detecting and computing self-intersections of cubic Bézier curves. We introduce an efficient algorithm that leverages both the geometric properties of Bézier curves and numerical methods to accurately identify intersection points. The self-intersection problem of cubic Bézier curves is firstly transformed into a quadratic problem by eliminating trivial solutions. Subsequently, this quadratic system is converted into a linear system that may be easily analyzed and solved. Finally, the parameter values corresponding to the self-intersection points are computed through the solution of the linear system. The proposed method is designed to be robust and computationally efficient, making it suitable for real-time applications.","geometric modeling; Bézier curves; self-intersections","en","journal article","","","","","","","","","","","Numerical Analysis","","",""
"uuid:6f16e4ed-0bbf-4920-88c1-730d33b34331","http://resolver.tudelft.nl/uuid:6f16e4ed-0bbf-4920-88c1-730d33b34331","Circular Robotic Construction","Vasey, Lauren (ETH Zürich); Aejmelaeus-Lindström, Petrus (ETH Zürich); Jenny, David (ETH Zürich); Johns, Ryan Luke (ETH Zürich); Hurkxkens, Ilmar (TU Delft Landscape Architecture); Ming, Coralie (Boston Consulting Group Inc.); Hutter, Marco (ETH Zürich); Gramazio, Fabio (ETH Zürich); Kohler, Matthias (ETH Zürich)","De Wolf, Catherine (editor); Çetin, Sultan (editor); Bocken, Nancy (editor)","2024","In situ robotic construction is a type of construction where mobile robotic systems build directly on the building site. To enable on-site navigation, industrial robots can be integrated with mobile bases, while mobile, high-payload construction machines can be adapted for autonomous operation. With parallel advances in sensor processing, these robotic construction processes can become robust and capable of handling non-standard, local, as-found materials.
The potential of using autonomous, mobile robotic systems for the development of innovative circular construction processes is presented in three exemplary case studies:(i) robotically jammed structures from bulk materials, (ii) robotic earthworks with local and upcycled materials, and (iii) robotic additive manufacturing with earth-based materials. These processes exemplify key strategies for a circular industry through the utilisation of materials with low embodied greenhouse gas emissions and the implementation of fully reversible construction processes.
For each case study, we describe the robotic building process, the enabling technologies and workflows, and the major sustainability and circularity benefits compared to conventional construction methods. Moreover, we discuss the difficulty of industry transfer, considering challenges such as detailing, integration, and engineering validation. We conclude with an outlook towards future research avenues and industry adoption strategies.","circular robotic construction; adaptive robotic construction; in situ robotic construction; digital fabrication with natural materials","en","book chapter","Springer","","","","","","","","","","Landscape Architecture","","",""
"uuid:ae6347bb-86e3-4b0a-ab89-ecf6b8a71e30","http://resolver.tudelft.nl/uuid:ae6347bb-86e3-4b0a-ab89-ecf6b8a71e30","Towards Circular ICUs: Circular Intubations as a Catalyser for Systemic Change","Ville, Alicia (Student TU Delft); Hunfeld, Nicole (Erasmus MC); Bakker, C.A. (TU Delft Circular Product Design); Sené, Baptiste (Van Berlo); Diehl, J.C. (TU Delft Design for Sustainability)","Melles, M. (editor); Goossens, R.H. (editor)","2024","This project aims to reduce the environmental impact of the Intensive Care Unit (ICU) of the Erasmus Medical Center (EMC). Systemic design research was executed to map the current waste flow created by the ICU. Literature review, interviews and observations were performed to gather information about the healthcare protocols, hospital procurement process, intubation practices and used devices and consumables. This resulted in a set of challenges which were used to ideate from different perspectives to improve the sustainability of the ICU. A set of opportunities to introduce circularity within the ICU were defined. These opportunities ranged from waste separation to the reduction of the disposal of unused products. The selected circular opportunity was intubation, needed when patients cannot breathe by themselves. For this, a video laryngoscope, which is composed of various plastics, a video camera, and a led light, is used for only a few minutes and disposed of (and incinerated) directly afterwards. The aim of the second part of this research project was: Can we design a circular intubation procedure as a catalyzer for systemic change towards circular ICUs? One of the proposed circular strategies for the video laryngoscope is the reprocessing of intubation devices used at the ICU itself. A transition model toward reprocessing using UV-C radiation technique was further developed. Compared to current reprocessing procedures, UV-C disinfection consumes no water and less electricity and offers the possibility of decentralized reprocessing within the ICU department itself. This project aims to provoke conversations between the hospital, manufacturers and other stakeholders about how the healthcare sector could start reprocessing valuable medical devices towards a circular ICU.","Circular healthcare; Systemic design; Intensive Care Unit; Intubation","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-05","","","Circular Product Design","","",""
"uuid:cefb494c-0fd9-4579-a9b2-bedb2f671eb5","http://resolver.tudelft.nl/uuid:cefb494c-0fd9-4579-a9b2-bedb2f671eb5","Current Business Model Practices in Energy Master Planning for Regions, Cities and Districts","Haase, Matthias (Zurich University of Applied Science (ZHAW)); Konstantinou, T. (TU Delft Architectural Technology)","Bisello, Adriano (editor); Vettorato, Daniele (editor); Bottero, Marta (editor); Kolokotsa, Dionysia (editor)","2024","Roughly 97% of the European Union (EU) building stock is not considered energy efficient, and 75–85% of it will still be in use in 2050 (Artola et al., Boosting building renovation: What potential and value for Europe? 2016). Residential buildings account for around two thirds of final energy consumption in European buildings. The rate at which new buildings either replace the old stock or expand the total stock is about 1% per year. Similarly, the current renovation rate of existing buildings in the EU is about 1–2% of the building stock renovated each year. Renovation strategies on building levels need to be derived from a combination of energy efficiency upgrades to buildings and the use of renewable energy to decarbonize the energy supply, on a district or city scale. IEA EBC Annex 75 subtask D2 focuses on promoting cost-effective building renovation at district level combining energy efficiency and renewable energy systems, by focusing on the business models that can make implementation possible. This paper intends to provide an overview of the business model archetypes that can support the development of district demand and/or supply of energy-efficient building renovations and/or renewable energy solutions by targeting various types of stakeholders. It builds upon existing literature to gain insights into the current distributed energy business model landscape. Further, implementation strategies are identified that focus on a holistic evaluation of the expected energy and CO2 performance of the site and optimized infrastructure investment pathways.","Business models; Decarbonization; District scale","en","conference paper","Springer","","","","","Funding Information: The work presented in this paper was developed as a contribution to the IEA EBC Annex 75 project. The authors would like to acknowledge all project participants and all national funding organizations.","","","","","Architectural Technology","","",""
"uuid:f9723127-daa3-4674-a3c2-88c2b9a9bcf4","http://resolver.tudelft.nl/uuid:f9723127-daa3-4674-a3c2-88c2b9a9bcf4","Peering into the Darkness: The Use of UTRS in Combating DDoS Attacks","Anghel, R.I. (TU Delft Organisation & Governance); Vetrivel, Swaathi (TU Delft Organisation & Governance); Turcios Rodriguez, E.R. (TU Delft Organisation & Governance); Sameshima, Kaichi (Yokohama National University); Makita, Daisuke (Yokohama National University; National Institute of Information and Communications Technology); Yoshioka, Katsunari (Yokohama National University); Hernandez Ganan, C. (TU Delft Organisation & Governance); Zhauniarovich, Y. (TU Delft Organisation & Governance)","Tsudik, Gene (editor); Conti, Mauro (editor); Liang, Kaitai (editor); Smaragdakis, Georgios (editor)","2024","Remotely Triggered Black Hole (RTBH) is a common DDoS mitigation approach that has been in use for the last two decades. Usually, it is implemented close to the attack victim in networks sharing some type of physical connectivity. The Unwanted Traffic Removal Service (UTRS) project offers a free, global, and relatively low-effort-to-join and operate RTBH alternative by removing the requirement of physical connectivity. Given these unique value propositions of UTRS, this paper aims to understand to what extent UTRS is adopted and used to mitigate DDoS attacks. To reach this goal, we collected two DDoS datasets describing amplification and Internet-of-Things-botnet-driven attacks and correlated them with the information from the third dataset containing blackholing requests propagated to the members of UTRS. Our findings suggest that, currently, just a small portion of UTRS members (approximately 10 % ) trigger mitigation attempts: out of 1200+ UTRS members, only 124 triggered blackholing events during our study. Among those, with high probability, 25 Autonomous Systems (ASes) reacted on AmpPot attacks mitigating 0.025 % of them globally or 1.03 % targeting UTRS members; 2 countered IoT-botnet-driven attacks alleviating 0.001 % of them globally or 0.06 % targeting UTRS members. This suggests that UTRS can be a useful tool in mitigating DDoS attacks, but it is not widely used.","DDoS attacks; RTBH; UTRS","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-11","","","Organisation & Governance","","",""
"uuid:cd38f9cf-ad14-4323-ab0d-51c4feb613f9","http://resolver.tudelft.nl/uuid:cd38f9cf-ad14-4323-ab0d-51c4feb613f9","From Building Information Modelling to Digital Twins: Digital Representation for a Circular Economy","Koutamanis, A. (TU Delft Design & Construction Management)","De Wolf, Catherine (editor); Çetin, Sultan (editor); Bocken, Nancy (editor)","2024","Building information modelling (BIM) has ushered in the era of symbolic building representation: building elements and spaces are described not by graphical elements but by discrete symbols, each with properties and relations that explicitly integrate all information. Digital twinning promises even more: a digital replica in complete sync with the building and its behaviour. Such technologies have obvious appeal for circularity because they accommodate the rich information it requires and link circularity goals to other activities in AECO (architecture, engineering, construction and operation of buildings).
Present implementations of BIM may fall short of the promise, and digital twinning may be hard to achieve, but they remain crucial not only for circularity but for all AECO disciplines. To realise the potential of such representations, information should be treated not as a product of integration but as the integrator of all activities. Similarly, digitalisation should be at the core of business models and deployment plans, not an additional or even optional layer at a high cost. This calls for a coherent approach that includes the full capture of building information, supports the detailed exploration of circular operations, uses the results to constrain decisions and actions and does so throughout the life cycle.","information; digitalisation; representation; building information modelling (BIM); digital twinning","en","book chapter","Springer","","","","","","","","","","Design & Construction Management","","",""
"uuid:93091ce0-2aa5-4b71-854e-43cb9ed37e07","http://resolver.tudelft.nl/uuid:93091ce0-2aa5-4b71-854e-43cb9ed37e07","Unsupervised Roofline Extraction from True Orthophotos for LoD2 Building Model Reconstruction","Gao, W. (TU Delft Urban Data Science); Peters, R.Y. (3DGI); Stoter, J.E. (TU Delft Urban Data Science)","Kolbe, Thomas H. (editor); Donaubauer, Andreas (editor); Beil, Christof (editor)","2024","This paper discusses the reconstruction of LoD2 building models from 2D and 3D data for large-scale urban environments. Traditional methods involve the use of LiDAR point clouds, but due to high costs and long intervals associated with acquiring such data for rapidly developing areas, researchers have started exploring the use of point clouds generated from (oblique) aerial images. However, using such point clouds for traditional plane detection-based methods can result in significant errors and introduce noise into the reconstructed building models. To address this, this paper presents a method for extracting rooflines from true orthophotos using line detection for the reconstruction of building models at the LoD2 level. The approach is able to extract relatively complete rooflines without the need for pre-labeled training data or pre-trained models. These lines can directly be used in the LoD2 building model reconstruction process. The method is superior to existing plane detection-based methods and state-of-the-art deep learning methods in terms of the accuracy and completeness of the reconstructed building. Our source code is available at https://github.com/tudelft3d/Roofline-extraction-from-orthophotos.","Building rooflines extraction; 3D building models; True orthophotos; Raytracing","en","book chapter","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-21","","","Urban Data Science","","",""
"uuid:12d78965-608e-44fe-af1e-fc7fe84a19fd","http://resolver.tudelft.nl/uuid:12d78965-608e-44fe-af1e-fc7fe84a19fd","Bone cell response to additively manufactured 3D micro-architectures with controlled Poisson's ratio: Auxetic vs. non-auxetic meta-biomaterials","Yarali, E. (TU Delft Biomaterials & Tissue Biomechanics); Klimopoulou, M. (TU Delft Biomaterials & Tissue Biomechanics); David, M.K. (TU Delft ChemE/O&O groep); Boukany, P. (TU Delft ChemE/Product and Process Engineering); Staufer, U. (TU Delft Micro and Nano Engineering); Fratila-Apachitei, E.L. (TU Delft Biomaterials & Tissue Biomechanics); Zadpoor, A.A. (TU Delft Biomaterials & Tissue Biomechanics); Accardo, A. (TU Delft Micro and Nano Engineering); Mirzaali, Mohammad J. (TU Delft Biomaterials & Tissue Biomechanics)","","2024","The Poisson's ratio and elastic modulus are two parameters determining the elastic behavior of biomaterials. While the effects of elastic modulus on the cell response is widely studied, very little is known regarding the effects of the Poisson's ratio. The micro-architecture of meta-biomaterials determines not only the Poisson's ratio but also several other parameters that also influence cell response, such as porosity, pore size, and effective elastic modulus. It is, therefore, very challenging to isolate the effects of the Poisson's ratio from those of other micro-architectural parameters. Here, we computationally design meta-biomaterials with controlled Poisson's ratios, ranging between -0.74 and +0.74, while maintaining consistent porosity, pore size, and effective elastic modulus. The 3D meta-biomaterials were additively manufactured at the micro-scale using two-photon polymerization (2PP), and were mechanically evaluated at the meso‑scale. The response of murine preosteoblasts to these meta-biomaterials was then studied using in vitro cell culture models. Meta-biomaterials with positive Poisson's ratios resulted in higher metabolic activity than those with negative values. The cells could attach and infiltrate all meta-biomaterials from the bottom to the top, fully covering the scaffolds after 17 days of culture. Interestingly, the meta-biomaterials exhibited different cell-induced deformations (e.g., shrinkage or local bending) as observed via scanning electron microscopy. The outcomes of osteogenic differentiation (i.e., Runx2 immunofluorescent staining) and matrix mineralization (i.e., Alizarin red staining) assays indicated the significant potential impact of these meta-biomaterials in the field of bone tissue engineering, paving the way for the development of advanced bone meta-implants. Statement of significance: We studied the influence of Poisson's ratio on bone cell response in meta-biomaterials. While elastic modulus effects are well-studied, the impact of Poisson's ratio, especially negative values found in architected biomaterials, remains largely unexplored. The complexity arises from intertwined micro-architectural parameters, such as porosity and elastic modulus, making it challenging to isolate the Poisson's ratio. To overcome this limitation, this study employed rational computational design to create meta-biomaterials with controlled Poisson's ratios, alongside consistent effective elastic modulus, porosity, and pore size. The study reveals that two-photon polymerized 3D meta-biomaterials with positive Poisson's ratios displayed higher metabolic activity, while all the developed meta-biomaterials supported osteogenic differentiation of preosteoblasts as well as matrix mineralization. The outcomes pave the way for the development of advanced 3D bone tissue models and meta-implants.","Cell differentiation; Meta-biomaterials; Metabolic activity; Poisson's ratio; Preosteoblasts; Two-photon polymerization","en","journal article","","","","","","","","","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:5fcc82ce-8371-40fb-a0e8-afa54887def4","http://resolver.tudelft.nl/uuid:5fcc82ce-8371-40fb-a0e8-afa54887def4","Optimal Configuration of Omega-Kappa FF-SAR Processing for Specular and Non-Specular Targets in Altimetric Data: The Sentinel-6 Michael Freilich Study Case","Amraoui, Samira (Collecte Localisation Satellites); Guccione, Pietro (ARESYS SRL); Moreau, Thomas (Collecte Localisation Satellites); Alves, Marta (Collecte Localisation Satellites); Altiparmaki, O. (TU Delft Astrodynamics & Space Missions); Peureux, Charles (Collecte Localisation Satellites); Recchia, Lisa (ARESYS SRL); Maraldi, Claire (Centre National pour l’Etudes Spatiales); Boy, François (CNES Centre National d'Etudes Spatiales); Donlon, Craig (European Space Agency (ESA))","","2024","In this study, the full-focusing (FF) algorithm is reviewed with the objective of optimizing it for processing data from different types of surfaces probed in altimetry. In particular, this work aims to provide a set of optimal FF processing parameters for the Sentinel-6 Michael Freilich (S6-MF) mission. The S6-MF satellite carries an advanced radar altimeter offering a wide range of potential FF-based applications which are just beginning to be explored and require prior optimization of this processing. In S6-MF, the Synthetic Aperture Radar (SAR) altimeter acquisitions are known to be aliased in the along-track direction. Depending on the target, aliasing can be tolerated or may be a severe impairment to provide the level of performance expected from FF processing. Another key aspect to consider in this optimization study is the unprecedented resolution of the FF processing, which results in a higher posting rate than the standard SAR processing. This work investigates the relationship between posting rate and noise levels and provides recommendations for optimal algorithm configurations in various scenarios, including transponder, open ocean, and specular targets like sea-ice and inland water scenes. The Omega–Kappa (WK) algorithm, which has demonstrated superior CPU efficiency compared to the back-projection (BP) algorithm, is considered for this study. But, unlike BP, it operates in the Doppler frequency domain, necessitating further precise spectral and time domain settings. Based on the results of this work, real case studies using S6-MF acquisitions are presented. We first compare S6-MF FF radargrams with Sentinel-1 (S1) images to showcase the potential of optimally configured FF processing. For highly specular surfaces such as sea-ice, distinct techniques are employed for lead signature identification. S1 relies on image-based lineic reconstruction, while S6-MF utilizes phase coherency of focalized pulses for lead detection. The study also delves into two-dimensional wave spectra derived from the amplitude modulation of image/radargrams, with a focus on a coastal example. This case is especially intriguing, as it vividly illustrates different sea states characterized by varying spectral peak positions over time.","","en","journal article","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:d5811855-0b1b-4a3a-a6ea-b0a0a0abd3b5","http://resolver.tudelft.nl/uuid:d5811855-0b1b-4a3a-a6ea-b0a0a0abd3b5","Trained innate immunity modulates osteoblast and osteoclast differentiation","Rahmani, N. R. (University Medical Center Utrecht; Universiteit Utrecht); Belluomo, R. (Universiteit Utrecht); Kruyt, M. C. (University Medical Center Utrecht; University of Twente); Gawlitta, D. (Universiteit Utrecht; University Medical Center Utrecht); Joosten, L. A.B. (Radboud University Medical Center; University of Medicine and Pharmacy); Weinans, Harrie (TU Delft Biomaterials & Tissue Biomechanics; University Medical Center Utrecht); Croes, M. (University Medical Center Utrecht)","","2024","Macrophages are key regulators in bone repair and regeneration. Recent studies have shown that long-term epigenetic changes and metabolic shifts occur during specific immune training of macrophages that affect their functional state, resulting in heightened (trained) or reduced (tolerant) responses upon exposure to a second stimulus. This is known as innate immune memory. Here, we study the impact of macrophages’ memory trait on osteoblast differentiation of human mesenchymal stromal cells (hMSCs) and osteoclast differentiation. An in vitro trained immunity protocol of monocyte-derived macrophages was employed using inactivated Candida albicans and Bacillus Calmette–Guérin (BCG) to induce a ‘trained’ state and Pam3CSK4 (PAM) and Lipopolysaccharides (LPS) to induce a ‘tolerance’ state. Macrophages were subsequently cocultured with hMSCs undergoing osteogenic differentiation during either resting (unstimulated) or inflammatory conditions (restimulated with LPS). Alkaline phosphatase activity, mineralization, and cytokine levels (TNF, IL-6, oncostatin M and SDF-1α) were measured. In addition, macrophages underwent osteoclast differentiation. Our findings show that trained and tolerized macrophages induced opposing results. Under resting conditions, BCG-trained macrophages enhanced ALP levels (threefold), while under inflammatory conditions this was found in the LPS-tolerized macrophages (fourfold). Coculture of hMSCs with trained macrophages showed mineralization while tolerized macrophages inhibited the process under both resting and inflammatory conditions. While osteoclast differentiation was not affected in trained-macrophages, this ability was significantly loss in tolerized ones. This study further confirms the intricate cross talk between immune cells and bone cells, highlighting the need to consider this interaction in the development of personalized approaches for bone regenerative medicine. Graphical Abstract: (Figure presented.).","Bone regeneration; Innate immune memory; Macrophage; MSC; Osteoimmunology","en","journal article","","","","","","","","","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:69a3750d-8ca1-4e18-99cc-00ba97e66955","http://resolver.tudelft.nl/uuid:69a3750d-8ca1-4e18-99cc-00ba97e66955","A review on machine learning in flexible surgical and interventional robots: Where we are and where we are going","Wu, D. (TU Delft Medical Instruments & Bio-Inspired Technology; Katholieke Universiteit Leuven); Zhang, R. (TU Delft Human-Robot Interaction; Universiteit Leiden); Pore, Ameya (Universitat Politecnica de Catalunya; University of Verona); Ha, Xuan Thao (Katholieke Universiteit Leuven; Scuola Superiore Sant’Anna); Li, Z. (TU Delft Medical Instruments & Bio-Inspired Technology; Politecnico di Milano); Herrera, Fernando (Katholieke Universiteit Leuven; University of Strasbourg); Kowalczyk, Wojtek (Universiteit Leiden); De Momi, Elena (Politecnico di Milano); Dankelman, J. (TU Delft Medical Instruments & Bio-Inspired Technology); Kober, J. (TU Delft Learning & Autonomous Control)","","2024","Minimally Invasive Procedures (MIPs) emerged as an alternative to more invasive surgical approaches, offering patient benefits such as smaller incisions, less pain, and shorter hospital stay. In one class of MIPs, where natural body lumens or small incisions are used to access deeper anatomical locations, Flexible Surgical and Interventional Robots (FSIRs) such as catheters and endoscopes are widely used. Due to their flexible and compliant nature, FSIRs can be inserted via natural orifices or small incisions, then moved towards hard-to-reach targets to perform interventional tasks. However, existing FSIRs are confronted with challenges in sensing, control, and navigation. These issues stem from the robot's non-linear behavior and the intricate nature of the lumens, where accurately modeling the complex interactions and disturbances proves to be exceptionally difficult. The rapid advances in Machine Learning (ML) have facilitated the widespread adoption of ML techniques in FSIRs. This article provides an overview of these efforts by first introducing a classification of existing ML algorithms, including traditional ML methods and modern Deep Learning (DL) approaches, commonly used in FSIRs. Next, the use of ML algorithms is surveyed per sub-domain, namely for perception, modeling, control, and navigation. Trends, popularity, strengths, and/or limitations of different ML algorithms are analyzed. The different roles that ML plays among tasks are investigated and described. Finally, discussions are conducted on the limitations and the prospects of ML in MIPs.","Control; Flexible surgical and interventional robots; Machine learning; Modeling; Navigation; Sensing","en","review","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:7e632475-60c7-4570-aae1-e258a9cdf3a9","http://resolver.tudelft.nl/uuid:7e632475-60c7-4570-aae1-e258a9cdf3a9","Quantifying riming from airborne data during the HALO-(AC)3campaign","Maherndl, Nina (University of Leipzig); Moser, Manuel (University of Mainz; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Lucke, J.R. (TU Delft Aircraft Noise and Climate Effects; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Mech, Mario (University of Cologne); Risse, Nils (University of Cologne); Schirmacher, Imke (University of Cologne); Maahn, Maximilian (University of Leipzig)","","2024","Riming is a key precipitation formation process in mixed-phase clouds which efficiently converts cloud liquid to ice water. Here, we present two methods to quantify riming of ice particles from airborne observations with the normalized rime mass, which is the ratio of rime mass to the mass of a size-equivalent spherical graupel particle. We use data obtained during the HALO-(AC)3 aircraft campaign, where two aircraft collected radar and in situ measurements that were closely spatially and temporally collocated over the Fram Strait west of Svalbard in spring 2022. The first method is based on an inverse optimal estimation algorithm for the retrieval of the normalized rime mass from a closure between cloud radar and in situ measurements during these collocated flight segments (combined method). The second method relies on in situ observations only, relating the normalized rime mass to optical particle shape measurements (in situ method). We find good agreement between both methods during collocated flight segments with median normalized rime masses of 0.024 and 0.021 (mean values of 0.035 and 0.033) for the combined and in situ method, respectively. Assuming that particles with a normalized rime mass smaller than 0.01 are unrimed, we obtain average rimed fractions of 88ĝ€¯% and 87ĝ€¯% over all collocated flight segments. Although in situ measurement volumes are in the range of a few cubic centimeters and are therefore much smaller than the radar volume (about 45ĝ€¯m footprint diameter at an altitude of 500ĝ€¯m above ground, with a vertical resolution of 5ĝ€¯m), we assume they are representative of the radar volume. When this assumption is not met due to less homogeneous conditions, discrepancies between the two methods result. We show the performance of the methods in a case study of a collocated segment of cold-air outbreak conditions and compare normalized rime mass results with meteorological and cloud parameters. We find that higher normalized rime masses correlate with streaks of higher radar reflectivity. The methods presented improve our ability to quantify riming from aircraft observations.","","en","journal article","","","","","","","","","","","Aircraft Noise and Climate Effects","","",""
"uuid:c4c1a755-e776-45a3-9660-14050242eca8","http://resolver.tudelft.nl/uuid:c4c1a755-e776-45a3-9660-14050242eca8","Low disorder and high valley splitting in silicon","Degli Esposti, D. (TU Delft QCD/Scappucci Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Stehouwer, L.E.A. (TU Delft QCD/Scappucci Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Gül, Önder (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; TNO); Samkharadze, Nodar (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre; TNO); Déprez, C.C. (TU Delft QCD/Veldhorst Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Meyer, M. (TU Delft QCD/Veldhorst Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Meijer, Ilja N. (Kavli institute of nanoscience Delft; Student TU Delft); Tryputen, L. (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre; TNO); Karwal, S. (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre; TNO); Vandersypen, L.M.K. (TU Delft QuTech Advanced Research Centre; TU Delft QN/Vandersypen Lab; Kavli institute of nanoscience Delft); Sammak, A. (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre; TNO); Veldhorst, M. (TU Delft QN/Veldhorst Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Scappucci, G. (TU Delft QCD/Scappucci Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft)","","2024","The electrical characterisation of classical and quantum devices is a critical step in the development cycle of heterogeneous material stacks for semiconductor spin qubits. In the case of silicon, properties such as disorder and energy separation of conduction band valleys are commonly investigated individually upon modifications in selected parameters of the material stack. However, this reductionist approach fails to consider the interdependence between different structural and electronic properties at the danger of optimising one metric at the expense of the others. Here, we achieve a significant improvement in both disorder and valley splitting by taking a co-design approach to the material stack. We demonstrate isotopically purified, strained quantum wells with high mobility of 3.14(8) × 105 cm2 V−1 s−1 and low percolation density of 6.9(1) × 1010 cm−2. These low disorder quantum wells support quantum dots with low charge noise of 0.9(3) μeV Hz−1/2 and large mean valley splitting energy of 0.24(7) meV, measured in qubit devices. By striking the delicate balance between disorder, charge noise, and valley splitting, these findings provide a benchmark for silicon as a host semiconductor for quantum dot qubits. We foresee the application of these heterostructures in larger, high-performance quantum processors.","","en","journal article","","","","","","","","","","","QCD/Scappucci Lab","","",""
"uuid:9dd05d41-e515-4b6b-a1e5-f18fc06b7023","http://resolver.tudelft.nl/uuid:9dd05d41-e515-4b6b-a1e5-f18fc06b7023","High-Pressure oxidative coupling of methane on alkali metal catalyst – Microkinetic analysis and operando thermal visualization","Yu, Yuhang (University of Tokyo); Obata, Keisuke (University of Tokyo); Movick, William J. (University of Tokyo); Yoshida, Shintaro (University of Tokyo); Palomo Jiménez, J. (TU Delft ChemE/Catalysis Engineering); Lundin, Sean Thomas B. (National Institute of Advanced Industrial Science and Technology (AIST)); Urakawa, A. (TU Delft ChemE/Catalysis Engineering); Sarathy, S. Mani (King Abdullah University of Science and Technology); Takanabe, Kazuhiro (University of Tokyo; Japan Science and Technology Agency)","","2024","To introduce promotional H2O effects for both CH4 rate and C2 selectivity, the OH radical formation, catalyzed through H2O activation with O2 surface species, was critical for modeling selective Mn-K2WO4/SiO2 catalysts. Based on our reported experimental evidence, which demonstrates the formation of H2O2 through surface alkali peroxide intermediate, the elementary reactions that account for the OH-mediated pathway were added into the microkinetic model. The advanced model adeptly replicated the promotional H2O effects on both OCM rate and selectivity. The data from a low-pressure microkinetic study were treated isothermally, and extended for near-industrially relevant pressures up to 901 kPa. Thermal visualization using an infrared camera found substantial temperature increases at undiluted high-pressure conditions which caused C2 selectivity to drop significantly. When the furnace temperatures were decreased after ignition, side reactions after O2 depletion (e.g., hydrocarbon reforming) were suppressed, obtaining 13.7 (11.8) % yields at 19.9 % CH4 conversion with 68.6 (59.1) % selectivities for C2-4 (C2) at 901 kPa. The temperature was found to be the determining factor of C2 yield which was perturbed by varying space velocity or CH4/O2 ratios. The optimum temperature for high-pressure conditions was predicted as 885 °C at 901 kPa. The study provides mechanistic and industrially relevant understandings for further OCM catalyst design and system application.","High pressure; Microkinetic analysis; OCM mechanisms; OH-mediated pathway; Operando thermal visualization","en","journal article","","","","","","Publisher Copyright: © 2024 The Author(s)","","","","","ChemE/Catalysis Engineering","","",""
"uuid:31d03aac-111d-4ce9-a3d5-cda9096c52c0","http://resolver.tudelft.nl/uuid:31d03aac-111d-4ce9-a3d5-cda9096c52c0","Non-intrusive temperature measurements for transient freezing in laminar internal flow using laser induced fluorescence","Kaaks, B.J. (TU Delft RST/Reactor Physics and Nuclear Materials); Couweleers, S.D. (TU Delft RST/Technici Pool); Lathouwers, D. (TU Delft RST/Reactor Physics and Nuclear Materials); Kloosterman, J.L. (TU Delft RST/Radiation, Science and Technology); Rohde, M. (TU Delft RST/Reactor Physics and Nuclear Materials)","","2024","This work presents two color LIF temperature measurements for the transient freezing in a square channel under laminar flow conditions. This is the first time non-intrusive temperature measurements were performed within the thermal boundary layer during the transient growth of an ice layer in internal flow. A combination of a local outlier factor algorithm and a smoothing operation was used to remove the top to bottom striations and reduce the other measurement noise. The temperature uncertainty in our measurements was between σ=0.3∘C and σ=0.5∘C. For the largest temperature difference between the bulk and the melting point of 14.6 °C, good results were obtained. As such, the current campaign demonstrates the potential of LIF as a non-intrusive temperature measurement technique for solid–liquid phase change experiments. However, some artefacts were present within the vicinity of the ice-layer due to the scattering of the laser light, especially near the inlet of the channel where the ice-layer is curved instead of flat. LIF measurements taken within a short time span prior to the onset of ice freezing showed approximately 2 °C of subcooling, consistent with previous findings. In addition, an anomalous behavior within the thermal boundary layer was observed, with a much smaller temperature gradient within the first few mm above the cold plate and a point of inflection in the temperature profile. The anomalous temperature behavior is possibly attributed to enhanced natural convection as a result of the subcooling at the cold plate surface.","Laminar internal flow; Laser Induced Fluorescence; Non-intrusive temperature measurements; Transient freezing","en","journal article","","","","","","","","","","RST/Radiation, Science and Technology","RST/Reactor Physics and Nuclear Materials","","",""
"uuid:d5f9bd8c-3c51-462e-8cf0-472864e1a798","http://resolver.tudelft.nl/uuid:d5f9bd8c-3c51-462e-8cf0-472864e1a798","A highly stable, pressure-driven, flow control system based on Coriolis mass flow sensors for organs-on-chips","de Haan, Pim (Rijksuniversiteit Groningen; TI-COAST); Mulder, Jean Paul S.H. (Rijksuniversiteit Groningen); Lötters, J.C. (TU Delft Precision and Microsystems Engineering; Bronkhorst High-Tech BV; University of Twente); Verpoorte, Elisabeth (Rijksuniversiteit Groningen)","","2024","Stable delivery of liquids to microfluidic systems is essential for their reproducible functioning, especially when supplying flows to organs-on-chips – delicate living models that recreate human physiology on the microscale and thus can be used to reduce the need for animal testing. Most flow control systems are unable to sustain a robust and stable flow in longer experiments (>1 week), particularly those based on the ubiquitous syringe pump. Though easy to use, syringe pumps have no mechanism for actually measuring flow, let alone flow regulation with sensor feedback. We have developed a liquid delivery system based on the generation of flow by applying a constant air pressure to liquids in sealed containers. A flow of liquid is monitored by accurate measurement of mass flows (mg/min) using downstream Coriolis-based mass flow sensors. Measured mass flows provide fast feedback to integrated valves, with valves opening or closing slightly to increase or decrease solution flows to the organs-on-chips as required. This mass flow sensing principle is not affected by changes in the density, temperature, and viscosity of the liquids being displaced. This is in contrast to systems that use volumetric flow sensors, which require recalibration when these parameters change. The rationale behind using this principle for organs-on-chips, is that the stability provided by this flow control system allows for more control over growth of these mini-organs. We demonstrate the functionality of this system with three examples: 1) Fast stabilization (within seconds) under changing physical conditions; 2) Short-term stability (minutes to hours) of delivered flows in a microreactor with interconnected inlets; and 3) Long-term stability (>1 week) of cell medium flows to a living organ-on-a-chip. Two categories of organs-on-chips (OOCs) can be distinguished: 1) solid OOC are designed for three-dimensional cell or tissue constructs that interact with each other and their surroundings, and 2) barrier-type OOC contain a selective cellular barrier between two compartments as do many barriers in the body. The latter of these two types is the most challenging to culture and maintain as they are very sensitive to variations in flow and pressure surges. The flow control system presented in this work provides a great improvement compared to the use of syringe pumps and volumetric flow sensors in OOC studies. The novelty of this work lies in the long-term stability use of this system for organs-on-chips, maintaining stability for short to very long periods of time without compromising the barrier function of the organ-on-chip by pressure surges, bacterial contamination, or other undesired effects from the flow delivery system.","Coriolis flow sensor; Flow control system; Flow stability; Organ-on-a-chip","en","journal article","","","","","","","","","","Precision and Microsystems Engineering","","","",""
"uuid:16582a99-0526-4e72-8383-0e74aeeb7784","http://resolver.tudelft.nl/uuid:16582a99-0526-4e72-8383-0e74aeeb7784","2D Ge2Se2P4 Monolayer: A Versatile Photocatalyst for Sustainable Water Splitting","Vu, Tuan V. (Van Lang University); Hieu, Nguyen N. (Duy Tan University); Vo, Dat D. (Van Lang University); Kartamyshev, A. I. (Van Lang University); Tong, Hien D. (Vietnamese-German University); Trinh, Thuat T. (Norwegian University of Science and Technology (NTNU)); Khuong Dien, Vo (National Cheng Kung University); Haman, Zakaryae (Moulay Ismail University); Dey, P. (TU Delft Team Poulumi Dey); Khossossi, N. (TU Delft Team Poulumi Dey)","","2024","This study aims to identify photo-/electrocatalysts that can enhance the oxygen evolution reaction (OER), hydrogen evolution reaction (HER), and oxygen reduction reaction (ORR), which are of utmost importance in electro-/photochemical energy systems, such as solar energy, fuel cells, water electrolyzers, or metal-air batteries. Our study focused on investigating the 2D Ge2Se2P4 monolayer and found that it exhibits a bifunctional photocatalyst with a very high solar-to-hydrogen efficiency. The two-dimensional (2D) Ge2Se2P4 monolayer has superior HER activity compared to that of most 2D materials, and it also outperforms the reference catalysts IrO2(110) and Pt(111) in terms of low overpotential values for ORR and OER mechanisms. Such superior catalytic performance in the 2D Ge2Se2P4 monolayer can be attributed to its electron states, charge transfer process, and suitable band alignments referring to normal hydrogen electrodes. Overall, the study suggests that the Ge2Se2P4 monolayer could be an excellent bifunctional catalyst for advancing photo-/electrochemical energy systems.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-29","","","Team Poulumi Dey","","",""
"uuid:1729ac19-9537-4131-a48b-4900c63a9a24","http://resolver.tudelft.nl/uuid:1729ac19-9537-4131-a48b-4900c63a9a24","Assessing nozzle flow dynamics in fused filament fabrication through the parametric map α − λ","Schuller, Tomás (Universidade do Porto; Institute of Science and Innovation in Mechanical and Industrial Engineering (INEGI)); Fanzio, P. (TU Delft Micro and Nano Engineering); Galindo-Rosales, Francisco J. (Universidade do Porto)","","2024","Polymer rheology profoundly influences the intricate dynamics of material extrusion in fused filament fabrication (FFF). This numerical study, which uses the Giesekus model fed with a full rheometric experimental dataset, meticulously examines the molten flow patterns inside the printing nozzle in FFF. Our findings reveal new insight into the interplay between elastic stresses and complex flow patterns, highlighting their substantial role in forming upstream vortices. The parametric map α-λ from the Giesekus model allowed us to sort the materials and connect the polymer rheology with the FFF nozzle flow dynamics. The identification of elastic instabilities, the characterization of flow types, and the correlation between fluid rheology and pressure drop variations mark significant advancements in understanding FFF processes. These insights pave the way for tailored nozzle designs, promising enhanced efficiency and reliability in FFF-based additive manufacturing.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-11","","","Micro and Nano Engineering","","",""
"uuid:cf3f497d-32d5-4c84-aeb0-404314965385","http://resolver.tudelft.nl/uuid:cf3f497d-32d5-4c84-aeb0-404314965385","Predicting protein retention in ion-exchange chromatography using an open source QSPR workflow","Neijenhuis, T. (TU Delft BT/Bioprocess Engineering); Le Bussy, Olivier (GSK Vaccines, Rixensart); Geldhof, Geoffroy (GSK Vaccines, Rixensart); Klijn, M.E. (TU Delft BT/Bioprocess Engineering); Ottens, M. (TU Delft BT/Design and Engineering Education)","","2024","Protein-based biopharmaceuticals require high purity before final formulation to ensure product safety, making process development time consuming. Implementation of computational approaches at the initial stages of process development offers a significant reduction in development efforts. By preselecting process conditions, experimental screening can be limited to only a subset. One such computational selection approach is the application of Quantitative Structure Property Relationship (QSPR) models that describe the properties exploited during purification. This work presents a novel open-source Python tool capable of extracting a range of features from protein 3D models on a local computer allowing total transparency of the calculations. As open-source tool, it also impacts initial investments in constructing a QSPR workflow for protein property prediction for third parties, making it widely applicable within the field of bioprocess development. The focus of current calculated molecular features is projection onto the protein surface by constructing surface grid representations. Linear regression models were trained with the calculated features to predict chromatographic retention times/volumes. Model validation shows a high accuracy for anion and cation exchange chromatography data (cross-validated R2 of 0.87 and 0.95). Hence, these models demonstrate the potential of the use of QSPR to accelerate process design.","chromatography; protein features; Quantitative Structure Activity Relationship (QSAR); Quantitative Structure Property Relationship (QSPR); retention prediction","en","journal article","","","","","","","","","","","BT/Bioprocess Engineering","","",""
"uuid:023b1c6c-3d52-4354-a958-0880d7bf9bdc","http://resolver.tudelft.nl/uuid:023b1c6c-3d52-4354-a958-0880d7bf9bdc","The Funnel of Game Design – An Adaptive Game Design Approach for Complex Systems","Freese, M. (TU Delft Policy Analysis; Otto-von-Guericke University); Lukosch, H.K. (University of Canterbury)","","2024","Background: In a world of ever-increasing complexity, organizations and people have an ever-increasing need for support systems that help them understand and shape the world around them. While simulation game design derived from the very idea to propose an instrument able to address complexity, seminal approaches dealt with a different level of complexity. In a networked, digitalized world, complexity has increased, and traditional approaches towards designing games show certain shortcomings that have to be overcome. Aim: This article proposes a new process of game design for complex problems and complex systems that can both be used by game designers as well as the scientific community in the field. This process is represented within a framework, based on two parts. The so-called ‘Funnel of Game Design’ based on the IDEAS approach represents the process of problem derivation, while the ‘House of Game Design’ also covers possible steps towards the final game product and process, including de-briefing and evaluation. Method: Based on hands-on experiences and related work, we developed several steps of a game design process (IDEAS approach). In face-to-face interviews, we discussed the first version of the framework with experts in the field of simulation game studies. Results: This process led to the framework presented in this article, which shows the steps of problem derivation as well as challenges that can occur, and proposes adaptive methods to overcome these challenges. The framework includes elements that support the definition of complex problems, and their translation into game designs. Recommendation: We recommend practitioners and scientists to apply the new framework presented here in their efforts to define the underlying problem that should be addressed by an envisioned simulation game, and in translating this into a valid, engaging and meaningful game experience.","analytical science; complex systems; design framework; design science; simulation games","en","journal article","","","","","","","","","","","Policy Analysis","","",""
"uuid:478c5b9b-eb91-4d86-aa82-42d0c3af003b","http://resolver.tudelft.nl/uuid:478c5b9b-eb91-4d86-aa82-42d0c3af003b","The value of a mobile educative Application additional to Standard counselling on aspirin Adherence in Pregnancy: the ASAP study, a randomised controlled trial","bij de Weg, Jeske M. (Vrije Universiteit Amsterdam; Amsterdam Reproduction and Development Research Institute, Amsterdam); de Boer, Marjon A. (Vrije Universiteit Amsterdam; Amsterdam Reproduction and Development Research Institute, Amsterdam); Meijer, Cynthia (Vrije Universiteit Amsterdam; Amsterdam Reproduction and Development Research Institute, Amsterdam); Lugtenburg, Noëlle (Student TU Delft); Melles, M. (TU Delft Applied Ergonomics and Design); de Vries, Johanna I.P. (Vrije Universiteit Amsterdam; Amsterdam Reproduction and Development Research Institute, Amsterdam); de Groot, Christianne J.M. (Vrije Universiteit Amsterdam; Amsterdam Reproduction and Development Research Institute, Amsterdam)","","2024","Objective: To assess the added value of a novel, mobile educative application to standard counselling on aspirin adherence during pregnancy versus standard counselling alone. Methods: Participants were randomly assigned for additional use of a mobile educative application or standard counselling alone. Main outcome measures were adherence to aspirin measured by two validated questionnaires: Simplified Medication Adherence Questionnaire (SMAQ), Believes and Behaviour Questionnaire (BBQ), and patients reported tablet intake >90%. Results: A total of 174 women with an indication for aspirin during pregnancy were included. The questionnaires were filled in by 126 out of the 174 participants (72.4%). Similar results were found in the app group and the standard counselling groups for both validated questionnaires. Tablet intake >90% was seen in 88.7% and 87.5% (p = 0.834) of the app group and standard counselling group respectively. Subgroup analyses demonstrated a negative effect of BMI and a positive effect of educational level on adherence. Conclusions: Our study revealed no added effect of a novel, mobile educative application to standard counselling on aspirin adherence during pregnancy. Tablet intake was equally high in both groups probably explained by our high educated population. Innovation: Future studies should focus on tailored counselling on medication to pregnant women's needs including medication reminders, addressing concerns, adequate health literacy and side effects, offering rewards to further stimulate aspirin adherence in pregnancy with optimal outcome for mother and their neonate.","Adherence; Aspirin; Counselling; Education; Educative application; Pregnancy","en","journal article","","","","","","","","","","","Applied Ergonomics and Design","","",""
"uuid:d61a6e0a-cc06-486b-a78d-dad20e686e53","http://resolver.tudelft.nl/uuid:d61a6e0a-cc06-486b-a78d-dad20e686e53","Accelerating Large-Scale Graph Processing with FPGAs: Lesson Learned and Future Directions","Procaccini, Marco (University of Siena); Sahebi, Amin (University of Siena); Barbone, Marco (Imperial College London); Luk, Wayne (Imperial College London); Gaydadjiev, G. (TU Delft Quantum Circuit Architectures and Technology); Giorgi, Roberto (University of Siena)","Bispo, Joao (editor); Xydis, Sotirios (editor); Curzel, Serena (editor); Sousa, Luis Miguel (editor)","2024","Processing graphs on a large scale presents a range of difficulties, including irregular memory access patterns, device memory limitations, and the need for effective partitioning in distributed systems, all of which can lead to performance problems on traditional architectures such as CPUs and GPUs. To address these challenges, recent research emphasizes the use of Field-Programmable Gate Arrays (FPGAs) within distributed frameworks, harnessing the power of FPGAs in a distributed environment for accelerated graph processing. This paper examines the effectiveness of a multi-FPGA distributed architecture in combination with a partitioning system to improve data locality and reduce inter-partition communication. Utilizing Hadoop at a higher level, the framework maps the graph to the hardware, efficiently distributing pre-processed data to FPGAs. The FPGA processing engine, integrated into a cluster framework, optimizes data transfers, using offline partitioning for large-scale graph distribution. A first evaluation of the framework is based on the popular PageRank algorithm, which assigns a value to each node in a graph based on its importance. In the realm of large-scale graphs, the single FPGA solution outperformed the GPU solution that were restricted by memory capacity and surpassing CPU speedup by 26x compared to 12x. Moreover, when a single FPGA device was limited due to the size of the graph, our performance model showed that a distributed system with multiple FPGAs could increase performance by around 12x. This highlights the effectiveness of our solution for handling large datasets that surpass on-chip memory restrictions.","Accelerators; Distributed computing; FPGA; Graph processing; Grid partitioning","en","conference paper","Schloss Dagstuhl- Leibniz-Zentrum fur Informatik GmbH, Dagstuhl Publishing","","","","","","","","","","Quantum Circuit Architectures and Technology","","",""
"uuid:2de10f3f-ef0e-495c-8b2c-3129d9ee35c4","http://resolver.tudelft.nl/uuid:2de10f3f-ef0e-495c-8b2c-3129d9ee35c4","Effect of temperature on dislocation-tuned dielectricity and piezoelectricity in single-crystal BaTiO3","Dietrich, Felix (Technische Universität Darmstadt); Ni, Fan (Technische Universität Darmstadt); Fulanović, Lovro (Technische Universität Darmstadt); Zhou, Xiandong (Sichuan University); Isaia, Daniel (Technische Universität Darmstadt); Braga Groszewicz, P. (TU Delft RST/Storage of Electrochemical Energy); Zhang, Chunlin (Physcience Optoelectronics Co., Ltd, Beijing); Xu, Bai Xiang (Technische Universität Darmstadt); Rödel, Jürgen (Technische Universität Darmstadt)","","2024","The pinning-controlled mobility of ferroelectric/ferroelastic domain walls is an important part of managing polarization switching and determining the final properties of ferroelectric and piezoelectric materials. Here, we assess the impact of temperature on dislocation-induced domain wall pinning as well as on dislocation-tuned dielectric and piezoelectric response in barium titanate single crystals. Our solid-state nuclear magnetic resonance spectroscopy results indicate that the entire sample exclusively permits in-plane domains, with their distribution remaining insensitive to temperature changes below the Curie temperature (TC). The domain wall pinning field monotonically decreases with increasing temperature up to TC, as evidenced by a combination of experimental observations and phase-field simulations. Our work highlights the promising potential of dislocation engineering in controlling domain wall mobility within bulk ferroelectrics.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-11","","","RST/Storage of Electrochemical Energy","","",""
"uuid:0807aab6-06b6-4137-8a91-35e7ba0bc378","http://resolver.tudelft.nl/uuid:0807aab6-06b6-4137-8a91-35e7ba0bc378","Introduction to the Issue on Artificial Intelligence in the Public Sector: Risks and Benefits of AI for Governments","Mellouli, Sehl (Laval University); Janssen, M.F.W.H.A. (TU Delft Engineering, Systems and Services); Ojo, Adegboyega (Carleton University)","","2024","Artificial Intelligence (AI) is increasingly adopted by public sector organizations to provide better public services and to transform their internal processes. AI is now considered a key enabler for digital innovation and transformation in the public sector. However, AI is still relatively a new research area in the field of digital government. The term, AI, captures a wide range of technologies, techniques, and tools such as machine/deep learning, natural language processing, robotics, computer vision, and more recently Generative AI. While these AI technologies afford different applications and benefits in the gov- ernment context, they also create social, ethical, and legal challenges. These challenges require solutions combining both technical (e.g., data and algorithmic solutions to minimize bias) and institutional (e.g., governance structures and processes) mechanisms. The special issue is a collection of articles that contribute to a better understanding of the issues associated with AI deployment in different areas of government operations. They cover AI applications in the areas of emergency re- sponse, policy analysis, public bids, and citizen participation. The contributions also address the challenge of realizing a legal transparency regime for AI in government and the effect of AI in bureaucratic decision-making.","Artificial intelligence; benefits; e-government; risks","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-12","","Engineering, Systems and Services","","","",""
"uuid:4ee1cced-5077-4c1e-9612-6e0b3cc8fcdf","http://resolver.tudelft.nl/uuid:4ee1cced-5077-4c1e-9612-6e0b3cc8fcdf","Introductory Dynamics: 2D Kinematics and Kinetics of Point Masses and Rigid Bodies","Steeneken, P.G. (TU Delft Dynamics of Micro and Nano Systems)","","2024","Motion is all around us, the universe is full of moving matter and this motion is surprisingly predictable. The field of science and engineering that studies time-dependent motion in the presence of forces is called Dynamics. In this book we will introduce the core concepts in dynamics and provide a comprehensive toolset to predict and analyse planar 2D motion of point masses and rigid bodies. The material includes kinematic analysis, Newton’s laws, Euler’s laws, the equations of motion, work, energy, impulse and momentum. Vector-based methods are discussed for systematically solving essentially any problem in 2D dynamics. The book provides a bachelor level introduction for any science and engineering student that can serve as a basis for more advanced courses in dynamics.","Dynamics; Mechanical Engineering; Physics; Kinematics; Kinetics","en","book","TU Delft OPEN","978-94-6366-794-4","","","","TU Delft OPEN Textbook","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:808d24f4-d1dd-473c-89de-a6b4e27119f9","http://resolver.tudelft.nl/uuid:808d24f4-d1dd-473c-89de-a6b4e27119f9","Lecture Notes on Quantum Electrical Circuits","Ciani, Alessandro (Forschungszentrum Jülich GmbH); DiVincenzo, David P. (Forschungszentrum Jülich GmbH); Terhal, B.M. (TU Delft Discrete Mathematics and Optimization)","","2024","During the last 30 years, stimulated by the quest to build superconducting quantum processors, a theory of quantum electrical circuits has emerged, which is called circuit quantum electrodynamics or circuit-QED. The goal of the theory is to provide a quantum description of the most relevant degrees of freedom. The central objects to be derived and studied are the Lagrangian and the Hamiltonian governing these degrees of freedom. Central concepts in classical network theory such as impedance and scattering matrices can be used to obtain the Hamiltonian and Lagrangian description for the lossless (linear) part of the circuits. Methods of analysis, both classical and quantum, can also be developed for nonreciprocal circuits. These lecture notes aim at giving a comprehensive, theoretically oriented, overview of this subject for Master or PhD students in physics and electrical engineering.","superconducting electrical circuits; circuit-QED; quantum computing; Josephson junction; quantum information","en","book","TU Delft OPEN","978-94-6366-814-9","","","","TU Delft OPEN Textbook","","","","","Discrete Mathematics and Optimization","","",""
"uuid:06ad88e7-12a6-4053-a8f8-a87e7c5fb2fd","http://resolver.tudelft.nl/uuid:06ad88e7-12a6-4053-a8f8-a87e7c5fb2fd","Desulfurivibrionaceae","Sorokin, Dimitry Y. (TU Delft BT/Environmental Biotechnology; Russian Academy of Sciences); Merkel, Alexander Y. (Russian Academy of Sciences)","Whitman, William (editor)","2024","The family Desulfurivibrionaceae includes obligately anaerobic, moderately salt-tolerant, obligately alkaliphilic chemolithoautotrophic bacteria with a unique energy metabolism consisting of elemental sulfur disproportionation. H2 or formate can also serve as additional electron donors for sulfur reduction, while nitrate can be ammonified with either sulfide or formate as the electron donors. The cultured representatives are found exclusively in saline soda lakes. The family consists of a single genus Desulfurivibrio with the type species D. alkaliphilus and a second yet undescribed species “D. dismutans” DNA G+C content (%): 60.0-60.3 (whole genome sequences)
Type genus : Desulfurivibrio Sorokin et al. 2008, VL123
Schistosomiasis is a significant public health concern, especially in Sub-Saharan Africa. Conventional microscopy is the standard diagnostic method in resource-limited settings, but with limitations, such as the need for expert microscopists. An automated digital microscope with artificial intelligence (Schistoscope), offers a potential solution. This field study aimed to validate the diagnostic performance of the Schistoscope for detecting and quantifying Schistosoma haematobium eggs in urine compared to conventional microscopy and to a composite reference standard (CRS) consisting of real-time PCR and the up-converting particle (UCP) lateral flow (LF) test for the detection of schistosome circulating anodic antigen (CAA).
Methods
Based on a non-inferiority concept, the Schistoscope was evaluated in two parts: study A, consisting of 339 freshly collected urine samples and study B, consisting of 798 fresh urine samples that were also banked as slides for analysis with the Schistoscope. In both studies, the Schistoscope, conventional microscopy, real-time PCR and UCP-LF CAA were performed and samples with all the diagnostic test results were included in the analysis. All diagnostic procedures were performed in a laboratory located in a rural area of Gabon, endemic for S. haematobium.
Results
In study A and B, the Schistoscope demonstrated a sensitivity of 83.1% and 96.3% compared to conventional microscopy, and 62.9% and 78.0% compared to the CRS. The sensitivity of conventional microscopy in study A and B compared to the CRS was 61.9% and 75.2%, respectively, comparable to the Schistoscope. The specificity of the Schistoscope in study A (78.8%) was significantly lower than that of conventional microscopy (96.4%) based on the CRS but comparable in study B (90.9% and 98.0%, respectively).
Conclusion
Overall, the performance of the Schistoscope was non-inferior to conventional microscopy with a comparable sensitivity, although the specificity varied. The Schistoscope shows promising diagnostic accuracy, particularly for samples with moderate to higher infection intensities as well as for banked sample slides, highlighting the potential for retrospective analysis in resource-limited settings.","","en","journal article","","","","","","","","","","","Team Michel Verhaegen","","",""
"uuid:cf120d58-14a9-425a-b26f-0562b402a494","http://resolver.tudelft.nl/uuid:cf120d58-14a9-425a-b26f-0562b402a494","Hexagonal Boron Nitride Spacers for Fluorescence Imaging of Biomolecules","Yang, X. (TU Delft Dynamics of Micro and Nano Systems); Shin, D. (TU Delft Dynamics of Micro and Nano Systems); Yu, Z. (TU Delft Dynamics of Micro and Nano Systems); Watanabe, Kenji (National Institute for Materials Science); Taniguchi, Takashi (National Institute for Materials Science); Babenko, Vitaliy (University of Cambridge); Hofmann, Stephan (University of Cambridge); Caneva, S. (TU Delft Dynamics of Micro and Nano Systems)","","2024","Fluorescence imaging is an invaluable tool to investigate biomolecular dynamics, mechanics, and interactions in aqueous environments. Two-dimensional materials offer large-area, atomically smooth surfaces for wide-field biomolecule imaging. Despite the success of graphene for on-chip biosensing and biomolecule manipulation, its strong fluorescence-quenching properties pose a challenge for biomolecular investigations that are based on direct optical readouts. Here, we employ few-layer hexagonal boron nitride (hBN) as a precisely tailorable fluorescence spacer between labelled lipid membranes and graphene substrates. By stacking high-quality hBN crystals in the 10–20 nm thickness range on monolayer graphene, we observe distance-dependent fluorescence intensity variations. Remarkably, with hBN spacers as thin as 20 nm, the fluorescence intensity is comparable to bare SiO2/Si substrates, while the intensity was reduced to 60 % and 80 % with ~10 nm and ~16 nm hBN thicknesses respectively. We confirm that pre-determined hBN thicknesses can be employed to control the non-radiative energy transfer properties of graphene, with fluorescence quenching following a d−4 distance-dependent behaviour. This seamless integration of electronically active and dielectric van der Waals materials into vertical heterostructures enables multifunctional platforms addressing the manipulation, localization, and visualization of biomolecules for fundamental biophysics and biosensing applications.","fluorescence; graphene; hexagonal boron nitride (hBN); lipids; quenching","en","journal article","","","","","","D.H.S. and S.C. acknowledge funding from the European Union's Horizon 2020 research and innovation program (ERC StG, SIMPHONICS, Project No. 101041486). S.C. acknowledges a Delft Technology Fellowship. X.Y. acknowledges funding from the Chinese Scholarship Council (Scholarship No. 202108270002). Z.Y. acknowledges funding from NWO (Project MechanoPore).","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:891fb906-9597-4543-ac66-99742b587c25","http://resolver.tudelft.nl/uuid:891fb906-9597-4543-ac66-99742b587c25","Utilizing Gold Nanoparticle Decoration for Enhanced UV Photodetection in CdS Thin Films Fabricated by Pulsed Laser Deposition: Exploiting Plasmon-Induced Effects","Belaid, Walid (Selçuk University); Gezgin, Serap Yiğit (Selçuk University); Basyooni, Mohamed A. (TU Delft Dynamics of Micro and Nano Systems; National Research Institute of Astronomy and Geophysics; Necmettin Erbakan University); Eker, Yasin Ramazan (Necmettin Erbakan University); Kılıç, Hamdi Şükür (Selçuk University)","","2024","UV sensors hold significant promise for various applications in both military and civilian domains. However, achieving exceptional detectivity, responsivity, and rapid rise/decay times remains a notable challenge. In this study, we address this challenge by investigating the photodetection properties of CdS thin films and the influence of surface-deposited gold nanoparticles (AuNPs) on their performance. CdS thin films were produced using the pulsed laser deposition (PLD) technique on glass substrates, with CdS layers at a 100, 150, and 200 nm thickness. Extensive characterization was performed to evaluate the thin films’ structural, morphological, and optical properties. Photodetector devices based on CdS and AuNPs/CdS films were fabricated, and their performance parameters were evaluated under 365 nm light illumination. Our findings demonstrated that reducing CdS layer thickness enhanced performance concerning detectivity, responsivity, external quantum efficiency (EQE), and photocurrent gain. Furthermore, AuNP deposition on the surface of CdS films exhibited a substantial influence, especially on devices with thinner CdS layers. Among the configurations, AuNPs/CdS(100 nm) demonstrated the highest values in all evaluated parameters, including detectivity (1.1×1012 Jones), responsivity (13.86 A/W), EQE (47.2%), and photocurrent gain (9.2).","UV sensors; Au nanoparticles; CdS thin films; photodetection; pulsed laser deposition","en","journal article","","","","","","","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:26963a15-8dc6-49ce-9ffb-2136afd8bd0f","http://resolver.tudelft.nl/uuid:26963a15-8dc6-49ce-9ffb-2136afd8bd0f","State-of-the-practice in quality assurance in Java-based open source software development","Khatami, Ali (TU Delft Software Engineering); Zaidman, A.E. (TU Delft Software Technology)","","2024","To ensure the quality of software systems, software engineers can make use of a variety of quality assurance approaches, for example, software testing, modern code review, automated static analysis, and build automation. Each of these quality assurance practices have been studied in depth in isolation, but there is a clear knowledge gap when it comes to our understanding of how these approaches are being used in conjunction, or not. In our study, we broadly investigate whether and how these quality assurance approaches are being used in conjunction in the development of 1454 popular open source software projects on GitHub. Our study indicates that typically projects do not follow all quality assurance practices together with high intensity. In fact, we only observe weak correlation among some quality assurance practices. In general, our study provides a deeper understanding of how existing quality assurance approaches are currently being used in Java-based open source software development. Besides, we specifically zoom in on the more mature projects in our dataset, and generally we observe that more mature projects are more intense in their application of the quality assurance practices, with more focus on their ASAT usage, and code reviewing, but no strong change in their CI usage.","automated static analysis; build automation; code review; continuous integration; software quality assurance; software testing","en","journal article","","","","","","","","","","Software Technology","Software Engineering","","",""
"uuid:79945b43-4d28-436a-9199-a332782f3cc4","http://resolver.tudelft.nl/uuid:79945b43-4d28-436a-9199-a332782f3cc4","Indoor localisation through Isovist fingerprinting from point clouds and floor plans","Triantafyllou, Georgios (Student TU Delft); Verbree, E. (TU Delft Digital Technologies); Rafiee, A. (TU Delft Digital Technologies)","","2024","The objective of this paper is to investigate and propose a method for Indoor Localisation based on Isovists, with the aim of extending the fields of Location-based Services and Geomatics. Various methods and combinations incorporating Isovist concepts, Space Syntax, and visibility graphs are examined and assessed. By investigating these approaches, this study aims to create a comprehensive methodology to achieve localisation using Isovists. The main conclusion drawn from this research is that an Indoor Localisation method based on Isovists is not only feasible but can also effectively support Location-based Services. The analysis and evaluation of all the components have been thoroughly conducted, indicating that when properly integrated, they can provide substantial value for LBS applications. As this is a new method for Indoor Localisation, there is significant scope for future work, particularly in terms of connecting it with existing techniques and integrating them into user applications.","indoor localisation; space syntax; isovists; fingerprinting; point clouds","en","journal article","","","","","","","","","","","Digital Technologies","","",""
"uuid:20ff96ee-8198-4c41-b6ad-9cddfb83d77e","http://resolver.tudelft.nl/uuid:20ff96ee-8198-4c41-b6ad-9cddfb83d77e","New approximations for network reliability","Brown, Jason I. (Dalhousie University); Kolokolnikov, Theodore (Dalhousie University); Kooij, Robert (TU Delft Quantum & Computer Engineering; TNO)","","2024","We introduce two new methods for approximating the all-terminal reliability of undirected graphs. First, we introduce an edge removal process: remove edges at random, one at a time, until the graph becomes disconnected. We show that the expected number of edges thus removed is equal to (Formula presented.), where (Formula presented.) is the number of edges in the graph, and (Formula presented.) is the average of the all-terminal reliability polynomial. Based on this process, we propose a Monte-Carlo algorithm to quickly estimate the graph reliability (whose exact computation is NP-hard). Moreover, we show that the distribution of the edge removal process can be used to quickly approximate the reliability polynomial. We then propose increasingly accurate asymptotics for graph reliability based solely on degree distributions of the graph. These asymptotics are tested against several real-world networks and are shown to be accurate for sufficiently dense graphs. While the approach starts to fail for “subway-like” networks that contain many paths of vertices of degree two, different asymptotics are derived for such networks.","approximation; average reliability; first order approximation; Monte Carlo; network reliability; regular graph; second order approximation; subway-like network","en","journal article","","","","","","","","","","Quantum & Computer Engineering","","","",""
"uuid:f138b367-2840-447d-8d8d-436147df4061","http://resolver.tudelft.nl/uuid:f138b367-2840-447d-8d8d-436147df4061","On the design of 5GDHC substation control systems","Khlebnikova, E. (TU Delft Sanitary Engineering); Pothof, I.W.M. (TU Delft Sanitary Engineering; Deltares); van der Zwan, Sam (Deltares); Loverdou, Lefki (Gemeente Rotterdam)","","2024","This paper presents challenges in the control of 5GDHC networks and proposes an approach for the robust control design of these networks. Temperatures are low and temperature differences are small in 5GDHC networks, in order to minimise heat losses in the distribution network and directly utilise low-temperature heat sources. Therefore, the robust control design of substations is crucial for stable and efficient operation of 5GDHC networks. We proposed the key steps to obtain a consistent control design that can be verified and tested prior to commissioning of the building substations and network. The design approach was elaborated in a case study in an existing neighbourhood in Haarlem, the Netherlands, constructed in the 1930s to 1970s. This neighbourhood will become independent of natural gas using PVT-rooftop panels, individual heat pumps (HP), a 2-pipe, bi-directional energy flow network operating at ultra-low temperatures and ATES system as a seasonal and daily storage facility.","ATES; heat losses; Networks; photovoltaic thermal panels; PI control; WANDA","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:6ae9a117-b801-4cc0-ad21-92b63c258334","http://resolver.tudelft.nl/uuid:6ae9a117-b801-4cc0-ad21-92b63c258334","Analytical mass transfer coefficients for natural convection from vertical gas-evolving electrodes","Valle Marchante, N. (TU Delft Ship Hydromechanics and Structures); Haverkort, J.W. (TU Delft Energy Technology)","","2024","The high mass transfer to or from gas-evolving electrodes is an attractive feature of electrochemical reactors, which can be partly attributed to the large convective flows that arise due to the buoyancy of bubbles. We derive exact analytical expressions for mass transfer coefficients for the case of constant gas flux boundary conditions. For the mass transport both Dirichlet and Neumann boundary conditions are considered. We deploy a recently derived self-similar solution of laminar two-phase flows, with density, hydrodynamic diffusivity, and viscosity dependent on the local gas fraction. Combining this with the Lévêque approximation, new mass transfer coefficients are obtained analytically. These new results are relevant for various electrochemical processes with gas evolution as well as boiling. The new formulation shows the mass transfer coefficient to scale with the vertical coordinate z proportional to z−1/5 for short electrodes and low current densities and z−4/15 for long ones and high current densities. The former limit also applies when buoyancy is due to temperature or concentration differences in the case that density differences are small. We provide a general overview considering all possible gas and mass boundary conditions combinations and a comparison with the Boussinesq approximation of small density differences.","","en","journal article","","","","","","We acknowledge the Dutch Research Council (NWO) for funding under grant agreement KICH1.ED04.20.011 .","","","","","Ship Hydromechanics and Structures","","",""
"uuid:66023eb8-1b30-4150-9338-4ce268205868","http://resolver.tudelft.nl/uuid:66023eb8-1b30-4150-9338-4ce268205868","Quasi In-Situ Study of Microstructure in a Laser Powder Bed Fusion Martensitic Stainless Steel","Shahriari, Ayda (University of New Brunswick); Sanjari, Mehdi (University of New Brunswick; Natural Resources Canada); Mahmoudiniya, Mahdi (Universiteit Gent); Pirgazi, Hadi (Universiteit Gent); Shalchi Amirkhiz, Babak (University of New Brunswick; Natural Resources Canada); Kestens, L.A.I. (TU Delft Team Maria Santofimia Navarro; Universiteit Gent); Mohammadi, Mohsen (University of New Brunswick)","","2024","This study explores the evolution of solidification microstructure of a laser powder bed fusion (L-PBF) martensitic stainless steel during solution annealing and aging. Quasi in-situ experiments using electron backscatter diffraction (EBSD) revealed that the finer, more equiaxed microstructure below the melt pool was susceptible to recrystallization and grain growth during solution annealing. The two distinct solidification microstructures below and inside the melt pool converged into a uniform grain morphology after solution annealing and aging processes. Graphical Abstract: (Figure presented.)","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-09","","","Team Maria Santofimia Navarro","","",""
"uuid:b11cc3e4-6e23-4a88-9d5b-ec02f52a3a20","http://resolver.tudelft.nl/uuid:b11cc3e4-6e23-4a88-9d5b-ec02f52a3a20","Martingale solutions to the stochastic thin-film equation in two dimensions","Sauerbrey, M. (TU Delft Analysis)","","2024","We construct solutions to the stochastic thin-film equation with quadratic mobility and Stratonovich gradient noise in the physically relevant dimension d = 2 and allow in particular for solutions with non-full support. The construction relies on a Trotter–Kato time-splitting scheme, which was recently employed in d = 1. The additional analytical challenges due to the higher spatial dimension are overcome using α-entropy estimates and corresponding tightness arguments.","Noise; Stochastic compactness method; Thin-film equation; α-Entropy estimates","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-01","","","Analysis","","",""
"uuid:25a4b40e-a832-4acf-b180-552db6eab78e","http://resolver.tudelft.nl/uuid:25a4b40e-a832-4acf-b180-552db6eab78e","BIM-LADM Amalgamation - A Review","Zamzuri, A. (Universiti Teknologi Malaysia); Abdul Rahman, A. (Universiti Teknologi Malaysia); Hassan, M.I. (Universiti Teknologi Malaysia); van Oosterom, P.J.M. (TU Delft Digital Technologies)","","2024","This paper discussed two International Organization for Standardization (ISO) standards, namely, ISO 19152 – Land Administration Domain Model (LADM) and ISO 16739 – Industry Foundation Classes (IFC), to identify three-dimensional (3D) multi-floor building rights. These days, it is challenging to characterize all multifunctional structures due to the increase in complex buildings. High-rise building land and property information management is recommended through building information modeling (BIM). Countries like the Netherlands, Australia, and Turkey have considered adopting BIM for their land administration. A land administration standard, such as LADM, offers better legal and physical representation in identifying the rights, restrictions, and responsibilities (RRRs) of the spatial units and stakeholders. However, LADM falls short in representing all building elements, such as semantic information and invisible functional spaces. Hence, this paper reviews incorporating additional information from BIM to create a comprehensive three-dimensional building representation including legal information from LADM. Issues and challenges also being highlighted with some recommendations for possible future works.","LADM; BIM/IFC; land administration; multi-floor building; RRRs","en","journal article","","","","","","","","","","","Digital Technologies","","",""
"uuid:72bb8f0a-579b-4454-ac27-dfd86c544bef","http://resolver.tudelft.nl/uuid:72bb8f0a-579b-4454-ac27-dfd86c544bef","Photoimmuno-antimicrobial therapy for Staphylococcus aureus implant infection","van Dijk, Bruce (University Medical Center Utrecht); Oliveira, Sabrina (Universiteit Utrecht); Hooning van Duyvenbode, J. Fred F. (University Medical Center Utrecht); Nurmohamed, F. Ruben H.A. (University Medical Center Utrecht); Mashayekhi, Vida (Universiteit Utrecht); Beltran Hernandez, Irati (Universiteit Utrecht); van Strijp, Jos (University Medical Center Utrecht); de Vor, Lisanne (University Medical Center Utrecht); Aerts, Piet C. (University Medical Center Utrecht); Vogely, H. Charles (University Medical Center Utrecht); Weinans, Harrie (TU Delft Biomaterials & Tissue Biomechanics; University Medical Center Utrecht); van der Wal, Bart C.H. (University Medical Center Utrecht)","","2024","Introduction Implant infections caused by Staphylococcus aureus are responsible for high mortality and morbidity worldwide. Treatment of these infections can be difficult especially when bacterial biofilms are involved. In this study we investigate the potential of infrared photoimmunotherapy to eradicate staphylococcal infection in a mouse model. Methods A monoclonal antibody that targets Wall Teichoic Acid surface components of both S. aureus and its biofilm (4497-IgG1) was conjugated to a photosensitizer (IRDye700DX) and used as photoimmunotherapy in vitro and in vivo in mice with a subcutaneous implant pre-colonized with biofilm of Staphylococcus aureus. A dose of 400 μg and 200 μg of antibody-photosensitizer conjugate 4497-IgG–IRDye700DXwas administered intravenously to two groups of 5 mice. In addition, multiple control groups (vancomycin treated, unconjugated IRDye700DX and IRDye700DX conjugated to a non-specific antibody) were used to verify anti-microbial effects. Results In vitro results of 4497-IgG-IRDye700DX on pre-colonized (biofilm) implants showed significant (p<0.01) colony-forming units (CFU) reduction at a concentration of 5 μg of the antibody-photosensitizer conjugate. In vivo, treatment with 4497-IgG-IRDye700DX showed no significant CFU reduction at the implant infection. However, tissue around the implant did show a significant CFU reduction with 400 μg 4497-IgG-IRDye700DX compared to control groups (p = 0.037). Conclusion This study demonstrated the antimicrobial potential of photoimmunotherapy for selectively eliminating S. aureus in vivo. However, using a solid implant instead of a catheter could result in an increased bactericidal effect of 4497-IgG-IRDye700DX and administration locally around an implant (per operative) could become valuable applications in patients that are difficult to treat with conventional methods. We conclude that photoimmunotherapy could be a potential additional therapy in the treatment of implant related infections, but requires further improvement.","","en","journal article","","","","","","","","","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:87f5a71c-272b-4c71-842d-984cb6980710","http://resolver.tudelft.nl/uuid:87f5a71c-272b-4c71-842d-984cb6980710","Cross-coupled iterative learning control: A computationally efficient approach applied to an industrial flatbed printer","Aarnoudse, Leontine (Eindhoven University of Technology); Kon, Johan (Eindhoven University of Technology); Classens, Koen (Eindhoven University of Technology); van Meer, Max (Eindhoven University of Technology); Poot, Maurice (Eindhoven University of Technology); Tacx, Paul (Eindhoven University of Technology); Strijbosch, Nard (Eindhoven University of Technology); Oomen, T.A.E. (TU Delft Team Jan-Willem van Wingerden; Eindhoven University of Technology)","","2024","Cross-coupled iterative learning control (ILC) can improve the contour tracking performance of manufacturing systems significantly. This paper aims to develop a framework for norm-optimal cross-coupled ILC that enables intuitive tuning of time- and iteration-varying weights of the exact contour error and its tangential counterpart. This leads to an iteration-varying ILC algorithm for which convergence conditions are developed. In addition, a resource-efficient implementation is developed that reduces the computational load significantly and enables the use of long reference signals. The approach is experimentally validated on an industrial flatbed printer.","Contour tracking; Feedforward control; Iterative learning control","en","journal article","","","","","","","","","","","Team Jan-Willem van Wingerden","","",""
"uuid:ea9543e3-3444-4782-b40c-55eb3c208ac7","http://resolver.tudelft.nl/uuid:ea9543e3-3444-4782-b40c-55eb3c208ac7","Natranaerovirga","Sorokin, Dimitry Y. (TU Delft BT/Environmental Biotechnology; Russian Academy of Sciences); Merkerl, Alexander Y. (Russian Academy of Sciences)","Whitman, William (editor)","2024","The genus Natranaerovirga is a member of the class Clostridia. It includes obligately anaerobic, fermentative heterotrophs which whose key metabolic property is the ability to utilizes polygalacturonates as growth substrates. The species of the genus are highly salt-tolerant, chloride-independent, obligate alkaliphiles found in saline soda lakes and soils in Central Asia. The genus currently includes two species: N. pectinivora, the type species of the genus, and N. hydrolytica. The DNA G+C content is 31.3-32.3 % (whole genome sequences).","","en","book chapter","John Wiley & Sons","","","","","","Campus only","","","","BT/Environmental Biotechnology","","",""
"uuid:428d8733-e8e6-42fc-a42d-caa303e146fa","http://resolver.tudelft.nl/uuid:428d8733-e8e6-42fc-a42d-caa303e146fa","Natranaerovirgaceae","Sorokin, Dimitry Y. (TU Delft BT/Environmental Biotechnology; Russian Academy of Sciences); Merkel, Alexander Y. (Russian Academy of Sciences)","Whitman, William (editor)","2024","Natr.an.aer.o.vir.ga’ce.ae N.L. fem. n. Natranaerovirga, the type genus of the family, -aceae ending to denote a family; N.L. fem. pl. n. Natranaerovirgaceae, the Natranaerovirga family. The family Natranaerovirgaceae includes obligately
anaerobic fermentative bacteria from soda lakes. They are highly salt-tolerant alkaliphiles utilizing carbohydrates as energy and carbon source. The family belongs to the order “Lachnospirales,” class Clostridia, and consists of a single genus Natranaerovirga. The family-level status was established by phylogenomic
analysis based on the Genome Taxonomy Database classification (GDTB).
DNA G +C content (mol%): 31.3–32.3 (whole-genome sequence).
Type genus: Natranaerovirga Sorokin et al. 2012, VL145.
The construction sector in the European Union is, however, the highest producer of waste when compared to other economic sectors, accounting for 35% of the total waste generated (Eurostat, 2018). At the same time the creation, running, maintenance, and refurbishment of buildings are highly resource and energy intensive. Up to 50% of globally extracted resources are used to construct buildings and associated infrastructures (UNEP, 2020). Greenhouse gas (GHG) emissions from material extraction, manufacturing of construction products, construction, and renovation of buildings are estimated at 5–12% of total national GHG emissions. Construction and demolition material (CDM) efficiency and construction and demolition waste (CDW) prevention could significantly reduce those emissions.","","en","book chapter","Elsevier","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-12","","","Environmental & Climate Design","","",""
"uuid:7df8dacb-3cff-474c-b1bc-cfed9f6ef3bb","http://resolver.tudelft.nl/uuid:7df8dacb-3cff-474c-b1bc-cfed9f6ef3bb","Contrast-Agnostic Groupwise Registration by Robust PCA for Quantitative Cardiac MRI","Li, Xinqi (Student TU Delft); Zhang, Y. (TU Delft ImPhys/Tao group); Zhao, Y. (TU Delft ImPhys/Tao group); van Gemert, J.C. (TU Delft Pattern Recognition and Bioinformatics); Tao, Q. (TU Delft ImPhys/Tao group)","Camara, Oscar (editor); Puyol-Antón, Esther (editor); Suinesiaputra, Avan (editor); Young, Alistair (editor); Sermesant, Maxime (editor); Tao, Qian (editor); Wang, Chengyan (editor)","2024","Quantitative cardiac magnetic resonance imaging (MRI) is an increasingly important diagnostic tool for cardiovascular diseases. Yet, co-registration of all baseline images within the quantitative MRI sequence is essential for the accuracy and precision of quantitative maps. However, co-registering all baseline images from a quantitative cardiac MRI sequence remains a nontrivial task because of the simultaneous changes in intensity and contrast, in combination with cardiac and respiratory motion. To address the challenge, we propose a novel motion correction framework based on robust principle component analysis (rPCA) that decomposes quantitative cardiac MRI into low-rank and sparse components, and we integrate the groupwise CNN-based registration backbone within the rPCA framework. The low-rank component of rPCA corresponds to the quantitative mapping (i.e. limited degree of freedom in variation), while the sparse component corresponds to the residual motion, making it easier to formulate and solve the groupwise registration problem. We evaluated our proposed method on cardiac T1 mapping by the modified Look-Locker inversion recovery (MOLLI) sequence, both before and after the Gadolinium contrast agent administration. Our experiments showed that our method effectively improved registration performance over baseline methods without introducing rPCA, and reduced quantitative mapping error in both in-domain (pre-contrast MOLLI) and out-of-domain (post-contrast MOLLI) inference. The proposed rPCA framework is generic and can be integrated with other registration backbones.","Groupwise registration; motion correction; Quantitative MRI; Robust PCA","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-02","","","ImPhys/Tao group","","",""
"uuid:6b392146-03b6-41b8-9c04-0a9ba0e0103a","http://resolver.tudelft.nl/uuid:6b392146-03b6-41b8-9c04-0a9ba0e0103a","Decoding Individual and Shared Experiences of Media Perception Using CNN Architectures","Johri, Riddhi (Indian Institute of Technology Gandhinagar); Pandey, Pankaj (Indian Institute of Technology Gandhinagar); Miyapuram, Krishna Prasad (Indian Institute of Technology Gandhinagar); Lomas, J.D. (TU Delft Design Aesthetics)","Waiter, Gordon (editor); Leontidis, Georgios (editor); Morris, Teresa (editor); Lambrou, Tryphon (editor); Oren, Nir (editor); Gordon, Sharon (editor)","2024","The brain is an incredibly complex organ capable of perceiving and interpreting a wide range of stimuli. Depending on individual brain chemistry and wiring, different people decipher the same stimuli differently, conditioned by their life experiences and environment. This study’s objective is to decode how the CNN models capture and learn these differences and similarities in brain waves using three publicly available EEG datasets. While being exposed to a variety of media stimuli, each brain produces unique brain waves with some similarity to other neural signals to the same stimuli. However, to figure out whether our neural models are able to interpret and distinguish the common and unique signals correctly, we employed three widely used CNN architectures to interpret brain signals. We extracted the pre-processed versions of the EEG data and identified the dependency of time windows on feature learning for song and movie classification tasks, along with analyzing the performance of models on each dataset. While the minimum length snippet of 5 s was enough for the personalized model, the maximum length snippet of 30 s proved to be the most efficient in the case of the generalized model. The usage of a deeper architecture, i.e., DeepConvNet was found to be the best for extracting personalized and generalized features with the NMED-T and SEED datasets. However, EEGNet gave a better performance on the NMED-H dataset. Maximum accuracy of 69%, 100%, and 56% was achieved in the case of the personalized model on NMED-T, NMED-H, and SEED datasets, respectively. However, the maximum accuracies dropped to 18%, 37%, and 14% on NMED-T, NMED-H, and SEED datasets, respectively, in the generalized model. We achieved a 5% improvement over the state of the art while examining shared experiences on NMED-T. This marked the outof-distribution generalization problem and signified the role of individual differences in media perception, thus emphasizing the development of personalized models along with generalized models with shared features at a certain level.","EEG; Music and Movie perception; Neural responses; Subjective differences","en","conference paper","Springer","","","","","","","2024-06-02","","","Design Aesthetics","","",""
"uuid:e8654a0b-70ad-4eb7-b476-effd3c809477","http://resolver.tudelft.nl/uuid:e8654a0b-70ad-4eb7-b476-effd3c809477","MRHF: Multi-stage Retrieval and Hierarchical Fusion for Textbook Question Answering","Zhu, P. (TU Delft Web Information Systems); Wang, Zhen (Tokyo Institute of Technology); Okumura, Manabu (Tokyo Institute of Technology); Yang, J. (TU Delft Web Information Systems)","Rudinac, Stevan (editor); Worring, Marcel (editor); Liem, Cynthia (editor); Hanjalic, Alan (editor); Jónsson, Björn Pór (editor); Yamakata, Yoko (editor); Liu, Bei (editor)","2024","Textbook question answering is challenging as it aims to automatically answer various questions on textbook lessons with long text and complex diagrams, requiring reasoning across modalities. In this work, we propose MRHF, a novel framework that incorporates dense passage re-ranking and the mixture-of-experts architecture for TQA. MRHF proposes a novel query augmentation method for diagram questions and then adopts multi-stage dense passage re-ranking with large pretrained retrievers for retrieving paragraph-level contexts. Then it employs a unified question solver to process different types of text questions. Considering the rich blobs and relation knowledge contained in diagrams, we propose to perform multimodal feature fusion over the retrieved context and the heterogeneous diagram features. Furthermore, we introduce the mixture-of-experts architecture to solve the diagram questions to learn from both the rich text context and the complex diagrams and mitigate the possible negative effects between features of the two modalities. We test the framework on the CK12-TQA benchmark dataset, and the results show that MRHF outperforms the state-of-the-art results in all types of questions. The ablation and case study also demonstrates the effectiveness of each component of the framework.","Information Retrieval; Mixture-of-Experts; Textbook Question Answering","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-05","","","Web Information Systems","","",""
"uuid:c755c2bd-92d8-499c-94e6-fc01e14aa7d6","http://resolver.tudelft.nl/uuid:c755c2bd-92d8-499c-94e6-fc01e14aa7d6","Influential Node Detection on Graph on Event Sequence","Lu, Zehao (Universiteit Utrecht); Wang, Shihan (Universiteit Utrecht); Ren, Xiao Long (University of Electronic Science and Technology of China); Costas, Rodrigo (Universiteit Leiden); Metze, T.A.P. (TU Delft Organisation & Governance)","Cherifi, Hocine (editor); Rocha, Luis M. (editor); Cherifi, Chantal (editor); Donduran, Murat (editor)","2024","Numerous research efforts have centered on identifying the most influential players in networked social systems. This problem is immensely crucial in the research of complex networks. Most existing techniques either model social dynamics on static networks only and ignore the underlying time-serial nature or model the social interactions as temporal edges without considering the influential relationship between them. In this paper, we propose a novel perspective of modeling social interaction data as the graph on event sequence, as well as the Soft K-Shell algorithm that analyzes not only the network’s local and global structural aspects, but also the underlying spreading dynamics. The extensive experiments validated the efficiency and feasibility of our method in various social networks from real world data. To the best of our knowledge, this work is the first of its kind.","Dynamics of Network; Influential Node Detection; Non-epidemic Spreading","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-21","","","Organisation & Governance","","",""
"uuid:fa00f697-cf80-4fd9-945e-77080f85ea51","http://resolver.tudelft.nl/uuid:fa00f697-cf80-4fd9-945e-77080f85ea51","Relaxometry Guided Quantitative Cardiac Magnetic Resonance Image Reconstruction","Zhao, Y. (TU Delft ImPhys/Tao group); Zhang, Y. (TU Delft Pavement Engineering); Tao, Q. (TU Delft ImPhys/Tao group)","Camara, Oscar (editor); Puyol-Antón, Esther (editor); Suinesiaputra, Avan (editor); Young, Alistair (editor); Sermesant, Maxime (editor); Tao, Qian (editor); Wang, Chengyan (editor)","2024","Deep learning-based methods have achieved prestigious performance for magnetic resonance imaging (MRI) reconstruction, enabling fast imaging for many clinical applications. Previous methods employ convolutional networks to learn the image prior as the regularization term. In quantitative MRI, the physical model of nuclear magnetic resonance relaxometry is known, providing additional prior knowledge for image reconstruction. However, traditional reconstruction networks are limited to learning the spatial domain prior knowledge, ignoring the relaxometry prior. Therefore, we propose a relaxometry-guided quantitative MRI reconstruction framework to learn the spatial prior from data and the relaxometry prior from MRI physics. Additionally, we also evaluated the performance of two popular reconstruction backbones, namely, recurrent variational networks (RVN) and variational networks (VN) with U-Net. Experiments demonstrate that the proposed method achieves highly promising results in quantitative MRI reconstruction.","Caridac MRI; Image reconstruction; Quantitative mapping; Relaxometry","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-02","","","ImPhys/Tao group","","",""
"uuid:a07ffbc9-2348-4c94-98c5-c17d7f25646b","http://resolver.tudelft.nl/uuid:a07ffbc9-2348-4c94-98c5-c17d7f25646b","Optimizing Neonatal Respiratory Support Through Network Modeling: A New Approach to Post-birth Infant Care","Sebahi, Yassine (Vrije Universiteit Amsterdam); Jabeen, F. (TU Delft Safety and Security Science); Treur, Jan (Vrije Universiteit Amsterdam); Taal, H. Rob (Erasmus MC); Roelofsma, Peter H.M.P. (Erasmus MC)","Cherifi, Hocine (editor); Rocha, Luis M. (editor); Cherifi, Chantal (editor); Donduran, Murat (editor)","2024","This paper presents an approach to enhancing neonatal care through the application of artificial intelligence (AI). Utilizing network-oriented modeling methodologies, the study aims to develop a network model to improve outcomes in neonatal respiratory support. The introduction sets the stage by outlining the significance of neonatal respiratory support and the challenges faced in this domain. The literature review delves into the existing body of work, highlighting the gaps and the need for a network modeling approach. The network-oriented modeling approach provides a robust framework that captures various states, such as world states, doctors’ mental states, and AI coach states, facilitating a comprehensive understanding of the complex interactions in neonatal respiratory support. Through Matlab simulations, the study investigates multiple scenarios, from optimal conditions to deviations from standard protocol. The main contribution focuses on the introduction of an AI coach, which serves as a real-time intervention mechanism to fill in the doctor's knowledge gaps. The research serves as a seminal work in the intersection of artificial intelligence and healthcare, demonstrating the potential of network-oriented modeling in improving patient outcomes and streamlining healthcare protocols.","Adaptive network model; AI Coach; Infant Care","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-21","","","Safety and Security Science","","",""
"uuid:1427bcc2-4376-4ad2-9979-142aec064fc9","http://resolver.tudelft.nl/uuid:1427bcc2-4376-4ad2-9979-142aec064fc9","Get Me Out of This Payment! Bailout: An HTLC Re-routing Protocol","Ersoy, O. (TU Delft Cyber Security; Radboud Universiteit Nijmegen); Moreno-Sanchez, Pedro (IMDEA Software Institute); Roos, S. (TU Delft Data-Intensive Systems)","Baldimtsi, Foteini (editor); Cachin, Christian (editor)","2024","The Lightning Network provides almost-instant payments to its parties. In addition to direct payments requiring a shared payment channel, parties can pay each other in the form of multi-hop payments via existing channels. Such multi-hop payments rely on a 2-phase commit protocol to achieve balance security; that is, no honest intermediary party loses her coins. Unfortunately, failures or attacks in this 2-phase commit protocol can lead to coins being committed (locked) in a payment for extended periods of time (in the order of days in the worst case). During these periods, parties cannot go offline without losing funds due to their existing commitments, even if they use watchtowers. Furthermore, they cannot use the locked funds for initiating or forwarding new payments, reducing their opportunities to use their coins and earn fees. We introduce Bailout, the first protocol that allows intermediary parties in a multi-hop payment to unlock their coins before the payment completes by re-routing the payment over an alternative path. We achieve this by creating a circular payment route starting from the intermediary party in the opposite direction of the original payment. Once the circular payment is locked, both payments are canceled for the intermediary party, which frees the coins of the corresponding channels. This way, we create an alternative route for the ongoing multi-hop payment without involving the sender or receiver. The parties on the alternative path are incentivized to participate through fees. We evaluate the utility of our protocol using a real-world Lightning Network snapshot. Bailouts may fail due to insufficient balance in alternative paths used for re-routing. We find that attempts of a node to bailout typically succeed with a probability of more than 94% if at least one alternative path exists.","","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-30","","","Cyber Security","","",""
"uuid:f079cc69-8f14-4f2f-a7e5-28a57c5251a7","http://resolver.tudelft.nl/uuid:f079cc69-8f14-4f2f-a7e5-28a57c5251a7","Comparison of Cloud-to-Cloud Distance Calculation Methods: Is the Most Complex Always the Most Suitable?","Diaz, Vitali (TU Delft Digital Technologies); van Oosterom, P.J.M. (TU Delft Digital Technologies); Meijers, B.M. (TU Delft Digital Technologies); Verbree, E. (TU Delft Digital Technologies); Ahmed, N. (Netherlands eScience Center); Van Lankveld, T. (Netherlands eScience Center)","Kolbe, Thomas H. (editor); Donaubauer, Andreas (editor); Beil, Christof (editor)","2024","Cloud-to-cloud (C2C) distance calculations are frequently performed as an initial stage in change detection and spatiotemporal analysis with point clouds. There are various methods for calculating C2C distance, also called inter-point distance, which refers to the distance between two corresponding point clouds captured at different epochs. These methods can be classified from simple to complex, with more steps and calculations required for the latter. Generally, it is assumed that a more complex method will result in a more precise calculation of inter-point distance, but this assumption is rarely evaluated. This paper compares eight commonly used methods for calculating the inter-point distance. The results indicate that the accuracy of distance calculations depends on the chosen method and a characteristic related to the point density, the intra-point distance, which refers to the distance between points within the same point cloud. The results are helpful for applications that analyze spatiotemporal point clouds for change detection. The findings will be helpful in future applications, including analyzing spatiotemporal point clouds for change detection.","Cloud-to-cloud distance calculations; Change detection; Spatiotemporal analysis","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-21","","","Digital Technologies","","",""
"uuid:c1f7dcf7-c534-4b01-aa2b-e6f27e5d0a17","http://resolver.tudelft.nl/uuid:c1f7dcf7-c534-4b01-aa2b-e6f27e5d0a17","Extras and Premiums: Local PCN Routing with Redundancy and Fees","Shen, Y.S. (TU Delft Data-Intensive Systems); Ersoy, O. (TU Delft Cyber Security; Radboud Universiteit Nijmegen); Roos, S. (TU Delft Data-Intensive Systems)","Baldimtsi, Foteini (editor); Cachin, Christian (editor)","2024","Payment channel networks (PCNs) are a promising solution to the blockchain scalability problem. In PCNs, a sender can route a multi-hop payment to a receiver via intermediaries. Yet, Lightning, the only prominent payment channel network, has two major issues when it comes to multi-hop payments. First, the sender decides on the path without being able to take local capacity restrictions into account. Second, due to the atomicity of payments, any failure in the path causes a failure of the complete payment. In this work, we propose Forward-Update-Finalize (FUFi): The sender adds redundancy to a locally routed payment by initially committing to sending a higher amount than the actual payment value. Intermediaries decide on how to forward a received payment, potentially splitting it between multiple paths. If they cannot forward the total payment value, they may reduce the amount they forward. If paths for sufficient funds are found, the receiver and sender jointly select the paths and amounts that will actually be paid. Payment commitments are updated accordingly and fulfilled. In order to guarantee atomicity and correctness of the payment value, we use a modified Hashed Time Lock Contract (HTLC) for paying that requires both the sender and the receiver to provide a secret preimage. FUFi furthermore is the first local routing protocol to include fees and specify a fee policy to intermediaries on how to determine their fair share of fees. We prove that the proposed protocol achieves all key security properties of multi-hop payments. Furthermore, our evaluation on both synthetic and real-world Lightning topologies shows FUFi outperforms existing algorithms in terms of fraction of successful payments by about 10%.","","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-30","","","Data-Intensive Systems","","",""
"uuid:7f46a0aa-6bd9-4efb-b611-eec71f021c8c","http://resolver.tudelft.nl/uuid:7f46a0aa-6bd9-4efb-b611-eec71f021c8c","Introducing the 3DCityDB-Tools Plug-In for QGIS","Agugiaro, G. (TU Delft Urban Data Science); Pantelios, Konstantinos (Student TU Delft); León Sánchez, C.A. (TU Delft Urban Data Science); Yao, Zhihang (virtualcitySYSTEMS GmbH); Nagel, Claus (virtualcitySYSTEMS GmbH)","Kolbe, Thomas H. (editor); Donaubauer, Andreas (editor); Beil, Christof (editor)","2024","This paper introduces a new plug-in for QGIS that allows to connect to the free and open-source 3D City Database to load CityGML data, structured as classic GIS layers, into QGIS. The user is therefore not required to be a CityGML specialist, or a SQL expert, as the plug-in takes care of hiding from the user most of the complexity in terms of underlying data model and database schema implementation. The user can therefore load CityGML thematic “layers” (e.g. for buildings, bridges, vegetation, terrain, etc.), explore their geometries in 2D and 3D and access and edit the associated attributes. At the same time, depending on the user privileges, it is possible to delete features from the database using either normal QGIS editing tools, or a “bulk delete” tool, also included. The plug-in is composed of two parts, a server-side one, which must be installed in the 3D City Database instance, and the client-side one, which runs as a QGIS plug-in in strict sense. A GUI-based tool is also provided for database administrators in order to install/uninstall the database-side part of the plug-in, and manage users and their privileges. All in all, the 3DCityDB-Tools plug-in facilitates the access to CityGML data for GIS practitioners from heterogeneous fields and expertise with the common denominator being the well-known QGIS environment.","3D city database; QGIS; CityGML; CityJSON; Plug-in","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-21","","","Urban Data Science","","",""
"uuid:13a2317d-52f4-403e-b4f8-2dd47d747bb9","http://resolver.tudelft.nl/uuid:13a2317d-52f4-403e-b4f8-2dd47d747bb9","Defending Against Free-Riders Attacks in Distributed Generative Adversarial Networks","Zhao, Z. (TU Delft Data-Intensive Systems); Huang, J. (TU Delft Data-Intensive Systems); Chen, Lydia Y. (TU Delft Data-Intensive Systems); Roos, S. (TU Delft Data-Intensive Systems)","Baldimtsi, Foteini (editor); Cachin, Christian (editor)","2024","Generative Adversarial Networks (GANs) are increasingly adopted by the industry to synthesize realistic images using competing generator and discriminator neural networks. Due to data not being centrally available, Multi-Discriminator (MD)-GANs training frameworks employ multiple discriminators that have direct access to the real data. Distributedly training a joint GAN model entails the risk of free-riders, i.e., participants that aim to benefit from the common model while only pretending to participate in the training process. In this paper, we first define a free-rider as a participant without training data and then identify three possible actions: not training, training on synthetic data, or using pre-trained models for similar but not identical tasks that are publicly available. We conduct experiments to explore the impact of these three types of free-riders on the ability of MD-GANs to produce images that are indistinguishable from real data. We consequently design a defense against free-riders, termed DFG, which compares the performance of client discriminators to reference discriminators at the server. The defense allows the server to evict clients whose behavior does not match that of a benign client. The result shows that even when 67% of the clients are free-riders, the proposed DFG can improve synthetic image quality by up to 70.96%, compared to the case of no defense.","Anomaly detection; Defense; Free-rider attack; Multi-Discriminator GANs","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-30","","","Data-Intensive Systems","","",""
"uuid:50bda3d3-d8ec-492e-8f28-f3f5729a7c73","http://resolver.tudelft.nl/uuid:50bda3d3-d8ec-492e-8f28-f3f5729a7c73","Geographic Information Systems for Circular Cities and Regions","Tsui, T.P.Y. (TU Delft Environmental & Climate Design); Wuyts, Wendy (Norwegian University of Science and Technology (NTNU)); Van den Berghe, K.B.J. (TU Delft Urban Development Management)","De Wolf, Catherine (editor); Çetin, Sultan (editor); Bocken, Nancy (editor)","2024","A geographic information system (GIS) stores, manipulates, analyses, and visualises spatial data. GIS enables the mapping of building elements and components and can optimise the location of facilities for circular activities, thus contributing to the closing of material loops and the spatial development of circular cities and regions. This chapter presents use cases of GIS in the circular built environment, with examples from academia, industry, and government. Academics use GIS data for urban mining studies to estimate the location and availability of secondary construction materials. Businesses in industry use GIS analysis to inform the facility location of circular construction hubs and (reverse) logistics. Governments use GIS to monitor and assess the circular spatial development potential of their (industrial) territories. In order to integrate GIS into circular economy solutions, improvements need to be made in making spatial data available and in presenting findings that emerge from it. Finally, present enthusiasm for GIS tools should be balanced by a deeper understanding of the connection between digital tools and governance decisions.","geographic information systems; GIS governance tools; spatial data; spatial analysis; circular cities","en","book chapter","Springer","","","","","The work of Tanya Tsui is funded by the European Union’s Horizon 2020 Research and Innovation Programme under grant agreement No 821479. The work of Wendy Wuyts is funded by the Norwegian Research Council, as part of the circWOOD project (project no: 328698).","","","","","Environmental & Climate Design","","",""
"uuid:d8cc86d0-4c6b-481c-baed-fae5b285d72c","http://resolver.tudelft.nl/uuid:d8cc86d0-4c6b-481c-baed-fae5b285d72c","Astral Body: A Virtual Reality Game for Body Ownership Investigation","Zhou, Yimin (Student TU Delft); Gillavry, Merlijn Mac (Student TU Delft); Yang, Pengzhi (Student TU Delft); Xu, Zihao (Student TU Delft); Zhang, Baitian (Student TU Delft); Bidarra, Rafael (TU Delft Computer Graphics and Visualisation)","Dondio, Pierpaolo (editor); Rocha, Mariana (editor); Brennan, Attracta (editor); Schönbohm, Avo (editor); de Rosa, Francesca (editor); Koskinen, Antti (editor); Bellotti, Francesco (editor)","2024","As one of the most disruptive human-computer interaction techniques, Virtual Reality (VR) provides a novel way to examine human movements, e.g. when investigating Body Ownership (BO) in the field of cognitive sciences, especially when the visual output diverges from real-world actions. Previous research in BO uses questionnaires and brain imaging, where the former is a highly subjective metric, and the latter is very costly in time, money, and personnel. To answer the question How can a VR serious game help overcome current challenges of BO assessment?, we designed Astral Body, a VR game that helps cognitive science researchers assess people’s level of BO. In the game, players are asked to grab ‘flying collectibles’ coming from a portal in space. Researchers can inject different types and levels of asynchrony into the arms of the visualized avatar, thus affecting the players’ BO experience and perception. Players, in turn, can also report whenever they perceive possible mismatched avatar behavior. In addition, researchers can analyze player data, including looking for unconscious responses, e.g. small adjustments in physical movements to mitigate injected asynchrony. Preliminary results from playtesting and qualitative analysis of Astral Bodyindicate that a VR game can effectively help researchers investigate BO phenomena.","Body ownership; Control asynchrony; Virtual reality","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-03","","","Computer Graphics and Visualisation","","",""
"uuid:4cc2587f-db49-49f6-8bd2-8fd2335f8f15","http://resolver.tudelft.nl/uuid:4cc2587f-db49-49f6-8bd2-8fd2335f8f15","Experimental Parameter Identification of Nonlinear Mechanical Systems via Meta-heuristic Optimisation Methods","Martinelli, Cristiano (University of Strathclyde); Coraddu, A. (TU Delft Ship Design, Production and Operations); Cammarano, Andrea (University of Glasgow)","Brake, Matthew R.W. (editor); Renson, Ludovic (editor); Kuether, Robert J. (editor); Tiso, Paolo (editor)","2024","Meta-heuristic optimisation algorithms are high-level procedures designed to discover near-optimal solutions to optimisation problems. These strategies can efficiently explore the design space of the problems; therefore, they perform well even when incomplete and scarce information is available. Such characteristics make them the ideal approach for solving nonlinear parameter identification problems from experimental data. Nonetheless, selecting the meta-heuristic optimisation algorithm remains a challenging task that can dramatically affect the required time, accuracy, and computational burden to solve such identification problems. To this end, we propose investigating how different meta-heuristic optimisation algorithms can influence the identification process of nonlinear parameters in mechanical systems. Two mature meta-heuristic optimisation methods, i.e. particle swarm optimisation (PSO) method and genetic algorithm (GA), are used to identify the nonlinear parameters of an experimental two-degrees-of-freedom system with cubic stiffness. These naturally inspired algorithms are based on the definition of an initial population: this advantageously increases the chances of identifying the global minimum of the optimisation problem as the design space is searched simultaneously in multiple locations. The results show that the PSO method drastically increases the accuracy and robustness of the solution, but it requires a quite expensive computational burden. On the contrary, the GA requires similar computational effort but does not provide accurate solutions.","Experimental nonlinear analysis; Meta-heuristic optimisation; Nonlinear dynamics; Nonlinear frequency response; Parameter identification","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-19","","","Ship Design, Production and Operations","","",""
"uuid:803b5163-e37c-49f1-bac0-4ad663b23e9a","http://resolver.tudelft.nl/uuid:803b5163-e37c-49f1-bac0-4ad663b23e9a","Application of Axiomatic Design in Engineering: Designing a Smart Medical Cast: Increasing Robustness by Decreasing Information","Heijne, Tim (Hogeschool Utrecht); Kruijer, Mitch (Hogeschool Utrecht); Kylar, Jakub (Hogeschool Utrecht); Spauwen, Lennard (Hogeschool Utrecht); Thomassen, K.E. (TU Delft Medical Instruments & Bio-Inspired Technology; Hogeschool Utrecht); Puik, Erik (Fontys University of Applied Sciences)","Puik, Erik (editor); Cochran, David S. (editor); Foley, Joseph Timothy (editor); Foith-Förster, Petra (editor)","2024","By applying Axiomatic Design, a Smart Medical Cast was developed to provide patients, who are suffering from forearm fractures, with a personalized healing process. The device monitors the overall healing status and three complications, which are: Muscle Atrophy, Compartment Syndrome, and Deep Vein Thrombosis. In the conceptual phase, desk research has been performed to find biomarkers that correlate with the monitored processes. Per biomarker, a measuring principle has been designed and these combined formed the design of the smart medical cast. Following the design phase, two tests were performed on healthy individuals to measure the robustness in a real application. The first test focused on correctly measuring the biomarkers and further specifying the sensor specifications. For the second test, a new prototype was used to determine correlations between the measured data and the monitored process and the impact of application during the casting process. The test results show that the measuring system can measure the biomarkers within the expected range, except for bone density. No significant impact on the casting process was measured. The Smart Medical Cast has only been evaluated in situations without a fracture, the next step will be to test the measurables in an environment with a fracture.","Axiomatic Design; Information Axiom; Smart Medical Cast","en","conference paper","Springer","","","","","","","2024-06-16","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:69a47953-3354-4bee-866a-c43cf3cd4154","http://resolver.tudelft.nl/uuid:69a47953-3354-4bee-866a-c43cf3cd4154","A Review of Climate and Resident-Oriented Renovation Processes: A Framework for Just Decision Support Systems","Ricci, Diletta (TU Delft Design & Construction Management); Konstantinou, T. (TU Delft Architectural Technology); Visscher, H.J. (TU Delft Design & Construction Management)","Littlewood, John R. (editor); Jain, Lakhmi (editor); Howlett, Robert J. (editor)","2024","The renovation of existing buildings is widely recognized as a powerful strategy for reducing emissions and land use. However, when it comes to residential buildings, the socio-technical challenges are particularly complex. The necessity and urgency of increasing energy efficiency often lead to retrofit processes that overlook residents’ needs and fail to consider the impact of renovation techniques on their lives. This study conducts a systematic and interdisciplinary literature review to explore how and to what extent social aspects, particularly residents and their needs, are considered in building renovations. An analysis of 40 studies from the Web of Science and Scopus databases is presented. The holistic overview focuses on two interrelated aspects: the orientation of decision-making processes towards residents and social components of multi-stakeholder involvement, and the relationship and interaction between design choices and residents. By doing so, the review enables a collection of meaningful and heterogeneous criteria for process management and retrofit solutions selection. Recognizing the existing gaps in the literature and clarifying relevant criteria, this review can help identify areas that require further research and intervention.","Renovation processes; End-users; Decision-making; Technology adoption; Systematic review","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-07","","","Design & Construction Management","","",""
"uuid:01b73100-531d-4923-8c36-bcbc4b4b1855","http://resolver.tudelft.nl/uuid:01b73100-531d-4923-8c36-bcbc4b4b1855","A matrix-free parallel solution method for the three-dimensional heterogeneous Helmholtz equation","Chen, J. (TU Delft Numerical Analysis); Dwarka, V.N.S.R. (TU Delft Numerical Analysis); Vuik, Cornelis (TU Delft Delft Institute of Applied Mathematics)","","2024","The Helmholtz equation is related to seismic exploration, sonar, antennas, and medical imaging applications. It is one of the most challenging problems to solve in terms of accuracy and convergence due to the scalability issues of the numerical solvers. For 3D large-scale applications, high-performance parallel solvers are also needed. In this paper, a matrix-free parallel iterative solver is presented for the three-dimensional (3D) heterogeneous Helmholtz equation. We consider the preconditioned Krylov subspace methods for solving the linear system obtained from finite-difference discretization. The Complex Shifted Laplace Preconditioner (CSLP) is employed since it results in a linear increase in the number of iterations as a function of the wavenumber. The preconditioner is approximately inverted using one parallel 3D multigrid cycle. For parallel computing, the global domain is partitioned blockwise. The matrix-vector multiplication and preconditioning operator are implemented in a matrix-free way instead of constructing large, memory-consuming coefficient matrices. Numerical experiments of 3D model problems demonstrate the robustness and outstanding strong scaling of our matrix-free parallel solution method. Moreover, the weak parallel scalability indicates our approach is suitable for realistic 3D heterogeneous Helmholtz problems with minimized pollution error.","Helmholtz equation; parallel computation; matrix-free; geometric multigrid; preconditioner; scalability","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-15","","","Numerical Analysis","","",""
"uuid:8274d563-959c-474f-979d-878bfb0b1790","http://resolver.tudelft.nl/uuid:8274d563-959c-474f-979d-878bfb0b1790","A network analysis of factors influencing the purchase intentions for refurbished electronics","Wallner, T.S. (TU Delft Responsible Marketing and Consumer Behavior); Haslbeck, Jonas M.B. (Universiteit Maastricht; Universiteit van Amsterdam); Magnier, L.B.M. (TU Delft Responsible Marketing and Consumer Behavior); Mugge, R. (TU Delft Responsible Marketing and Consumer Behavior; Universiteit van Amsterdam)","","2024","Refurbishment can extend the lifetime of an electronic product and reduce its environmental footprint. However, consumers often perceive refurbished electronics as less attractive than new ones, resulting in lower purchase intentions. While prior research has identified several factors that influence consumer choices regarding refurbished electronics, we lack understanding of the interrelations between the different factors related to the consumer, product and context. To model the complex interplay of the factors involved in the intention to purchase refurbished speakers and earbuds, we conducted a survey with 1801 participants. A network encompassing both product categories revealed that the most central factors influencing the intention to purchase refurbished electronics were the product category, the perceived risk and the perception that refurbished electronics are uncomfortable to use because they remind the consumer of their prior user (territorial contamination). For refurbished earbuds, participants' concerns about territorial and hygienic contamination had the strongest negative relations to purchase intentions. For refurbished speakers, the purchase intentions were most negatively related to their perceived risk and positively related to their financial attractiveness. Hence, strategies aiming to enhance the consumer acceptance of refurbished electronics should aim at reducing risks and contamination concerns. Potential strategies to reduce the risks associated with refurbished electronics are discussed.","Refurbished electronics; Consumer acceptance; Network analysis; Circular economy; Sustainable consumer behaviour","en","journal article","","","","","","","","","","","Responsible Marketing and Consumer Behavior","","",""
"uuid:26134021-9159-423c-a8b1-5bcf5621938b","http://resolver.tudelft.nl/uuid:26134021-9159-423c-a8b1-5bcf5621938b","Statistically Distinct Plans for Multi-Objective Task Assignment","Wilde, N. (TU Delft Learning & Autonomous Control); Alonso-Mora, J. (TU Delft Learning & Autonomous Control)","","2024","We study the problem of finding statistically distinct plans for stochastic task assignment problems such as online multi-robot pickup and delivery (MRPD) when facing multiple competing objectives. In many real-world settings robot fleets do not only need to fulfil delivery requests, but also have to consider auxiliary objectives such as energy efficiency or avoiding human-centered work spaces. We pose MRPD as a multi-objective optimization problem where the goal is to find MRPD policies that yield different trade-offs between given objectives. There are two main challenges: 1) MRPD is computationally hard, which limits the number of trade-offs that can reasonably be computed, and 2) due to the random task arrivals, one needs to consider statistical variance of the objective values in addition to the average. We present an adaptive sampling algorithm that finds a set of policies which i) are approximately optimal, ii) approximate the set of all optimal solutions, and iii) are statistically distinguishable. We prove completeness and adapt a state-of-the-art MRPD solver to the multi-objective setting for three example objectives. In a series of simulation experiments we demonstrate the advantages of the proposed method compared to baseline approaches and show its robustness in a sensitivity analysis. The approach is general and could be adapted to other multi-objective task assignment and planning problems under uncertainty.","Costs; Linear programming; Multi-Objective Optimization; Multi-Robot Task Assignment; Optimization; Path Planning for Multiple Mobile Robots; Pickup and Delivery; Planning; Quality of service; Robots; Task analysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-29","","","Learning & Autonomous Control","","",""
"uuid:2670fc77-a436-4370-be2a-0cdc055907f1","http://resolver.tudelft.nl/uuid:2670fc77-a436-4370-be2a-0cdc055907f1","On the Trajectory of a Light Small Rigid Body in an Incompressible Viscous Fluid","Bravin, M. (TU Delft Analysis); Nečasová, Šárka (Czech Academy of Sciences)","","2024","In this paper, we study the dynamics of a small rigid body in a viscous incompressible fluid in dimension two and three. More precisely we investigate the trajectory of the rigid body in the limit when its mass and its size tend to zero. We show that the velocity of the center of mass of the rigid body coincides with the background fluid velocity in the limit. We are able to consider the limit when the volume of the rigid bodies converges to zero while their densities are a fixed constant.","Asymptotic limit; Fluid-structure interaction; Navier-Stokes; PDEs; Rigid body","en","journal article","","","","","","","","","","","Analysis","","",""
"uuid:5a701bac-3aba-42fe-b2f1-360c0cb15d74","http://resolver.tudelft.nl/uuid:5a701bac-3aba-42fe-b2f1-360c0cb15d74","The Role of Battery Energy Storage Systems and Market Integration in Indonesia’s Zero Emission Vision","Indra Al Irsyad, Muhammad (TU Delft Energie and Industrie; National Research and Innovation Agency); Phoumin, Han (Economic Research Institute for ASEAN and East Asia); Nepal, Rabindra (University of Wollongong)","","2024","Indonesia has committed to achieving net zero emissions by 2060, with emphasis on the electricity sector eliminating harmful gas emissions by that year. Using the Balmorel energy model, this study simulated the impact of the target on optimal capacity expansion, electricity production mix, emissions, and electricity supply costs across 230 grid systems. The results indicate the substantial benefits of integrating solar photovoltaics (PV) and Battery Energy Storage Systems (BESS). Solar energy sees a remarkable capacity increase, reaching 288.7 GWp by 2060. Other renewable sources, including hydro and wind energies, also exhibited significant growth, increasing from 6.2 GW and 130 MW in 2030 to 29.4 GW and 22.5 GW, respectively, by 2060. Intermittent renewables’ growth necessitates a rise in BESS capacity from 1 MW in 2022 to 73.4 GW by 2060. The study also underscores to replace phased-out coal-fired power plants with nuclear power by 2060. The study concludes with policy implications arising from these findings.","Balmorel energy model; Electricity production cost; Power plant expansion; Regional electricity systems; Super grids","en","book chapter","Springer","","","","","","","","","","Energie and Industrie","","",""
"uuid:d052fe1b-9d36-4030-8163-24ea515a5921","http://resolver.tudelft.nl/uuid:d052fe1b-9d36-4030-8163-24ea515a5921","Fingerprinting of Cellular Infrastructure Based on Broadcast Information","Bhattacharjee, A.K. (TU Delft Networked Systems); Cecconello, S. (TU Delft Cyber Security); Kuipers, F.A. (TU Delft Networked Systems); Smaragdakis, G. (TU Delft Cyber Security)","Tsudik, Gene (editor); Conti, Mauro (editor); Liang, Kaitai (editor); Smaragdakis, Georgios (editor)","2024","To avoid exploitation of known vulnerabilities, it is standard security practice to not disclose any model information regarding the antennas used in cellular infrastructure. However, in this work, we show that end-user devices receive enough information to infer, with high accuracy, the model-family of antennas. We demonstrate how low-cost hardware and software setups can fingerprint the cellular infrastructure of whole regions within a few minutes by only listening to cellular broadcast messages. To show the effectiveness and hence risk of such fingerprinting, we collected an extensive dataset of broadcast messages from three different countries. We then trained a machine-learning model to classify broadcast messages based on the model-family they belong to. Our results reveal a worryingly high average accuracy of 97% for model-family classification. We further discuss how inferring the model-family with such high accuracy can lead to a class of identification attacks on cellular infrastructure and we subsequently suggest countermeasures to mitigate the fingerprint effectiveness.","","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-15","","","Networked Systems","","",""
"uuid:2caaaa11-b205-4021-8f34-c6d5ad8f38a7","http://resolver.tudelft.nl/uuid:2caaaa11-b205-4021-8f34-c6d5ad8f38a7","cjdb: A Simple, Fast, and Lean Database Solution for the CityGML Data Model","Powałka, Leon (Student TU Delft); Poon, Chris (Student TU Delft); Xia, Yitong (Student TU Delft); Meines, Siebren (Student TU Delft); Yan, Lan (Student TU Delft); Cai, Yuduan (Student TU Delft); Stavropoulou, G. (TU Delft Urban Data Science); Dukai, B. (3DGI); Ledoux, H. (TU Delft Urban Data Science)","Kolbe, Thomas H. (editor); Donaubauer, Andreas (editor); Beil, Christof (editor)","2024","When it comes to storing 3D city models in a database, the implementation of the CityGML data model can be quite demanding and often results in complicated schemas. As an example, 3DCityDB, a widely used solution, depends on a schema having 66 tables, mapping closely the CityGML architecture. In this paper, we propose an alternative (called ‘cjdb’) for storing CityGML models efficiently in PostgreSQL with a much simpler table structure and data model design (only 3 tables are necessary). This is achieved by storing the attributes and geometries of the objects directly in JSON. In the case of the geometries we thus adopt the Simple Feature paradigm and we use the structure of CityJSON. We compare our solution against 3DCityDB with large real-world 3D city models, and we find that cjdb has significantly lower demands in storage space (around a factor of 10), allows for faster import/export of data, and has a comparable data retrieval speed with some queries being faster and some slower. The accompanying software (importer and exporter) is available at https://github.com/cityjson/cjdb/ under a permissive open-source license.","CityGML; 3DCityDB; 3D modelling; DBMS; CityJSON","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-21","","","Urban Data Science","","",""
"uuid:7a653799-e28e-407c-a411-fb34060e0b70","http://resolver.tudelft.nl/uuid:7a653799-e28e-407c-a411-fb34060e0b70","A Short Note on Solving Partial Differential Equations Using Convolutional Neural Networks","Grimm, Viktor (University of Cologne); Heinlein, A. (TU Delft Numerical Analysis); Klawonn, Axel (University of Cologne)","Dostal, Zdenek (editor); Kozubek, Tomas (editor); Klawonn, Axel (editor); Pavarino, Luca F. (editor); Widlund, Olof B. (editor); Langer, Ulrich (editor); Sístek, Jakub (editor)","2024","Solving partial differential equations (PDEs) is a common task in numerical mathematics and scientific computing. Typical discretization schemes, for example, finite element (FE), finite volume (FV), or finite difference (FD) methods, have the disadvantage that the computations have to be repeated once the boundary conditions (BCs) or the geometry change slightly; typical examples requiring the solution of many similar problems are time-dependent and inverse problems or uncertainty quantification.","","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-05","","","Numerical Analysis","","",""
"uuid:78640ecc-ad2a-490c-8783-912f4047d488","http://resolver.tudelft.nl/uuid:78640ecc-ad2a-490c-8783-912f4047d488","Analysis of the Boundary Layer on a Highly Flexible Wing Based on Infrared Thermography Measurements","Mertens, C. (TU Delft Aerodynamics); Grille Guerra, A. (TU Delft Aerodynamics); van Oudheusden, B.W. (TU Delft Aerodynamics); Fehrs, Michael (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Ritter, Markus R. (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR))","","2024","The effects of the wing skin distortion on the boundary layer of a highly flexible wing are analyzed in a wind tunnel experiment using infrared thermography measurements. Considerable differences in the boundary layer flow are observed when comparing the sections of the wing near the ribs, where the design shape of the wing is preserved, and in between the ribs. At the spanwise locations between the ribs, the sectional wing shape distorts and triggers boundary layer transition close to the leading edge. The differences between the design behavior of the wing and the experimental results of the boundary layer analysis demonstrate the need for considering the skin deformation and its effects on the boundary layer flow when designing highly flexible wings.","differential infrared thermography; laminar separation bubble; Pazy wing; wind tunnel test","en","book chapter","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-01","","","Aerodynamics","","",""
"uuid:2d781bd9-9cb1-41d4-bb34-61d2b8f565b7","http://resolver.tudelft.nl/uuid:2d781bd9-9cb1-41d4-bb34-61d2b8f565b7","The Cyclic Behavior of I-Shaped Steel Deep Beams Reinforced with CFRP","Mohabeddine, A.I. (TU Delft Steel & Composite Structures; Universidade do Porto); Eshaghi, Cyrus (Universidade do Porto); Correia, José (Universidade do Porto); Castro, José Miguel (Universidade do Porto)","Gu, Xiang-Lin (editor); Motavalli, Masoud (editor); Ilki, Alper (editor); Yu, Qian-Qian (editor)","2024","This paper presents the flexural cyclic behavior of I-shaped hot rolled steel deep sections used as beams in moment-resisting frames (MRF) featuring a carbon fiber reinforced polymer (CFRP) patch on the web through advanced finite element analysis. The main goal of the CFRP reinforcement is to increase the rotation capacity of the member without increasing the overstrength to avoid compromising the strong column-weak beam condition in MRF. A reduced finite element model of a steel beam is developed and validated with experimental data. The CFRP patch is modeled considering fracture in the adhesive layer using the cohesive zone modeling (CZM) technique that can capture the crack initiation and propagation. Different adhesive types are investigated where the CZM parameters are calibrated from high fidelity fracture mechanics tests that are thoroughly validated in the literature. This includes a rigid adhesive commonly found in the construction industry and two tough adhesives used in the automotive industry. The results revealed that the CFRP patch can increase the rotation capacity of a steel member considerably when using tough adhesives.","CFRP; Cyclic; Rotation Capacity; Seismic Moment Resisting Frames; Steel","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-21","","","Steel & Composite Structures","","",""
"uuid:8f82b2fa-f0d2-48df-b268-2695f68b988c","http://resolver.tudelft.nl/uuid:8f82b2fa-f0d2-48df-b268-2695f68b988c","Finite Basis Physics-Informed Neural Networks as a Schwarz Domain Decomposition Method","Dolean, Victorita (University of Strathclyde); Heinlein, A. (TU Delft Numerical Analysis); Mishra, Siddhartha (ETH Zürich); Moseley, Ben (ETH Zürich)","Dostal, Zdenek (editor); Kozubek, Tomas (editor); Klawonn, Axel (editor); Pavarino, Luca F. (editor); Widlund, Olof B. (editor); Langer, Ulrich (editor); Sístek, Jakub (editor)","2024","The success and advancement of machine learning (ML) in fields such as image recognition and natural language processing has lead to the development of novel methods for the solution of problems in physics and engineering.","","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-05","","","Numerical Analysis","","",""
"uuid:f7d1ba47-20c5-446f-b21b-fe7651661070","http://resolver.tudelft.nl/uuid:f7d1ba47-20c5-446f-b21b-fe7651661070","Predictive Theory of Mind Models Based on Public Announcement Logic","Top, Jakob Dirk (Rijksuniversiteit Groningen); Jonker, C.M. (TU Delft Interactive Intelligence; Universiteit Leiden); Verbrugge, Rineke (Rijksuniversiteit Groningen); de Weerd, Harmen (Rijksuniversiteit Groningen)","Gierasimczuk, Nina (editor); Velázquez-Quesada, Fernando R. (editor)","2024","Epistemic logic can be used to reason about statements such as ‘I know that you know that I know that φ ’. In this logic, and its extensions, it is commonly assumed that agents can reason about epistemic statements of arbitrary nesting depth. In contrast, empirical findings on Theory of Mind, the ability to (recursively) reason about mental states of others, show that human recursive reasoning capability has an upper bound. In the present paper we work towards resolving this disparity by proposing some elements of a logic of bounded Theory of Mind, built on Public Announcement Logic. Using this logic, and a statistical method called Random-Effects Bayesian Model Selection, we estimate the distribution of Theory of Mind levels in the participant population of a previous behavioral experiment. Despite not modeling stochastic behavior, we find that approximately three-quarters of participants’ decisions can be described using Theory of Mind. In contrast to previous empirical research, our models estimate the majority of participants to be second-order Theory of Mind users.","Behavioral Modeling; Cognitive Science; Epistemic Logic; Public Announcement Logic; Random-Effects Bayesian Model Selection; Theory of Mind","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-13","","","Interactive Intelligence","","",""
"uuid:f051beba-b13f-42f9-a8d2-4c539fd36039","http://resolver.tudelft.nl/uuid:f051beba-b13f-42f9-a8d2-4c539fd36039","Digital geoTwin: A CityGML-Based Data Model for the Virtual Replica of the City of Vienna","Lehner, Hubert (Vienna City Administration); Kordasch, Sara Lena (Vienna City Administration); Glatz, Charlotte (Vienna City Administration); Agugiaro, G. (TU Delft Urban Data Science)","Kolbe, Thomas H. (editor); Donaubauer, Andreas (editor); Beil, Christof (editor)","2024","This paper presents a CityGML-based data model developed for the semantic 3D city model of Vienna, Austria. The data model consists in a profile of the CityGML 2.0 standard and has been extended by means of an Application Domain Extension (ADE) developed by the Department for Surveying and Mapping of the City of Vienna in order to comply with the current and future needs of the municipality. The definition and adoption of such data model are a fundamental part of Vienna’s “Digital geoTwin” project. The core of the strategy is to process the 3D measurement data of the surveying and mapping department from existing as well as new measurement methods directly into a Digital geoTwin—a virtual, semantic 3D replica of all objects in the city—and to derive other geodata products (city map, elevation models, etc.) from this 3D model. Furthermore, the Digital geoTwin should serve as a geometric and semantic basis for a digital twin of the City of Vienna. In order to define the data model for the Digital geoTwin, 3D modelling of all city objects has been carried out in a test area of the city, followed by a mapping of the objects to the CityGML data model. In an iterative development process, conceptual gaps have been identified, analysed and eventually formalized into a UML-based Application Domain Extension. Additionally, the free and open-source CityGML 3D City Database (3DCityDB) has been used for storage after being extended accordingly, and FME workbenches have been created to transform and import the original source data into the 3DCityDB and therefore test the suitability of the developed data model.","Digital geoTwin; Urban digital twin; Data modelling; 3D city model; CityGML ADE","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-21","","","Urban Data Science","","",""
"uuid:c86fc708-a912-4105-a684-2b660ed119fe","http://resolver.tudelft.nl/uuid:c86fc708-a912-4105-a684-2b660ed119fe","Towards Automatic Principles of Persuasion Detection Using Machine Learning Approach","Bustio-Martínez, Lázaro (Universidad Iberoamericana); Herrera-Semenets, Vitali (Centro de Aplicaciones de Tecnologías de Avanzada); García-Mendoza, Juan-Luis (Université Sorbonne Paris Nord); González-Ordiano, Jorge Ángel (Universidad Iberoamericana); Zúñiga-Morales, Luis (Universidad Iberoamericana); Sánchez Rivero, Rubén (Centro de Aplicaciones de Tecnologías de Avanzada); Quiróz-Ibarra, José Emilio (Universidad Iberoamericana); Santander-Molina, Pedro Antonio (Pontificia Universidad Católica de Valparaíso); van den Berg, Jan (TU Delft Cyber Security); Buscaldi, Davide (Université Sorbonne Paris Nord)","Hernández Heredia, Yanio (editor); Milián Núñez, Vladimir (editor); Ruiz Shulcloper, José (editor)","2024","Persuasion is a human activity of influence. In marketing, persuasion can help customers find solutions to their problems, make informed choices, or convince someone to buy a useful (or useless) product or service. In computer crimes, persuasion can trick users into revealing sensitive information, or even performing actions that benefit attackers. Phishing is one of the most common and dangerous forms of persuasion-based attacks, as it exploits human vulnerabilities rather than technical ones. Therefore, an intelligent system capable of detecting and classifying persuasion attempts might be useful in protecting users. In this work, an approach that uses Machine Learning to analyze messages based on principles of persuasion and different data representations is presented. The aim of this research is to detect which data representation and which classification algorithm obtain the best results in detecting each principle of persuasion as a prior step to detecting phishing attacks. The results obtained indicate that among the combinations tested, there is one combination of data representation and classification algorithm that performs best. The related classification models obtained can detect the principles of persuasion at a rate that varies between 0.78 and 0.86 of AUC-ROC.","Principles of Persuasion; Machine Learning; Artificial Intelligence; Data representation; Phishing detection","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-20","","","Cyber Security","","",""
"uuid:984278af-7a31-498b-9768-0af914f606f1","http://resolver.tudelft.nl/uuid:984278af-7a31-498b-9768-0af914f606f1","Laminar-Turbulent Transition in Swept-Wing Flows with a Supercritical Forward-Facing Step","Casacuberta Puig, J. (TU Delft Aerodynamics); Hickel, S. (TU Delft Aerodynamics); Kotsonis, M. (TU Delft Aerodynamics)","","2024","Achieving and maintaining laminar flow on large swept lifting surfaces of subsonic aircraft poses a considerable challenge.","","en","book chapter","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-03","","","Aerodynamics","","",""
"uuid:af116b35-92be-4924-b28e-2d1d83f3e4c7","http://resolver.tudelft.nl/uuid:af116b35-92be-4924-b28e-2d1d83f3e4c7","Ultralight Membrane Structures Toward a Sustainable Environment","Comitti, Alessandro (University College London (UCL); CAEMate s.r.l.); Vijayakumaran, H. (TU Delft Team Marcel Sluiter); Nejabatmeimandi, Mohammad Hosein (Tensys Ltd.); Seixas, Luis (University College London (UCL); CAEMate s.r.l.); Cabello, Adrian (Tensys Ltd.); Misseroni, Diego (Università di Trento); Penasa, Massimo (CAEMate s.r.l.); Paech, Christoph (sbp – schlaich bergermann partner); Bessa, M.A. (Brown University)","Bahrami, Alireza (editor)","2024","The building construction industry is the largest anthropogenic source of pollution, with massive energy consumption and substantial CO2 emissions. Lightweight tension structures allow the simultaneous implementation of several sustainable strategies by using recyclable low-carbon structural membranes offering a greener alternative to glass and other cladding materials. Their efficient structural load-bearing mechanisms result in significant weight savings in buildings and a drastic reduction of the environmental impact associated with material production, transportation, use, and disposal. A subgroup of lightweight materials, structural fabrics, and foils has been gaining popularity among designers and architects in recent years because of their desirable features such as high stiffness, strength, ductility, durability, and functional properties. While these structural membranes open new crucial perspectives for the clean energy transition and have been recently employed worldwide, their full potential is still limited by the lack of construction codes, advanced optimization tools, and comprehensive viscous-thermo-mechanical constitutive models. This chapter aims to foster the design of membrane structures by presenting their basic principles and recent advancements in the field. It covers the design approaches, employed materials and efforts in their characterization and modeling, implications on the sustainability of the built environment, current challenges, and future pathways from both academic research and engineering design viewpoints.","Sustainable construction; Lightweight structures; Tension structures; Strucutral optimization; Structural membranes; Membrane engineering","en","book chapter","Springer","","","","","","","","","","Team Marcel Sluiter","","",""
"uuid:b75cb7d3-e850-414b-b4f0-b17a2ae3edf0","http://resolver.tudelft.nl/uuid:b75cb7d3-e850-414b-b4f0-b17a2ae3edf0","Extended Reality as a Catalyst for Circular Economy Transition in the Built Environment","Soman, R. K. (TU Delft Integral Design & Management); Nikolić, D. (University of Reading); Sanchez, B. (Appalachian State University)","De Wolf, Catherine (editor); Çetin, Sultan (editor); Bocken, Nancy (editor)","2024","Extended reality (XR) technologies refer to mixed reality and virtual reality configurations that augment real or represent fully virtual information in an intuitive and immersive manner, transforming the way we plan, design, construct, and operate built environment assets. XR offers great potential to support and accelerate the transition of built environment practices to a circular economy by supporting decisions based on narrow, slow, close, and regenerate strategies. Narrow strategies use XR to simulate the building process to identify potential issues, reduce material waste, and avoid costly mistakes. Slow strategies use XR to enable construction with durable materials and designing for adaptability to extend the lifespan of buildings. Close strategies use XR to facilitate material recovery and support repurposing and reuse, thus reducing waste. Regenerate strategies use XR as a motivational tool to engage citizens, communities, and professionals in design and management decisions. However, applying XR is not without challenges, including technical and process-related limitations, potential misuse, and a lack of rich digital twins. Future research opportunities include the development of rich and accurate digital twins, ethical and sustainable use of XR technologies, and overcoming technical and logistical challenges through interdisciplinary collaboration and user-friendly and accessible XR hardware and software.","extended reality (XR); mixed reality (MR); virtual reality (VR); immersive experiences; built environment; circular economy; digital twins","en","book chapter","Springer","","","","","","","","","","Integral Design & Management","","",""
"uuid:8687c48a-d5bc-45ec-af71-32e8006db977","http://resolver.tudelft.nl/uuid:8687c48a-d5bc-45ec-af71-32e8006db977","Matrix-Free Parallel Preconditioned Iterative Solvers for the 2D Helmholtz Equation Discretized with Finite Differences","Chen, J. (TU Delft Numerical Analysis); Dwarka, V.N.S.R. (TU Delft Numerical Analysis); Vuik, Cornelis (TU Delft Delft Institute of Applied Mathematics)","van Beurden, M. (editor); Budko, N.V. (editor); Ciuprina, G. (editor); Schilders, W. (editor); Bansal, H. (editor); Barbulescu, R. (editor)","2024","We present a matrix-free parallel iterative solver for the Helmholtz equation related to applications in seismic problems and study its parallel performance. We apply Krylov subspace methods, GMRES, Bi-CGSTAB and IDR(s), to solve the linear system obtained from a second-order finite difference discretization. The Complex Shifted Laplace Preconditioner (CSLP) is employed to improve the convergence of Krylov solvers. The preconditioner is approximately inverted by multigrid iterations. For parallel computing, the global domain is partitioned blockwise. The standard MPI library is employed for data communication. The matrix-vector multiplication and preconditioning operator are implemented in a matrix-free way instead of constructing large, memory-consuming coefficient matrices. These adjustments lead to direct improvements in terms of memory consumption. Numerical experiments of model problems show that the matrix-free parallel solution method has satisfactory parallel performance and weak scalability. It allows us to solve larger problems in parallel to obtain more accurate numerical solutions.","","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-01","","","Numerical Analysis","","",""
"uuid:a8c4a992-5047-49e8-b084-ab9fa91cc28c","http://resolver.tudelft.nl/uuid:a8c4a992-5047-49e8-b084-ab9fa91cc28c","Shadowing Calculation on Urban Areas from Semantic 3D City Models","Xu, Longxiang (Student TU Delft); León Sánchez, C.A. (TU Delft Urban Data Science); Agugiaro, G. (TU Delft Urban Data Science); Stoter, J.E. (TU Delft Urban Data Science)","Kolbe, Thomas H. (editor); Donaubauer, Andreas (editor); Beil, Christof (editor)","2024","Nowadays, our society is in the transit to adopt more sustainable energy sources to reduce our impact on the environment; one alternative is solar energy. However, this is highly affected by the surroundings, which might cause shadowing effects. In this paper, we present our method to perform shadowing calculations in urban areas using semantic 3D city models, which is split into five sections: Point Grid Generation, Sun-Ray Generation, Nightside Filtering, Bounding Volume Hierarchy and the intersection between the sun rays and the BVH to identify which locations are shadowed at a given moment (epoch). Our tests are performed in Rotterdam’s city center, a dense urban area in The Netherlands. Our initial results indicate that the computational time per 100 k grid points fluctuates within 0.2–0.7s.","Solar potential; 3DCM; Shadows analysis; Raytracing","en","book chapter","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-21","","","Urban Data Science","","",""
"uuid:f0f80eaa-0a47-4ca6-a707-4f0a285a2805","http://resolver.tudelft.nl/uuid:f0f80eaa-0a47-4ca6-a707-4f0a285a2805","Exploring Artificial Intelligence for Advancing Performance Processes and Events in Io3MT","Vieira, Romulo (Universidade Federal Fluminense); Muchaluat-Saade, Debora (Universidade Federal Fluminense); Cesar, Pablo (TU Delft Multimedia Computing; Centrum Wiskunde & Informatica (CWI))","Rudinac, Stevan (editor); Worring, Marcel (editor); Liem, Cynthia (editor); Hanjalic, Alan (editor); Jónsson, Björn Pór (editor); Yamakata, Yoko (editor); Liu, Bei (editor)","2024","The Internet of Multisensory, Multimedia and Musical Things (Io3MT) is a new concept that arises from the confluence of several areas of computer science, arts, and humanities, with the objective of grouping in a single place devices and data that explore the five human senses, besides multimedia aspects and music content. In the context of this brave new idea paper, we advance the proposition of a theoretical alignment between the emerging domain in question and the field of Artificial Intelligence (AI). The main goal of this endeavor is to tentatively delineate the inceptive trends and conceivable consequences stemming from the fusion of these domains within the sphere of artistic presentations. Our comprehensive analysis spans a spectrum of dimensions, encompassing the automated generation of multimedia content, the real-time extraction of sensory effects, and post-performance analytical strategies. In this manner, artists are equipped with quantitative metrics that can be employed to enhance future artistic performances. We assert that this cooperative amalgamation has the potential to serve as a conduit for optimizing the creative capabilities of stakeholders.","Artificial Intelligence; Internet of Multisensory; Multimedia and Musical Things; Networked Performances","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-29","","","Multimedia Computing","","",""
"uuid:eba16e28-6041-4507-9cc4-ef553496bbea","http://resolver.tudelft.nl/uuid:eba16e28-6041-4507-9cc4-ef553496bbea","Digital Infrastructures for Compliance Monitoring of Circular Economy: Requirements for Interoperable Data Spaces","Hofman, Wout (TNO); Rukanova, B.D. (TU Delft Innovation Affairs); Tan, Y. (TU Delft Information and Communication Technology); Bharosa, Nitesh (TU Delft Information and Communication Technology); Ubacht, J. (TU Delft Information and Communication Technology); Rietveld, Elmer (TNO)","Arai, Kohei (editor)","2024","The transition towards a circular economy (CE) will require data sharing across different platforms and data spaces of parties operating in a variety of supply chains. From a circular economy compliance monitoring perspective, beyond the access to mandatory data that governments will receive, authorities may benefit from accessing additional business data from the source on a voluntary basis, which is challenging. While platforms and data spaces solve a great deal of complexity and interoperability within their realm, platform, and data space interoperability is still challenging. In the logistics domain, efforts have been made to overcome these issues of data sharing across logistics platforms with a Semantic data sharing architecture developed by the CEF FEDeRATED Action, at the heart of which is a semantic model aligning other semantic models for logistics. In this paper, we take the Semantic data sharing architecture as a point of departure and examine the opportunities and limitations that it has for CE monitoring, and how it relates to other developments in the EU and beyond. Many of these developments acknowledge the need for data access across heterogeneous systems and – processes of actors; others add security and trust to data sharing that goes all the way to the level to cover legal obligations. The goal of this paper is to gain further insights into how data sharing across multiple platforms and data spaces enables circular economy monitoring, where government organizations would need to address the issue of how they would interface with, and access data that resides in multiple platforms and data spaces. We found that the various models can be aligned on some architecture principles that promote interoperability across dimensions (e.g. federation, keeping data at the source), yet they still differ on other dimensions (e.g. data model and semantics, as well as how they address issues of identification, authentication and authorization). We suggest further efforts towards developing meta-level agreements and standardization for data space interoperability and we propose further research directions on that topic.","Data spaces; Platforms; Interoperability; Circular economy; Monitoring; Government","en","book chapter","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-17","","","Innovation Affairs","","",""
"uuid:3c4e3e23-f4bc-44fe-b737-ce1e0fcdfed0","http://resolver.tudelft.nl/uuid:3c4e3e23-f4bc-44fe-b737-ce1e0fcdfed0","Towards Cross-Modal Point Cloud Retrieval for Indoor Scenes","Yu, Fuyang (Beihang University); Wang, Zhen (Tokyo Institute of Technology); Li, Dongyuan (Tokyo Institute of Technology); Zhu, P. (TU Delft Web Information Systems); Liang, Xiaohui (Beihang University); Wang, Xiaochuan (Beijing Technology and Business University); Okumura, Manabu (Tokyo Institute of Technology)","Rudinac, Stevan (editor); Worring, Marcel (editor); Liem, Cynthia (editor); Hanjalic, Alan (editor); Jónsson, Björn Pór (editor); Yamakata, Yoko (editor); Liu, Bei (editor)","2024","Cross-modal retrieval, as an important emerging foundational information retrieval task, benefits from recent advances in multimodal technologies. However, current cross-modal retrieval methods mainly focus on the interaction between textual information and 2D images, lacking research on 3D data, especially point clouds at scene level, despite the increasing role point clouds play in daily life. Therefore, in this paper, we proposed a cross-modal point cloud retrieval benchmark that focuses on using text or images to retrieve point clouds of indoor scenes. Given the high cost of obtaining point cloud compared to text and images, we first designed a pipeline to automatically generate a large number of indoor scenes and their corresponding scene graphs. Based on this pipeline, we collected a balanced dataset called CRISP, which contains 10K point cloud scenes along with their corresponding scene images and descriptions. We then used state-of-the-art models to design baseline methods on CRISP. Our experiments demonstrated that point cloud retrieval accuracy is much lower than cross-modal retrieval of 2D images, especially for textual queries. Furthermore, we proposed ModalBlender, a tri-modal framework which can greatly improve the Text-PointCloud retrieval performance. Through extensive experiments, CRISP proved to be a valuable dataset and worth researching. (Dataset can be downloaded at https://github.com/CRISPdataset/CRISP.)","Cross-modal Retrieval; Indoor Scene; Point Cloud","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-29","","","Web Information Systems","","",""
"uuid:a677328b-f0f2-4deb-8cfb-c5af678770c9","http://resolver.tudelft.nl/uuid:a677328b-f0f2-4deb-8cfb-c5af678770c9","Aircraft Cruise Alternative Trajectories Generation: A Mixed RRG-Clustering Approach","Lebègue, J. (Sopra Steria; Ecole Nationale de L’Aviation Civile); Guitart, Andréas (Ecole Nationale de L’Aviation Civile); Demouge, Céline (Ecole Nationale de L’Aviation Civile); Delahaye, Daniel (Ecole Nationale de L’Aviation Civile); Hoekstra, J.M. (TU Delft Control & Simulation); Feron, Eric (King Abdullah University of Science and Technology)","Martins, Ana Lucia (editor); Ferreira, Joao C. (editor); Kocian, Alexander (editor); Tokkozhina, Ulpan (editor); Helgheim, Berit Irene (editor); Bråthen, Svein (editor)","2024","Weather obstacles in the airspace can interfere with an aircraft’s flight plan. Pilots, assisted by air traffic controllers (ATCs), perform avoidance maneuvers that can be optimized. This paper addresses the generation of alternative aircraft trajectories to resolve unexpected events. The authors propose a solution based on the RRG algorithm, K-means clustering, and Dynamic Time Warping (DTW) similarity metric to address the problem. The mixed algorithm succeeds in generating a set of paths with diversity in an obstacle constrained airspace between Paris-Toulouse and London-Toulouse airports. This tool could help to reduce the workload of pilots and ATCs when such a situation arises.","alternative trajectory; clustering; metrics; RRG; similarity","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-20","","","Control & Simulation","","",""
"uuid:e5c9aeb0-9c9b-4185-a806-d9d83d59b974","http://resolver.tudelft.nl/uuid:e5c9aeb0-9c9b-4185-a806-d9d83d59b974","Towards Greener ICUs: Redesigning the Use of Disposable Gloves","van den Berg, Lisanne (Student TU Delft); Albayrak, A. (TU Delft Applied Ergonomics and Design); Hunfeld, Nicole (Erasmus MC); Diehl, J.C. (TU Delft Design for Sustainability)","Melles, M. (editor); Goossens, R.H. (editor)","2024","This research and design project is part of the Green ICU initiative and focused on reducing the environmental impact of gloves at the Intensive Care Unit (ICU) of the Erasmus Medical Center (EMC). At the ICU of the EMC around 108 gloves are used per patient per day; to protect the user (healthcare staff) from infections. The high frequency of use and the resource-intensive production define disposable nitrile gloves as one of the ‘hotspots’ contributing to the environmental impact created by the ICU. This research and design project addressed the problem from three different perspectives: user-centred, product-centred and supply-centred. The extensive research resulted in three design directions on how to reduce the environmental impact of gloves. Subsequently, all insights from the research were brought together into five design building blocks. These design building blocks provided guidance for the design phase of the project. The project resulted in a redesign of the current glove dispensers. The final design is named ‘GloVe’, a vertical dispense system. By incorporating the five building blocks, the design can provide benefits for multiple stakeholders within the healthcare system. It reduces the environmental impact of gloves in the ICU by dispensing one glove at a time. Furthermore, the gloves are dispensed at the cuff, which comes in little contact with the patient. The vertical movement is pleasant to the user. The use of colour for different sizes makes it clear to the care assistant which box should go in which holder. Also, nurses will see at a glance, which size gloves they are dispensing. The small V-shaped opening makes the undesirable behaviour, of placing gloves back, almost impossible.","Design for sustainability; Gloves; User-centred; Medisign; Infection prevention; Intensive care unit","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-05","","","Applied Ergonomics and Design","","",""
"uuid:684cc631-ebbd-4f05-94be-a7c64cacd240","http://resolver.tudelft.nl/uuid:684cc631-ebbd-4f05-94be-a7c64cacd240","Network Robustness Improvement Based on Alternative Paths Consideration","Lebègue, J. (TU Delft Control & Simulation; Sopra Steria); Delahaye, Daniel (Ecole Nationale de L’Aviation Civile); Hoekstra, J.M. (TU Delft Control & Simulation)","Martins, Ana Lucia (editor); Ferreira, Joao C. (editor); Kocian, Alexander (editor); Tokkozhina, Ulpan (editor); Helgheim, Berit Irene (editor); Bråthen, Svein (editor)","2024","Many transportation networks have complex infrastructures (road, rail, airspace, etc.). The quality of service in air transportation depends on weather conditions. Technical failures of the aircraft, bad weather conditions, strike of the company’s staff cause delays and disrupt traffic. How can the robustness of such networks be improved? Improving the robustness of air transportation would reduce the cascading delays between airports and improve the passenger journey. Many studies have been done to find critical links and nodes, but not so many analyze the paths. In this paper, we propose a new method to measure network robustness based on alternative paths. Besides improving the robustness of the French (respectively Turkish Airlines and European) low-cost flight network by 19% (respectively 16% and 6.6%), the method attempts to show the relevance of analyzing the network vulnerability from a path-based approach.","Floyd-Warshall algorithm; Passenger-centric model; Robust network; Simulated annealing; Topology; Transport","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-20","","","Control & Simulation","","",""
"uuid:dfe34bf0-575f-403b-b949-5ce569c8b57e","http://resolver.tudelft.nl/uuid:dfe34bf0-575f-403b-b949-5ce569c8b57e","Trajectory Hiding and Sharing for Supply Chains with Differential Privacy","Li, T. (TU Delft Cyber Security); Xu, L. (TU Delft Cyber Security; TU Delft Ship Hydromechanics and Structures); Erkin, Z. (TU Delft Cyber Security); Lagendijk, R.L. (TU Delft Cyber Security)","Tsudik, Gene (editor); Conti, Mauro (editor); Liang, Kaitai (editor); Smaragdakis, Georgios (editor)","2024","With the fast development of e-commerce, there is a higher demand for timely delivery. Logistic companies want to send receivers a more accurate arrival prediction to improve customer satisfaction and lower customer retention costs. One approach is to share (near) real-time location data with recipients, but this also introduces privacy and security issues such as malicious tracking and theft. In this paper, we propose a privacy-preserving real-time location sharing system including (1) a differential privacy based location publishing method and (2) location sharing protocols for both centralized and decentralized platforms. Different from existing location perturbation solutions which only consider privacy in theory, our location publishing method is based on a real map and different privacy levels for recipients. Our analyses and proofs show that the proposed location publishing method provides better privacy protection than existing works under real maps against possible attacks. We also provide a detailed analysis of the choice of the privacy parameter and their impact on the suggested noisy location outputs. The experimental results demonstrate that our proposed method is feasible for both centralized and decentralized systems and can provide more precise arrival prediction than using time slots in current delivery systems.","Applied cryptography; Blockchain; Differential privacy; Location privacy; Privacy-preserving","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-05","","","Cyber Security","","",""
"uuid:2d70b0c5-78c4-46bf-b66e-19d00f3a5458","http://resolver.tudelft.nl/uuid:2d70b0c5-78c4-46bf-b66e-19d00f3a5458","Reducing the Environmental Impact of Syringes at the Intensive Care Unit","Honkoop, Margot (Student TU Delft); Albayrak, A. (TU Delft Applied Ergonomics and Design); Balkenende, R. (TU Delft Circular Product Design); Hunfeld, Nicole (Erasmus MC); Diehl, J.C. (Erasmus MC)","Melles, M. (editor); Goossens, R.H. (editor)","2024","This research project, part of the Green Intensive Care Unit (ICU) initiative at the Erasmus University Medical Center (EMC), is focused on reducing the environmental impact of syringes at the ICU by designing solutions based on circular economy principles. Based on a Material Flow Analysis of the EMC ICU, syringes and their packaging have been identified as one of the main environmental impact hotspots. Therefore, this project aimed to redesign the syringes, their packaging, and their use, according to circular design strategies suitable for medical products to decrease their environmental impact, while remaining convenient and safe in use for the healthcare staff and patients. Research was executed to understand the context from multiple perspectives. The outcomes demonstrated that decreasing the impact of syringes is not only related to the design of the syringe itself. Manufacturing, preparation, use and disposal, all contribute to the environmental impact of the syringe. Various possible interventions were derived to reduce its impact:
1.
Adapting the infection prevention protocol and behaviour of the staff;
2.
Separating infectious waste from general hospital waste;
3.
Redesigning the syringe itself;
4.
Optimising the filling process of syringes.
The final design is an optimised filling process for prefilled sterilised syringes (PFSs), based on circular strategies such as reduce, reuse, rethink and repurpose. Interventions include: eliminating a redundant sterilisation phase, reducing residual medication and changing from steam to gamma sterilisation. This resulted in decreasing the amount of waste, material, energy and water consumption, while offering similar convenience and safety for the staff and patients of the ICU.","Circular healthcare; Syringe; Environmental impact; Design","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-05","","","Applied Ergonomics and Design","","",""
"uuid:dbcc79c3-84c5-41ce-9a84-557773af39e3","http://resolver.tudelft.nl/uuid:dbcc79c3-84c5-41ce-9a84-557773af39e3","Aerodynamic model comparison for an X-shaped vertical-axis wind turbine","Giri Ajay, A. (TU Delft Wind Energy); Morgan, Laurence (University of Strathclyde); Wu, Y. (TU Delft Wind Energy); Bretos, David (Centro Nacional de Energías Renovables); Cascales, Aurelio (Centro Nacional de Energías Renovables); Pires, Oscar (Centro Nacional de Energías Renovables); Ferreira, Carlos (TU Delft Wind Energy)","","2024","This article presents a comparison study of different aerodynamic models for an X-shaped vertical-axis wind turbine and offers insight into the 3D aerodynamics of this rotor at fixed pitch offsets. The study compares six different numerical models: a double-multiple streamtube (DMS) model, a 2D actuator cylinder (2DAC) model, an inviscid free vortex wake model (from CACTUS), a free vortex wake model with turbulent vorticity (from QBlade), a blade-resolved unsteady Reynolds-averaged Navier–Stokes (URANS) model, and a lattice Boltzmann method (from PowerFLOW). All models, except URANS and PowerFLOW use the same blade element characteristics other than the number of blade elements. This comparison covers the present rotor configuration for several tip-speed ratios and fixed blade pitch offsets without unsteady corrections, except for the URANS and PowerFLOW which cover a single case. The results show that DMS and 2DAC models are inaccurate – especially at highly loaded conditions, are unable to predict the downwind blade vortex interaction, and do not capture the vertical/axial induction this rotor exhibits. The vortex models are consistent with each other, and the differences when compared against the URANS and PowerFLOW mostly arise due to the unsteady and flow curvature effects. Furthermore, the influence of vertical induction is very prominent for this rotor, and this effect becomes more significant with fixed pitch offsets where the flow at the blade root is considerably altered.","","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:64563d5b-e4ff-4e7b-b835-947b88063a72","http://resolver.tudelft.nl/uuid:64563d5b-e4ff-4e7b-b835-947b88063a72","Effect of vibrational modes on fluidization characteristics and solid distribution of cohesive micro- and nano-silica powders","Kamphorst, R. (TU Delft ChemE/Product and Process Engineering); Wu, K. (TU Delft ChemE/Product and Process Engineering); van Baarlen, M. (TU Delft Sanitary Engineering); Meesters, G.M.H. (TU Delft ChemE/Product and Process Engineering); van Ommen, J.R. (TU Delft ChemE/Product and Process Engineering)","","2024","In this study, the impact of different vibrational modes on the fluidization characteristics of cohesive micro- and nano-silica powder was examined. Fractional pressure drop, bed expansion measurements, and X-ray imaging were utilized to characterize the fluidization quality. The densities of the emulsion phase at the top and bottom of the column were quantified and compared, providing insights into the solid distribution within the fluidized bed. In the absence of vibration, neither powder could be fluidized within the considered range of superficial gas velocities. Vertical vibration was found to initiate fluidization for both powders. In contrast, elliptical vibration failed to overcome the channelling behavior when fluidizing the micro-powder. For nano-powder, combined channelling and powder compaction occurred when the bed was subjected to elliptical vibration. For the micro-powder, it was observed that bed homogeneity was independent of vertical vibration intensity but improved with increasing superficial gas velocity. For nano-powder, intensifying vertical vibration led to segregation, likely due to agglomerate densification. Furthermore, fractional pressure drop measurements proved to be a strong tool in assessing fluidization quality, providing insights that could not be attained by conventional indicators.","Agglomeration; Assistance methods; Cohesive particles; Nano-particles; Vibro-fluidized bed; X-ray imaging","en","journal article","","","","","","","","","","","ChemE/Product and Process Engineering","","",""
"uuid:c20dc01b-0580-44f5-aa29-9f1d998a4d72","http://resolver.tudelft.nl/uuid:c20dc01b-0580-44f5-aa29-9f1d998a4d72","Noncompact uniform universal approximation","van Nuland, T.D.H. (TU Delft Analysis)","","2024","The universal approximation theorem is generalised to uniform convergence on the (noncompact) input space Rn. All continuous functions that vanish at infinity can be uniformly approximated by neural networks with one hidden layer, for all activation functions φ that are continuous, nonpolynomial, and asymptotically polynomial at ±∞. When φ is moreover bounded, we exactly determine which functions can be uniformly approximated by neural networks, with the following unexpected results. Let Nφl(Rn)¯ denote the vector space of functions that are uniformly approximable by neural networks with l hidden layers and n inputs. For all n and all l≥2, Nφl(Rn)¯ turns out to be an algebra under the pointwise product. If the left limit of φ differs from its right limit (for instance, when φ is sigmoidal) the algebra Nφl(Rn)¯ (l≥2) is independent of φ and l, and equals the closed span of products of sigmoids composed with one-dimensional projections. If the left limit of φ equals its right limit, Nφl(Rn)¯ (l≥1) equals the (real part of the) commutative resolvent algebra, a C*-algebra which is used in mathematical approaches to quantum theory. In the latter case, the algebra is independent of l≥1, whereas in the former case Nφ2(Rn)¯ is strictly bigger than Nφ1(Rn)¯.","Deep learning; Feedforward ANN; Functional analysis; Ridge functions; Uniform convergence; Universal approximation theorem","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-25","","","Analysis","","",""
"uuid:b4840105-44cc-43a7-ae08-1424449bb2e8","http://resolver.tudelft.nl/uuid:b4840105-44cc-43a7-ae08-1424449bb2e8","Measuring Housing Inequality with the Value of Freedom in the Capability Approach: Proposal and Demonstration","Kimhur, Boram (TU Delft Urban Development Management)","","2024","An ongoing question in capability research is how to incorporate the value of freedom into the measurement of inequality. This article proposes an approach to answering this question in the housing domain and its operationalisation. The approach places an evaluation focus to the conditions constraining or expanding housing choices in the dimensions of opportunity, security, and ability. For operationalisation, the study designed a measurement of multidimensional housing disadvantages (MHDs) using the Alkire-Foster method and data from the Netherlands. Indicators include the entitlement to housing tenure options, vulnerability in housing cost payments, and ability to plan finance for housing. The measurement outcome demonstrates that the MHDs measurement can provide information on whose housing choices are more intensely constrained, thus having a lower capability for housing, and whose current housing situation is likely a result of coerced choices. The findings indicate that adults living with housemates or family (latent households), youths, and those with precarious jobs have a significantly lower capability for housing compared to other population groups. This article also compares the freedom-oriented measure of MHDs with functioning-oriented and other conventional measures and discusses its distinguishing properties. This comparison suggests a need to revisit current policy priorities in addressing housing inequality.","capability approach; freedom; inequality; multidimensional measurement; housing; capability for housing","en","journal article","","","","","","","","","","","Urban Development Management","","",""
"uuid:d6f6758f-b484-4373-92d3-4b37b32ebee7","http://resolver.tudelft.nl/uuid:d6f6758f-b484-4373-92d3-4b37b32ebee7","The relationship between linearised 3D and 2DH models for tidally dominated shallow waters","Rozendaal, M.C. (TU Delft Human Information Communication Design); Dijkstra, Y.M. (TU Delft Mathematical Physics); Schuttelaars, H.M. (TU Delft Mathematical Physics)","","2024","The water motion computed using 3D and 2DH models in tidally dominated shallow waters can, in some cases, differ significantly. In 2DH models, bed friction is typically parametrised in terms of the depth-averaged velocity, whereas in 3D models, typically the near-bed velocity is used. This difference causes the bed shear stress in 2DH models to point towards the depth-averaged velocity, whereas in 3D models, it points towards the near-bed velocity, which are not necessarily the same. Focussing on linearised barotropic models, we derive an exact friction parametrisation for 2DH models such that the same depth-averaged dynamics are described as in the corresponding 3D model. The result is a convolutional friction formulation where the instantaneous friction depends on the present and past velocities, thus modifying the traditional 2DH friction formulation that only depends on the present depth-averaged velocity. In the case of harmonic (tidal) waves, this parametrisation has a clear physical interpretation and shows that the near-bed velocity should be parametrised as a rotated, deformed and phase shifted variant of the depth-averaged velocity. We demonstrate that in certain regions of the parameter space, it may be impossible to calibrate a 2DH model that uses a traditional friction law to reproduce the water levels from a 3D model, showing that the 3D friction formulation can be crucial to capture the 3D dynamics within a depth-averaged model. This phenomenon is explored in detail in a narrow well-mixed estuary.","2DH model; 3D friction formulation; 3D model; Idealised model","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-05","","","Human Information Communication Design","","",""
"uuid:27c70dcf-402f-4073-ac9c-ac48abd08de4","http://resolver.tudelft.nl/uuid:27c70dcf-402f-4073-ac9c-ac48abd08de4","Generation of Secondary Space Debris Risks from Net Capturing in Active Space Debris Removal Missions","Cuadrat-Grzybowski, M. (TU Delft Astrodynamics & Space Missions); Gill, E.K.A. (TU Delft Space Systems Egineering)","","2024","Mitigation strategies to eliminate existing space debris, such as with Active Space Debris Removal (ASDR) missions, have become increasingly important. Among the considered ASDR approaches, one involves using a net as a capturing mechanism. A fundamental requirement for any ASDR mission is that the capture process itself should not give rise to new space debris. However, in simulations of net capturing, the potential for structural breaking is often overlooked. A discrete Multi-Spring-Damper net model was employed to simulate the impact of a 30 m × 30 m net travelling at 20 m/s onto an ESA Envisat mock-up. The Envisat was modelled as a two-rigid-body system comprised of the main body and a large solar array with a hinge connection. The analysis revealed that more than two significant substructures had a notable likelihood of breaking, prompting the recommendation of limiting the impacting velocity. The generation of secondary space debris indicates that net capturing is riskier than previously assumed in the literature.","active debris removal; net capturing; structural breaking; secondary space debris generation","en","journal article","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:55c2d2db-32f7-4ad7-a51c-0af839d7efc0","http://resolver.tudelft.nl/uuid:55c2d2db-32f7-4ad7-a51c-0af839d7efc0","A generating absorbing boundary condition for simulating wave interaction with maritime structures in current or at forward speed","Chang, X. (Shanghai Ship and Shipping Research Institute Co.); Wellens, P.R. (TU Delft Ship Hydromechanics and Structures)","","2024","The lack of suitable boundary conditions in practical surface wave simulations with maritime structures in current or at forward speed may cause energy in the computational domain to accumulate due to spurious wave reflection. The common way to prevent wave reflection is to use passive wave absorbers, such as damping zones or relaxation zones, which requires larger domains at the cost of computational effort. Our goal is to derive a local generating absorbing boundary condition (GABC) for long-crested irregular waves on top of a mean flow, using the flow to model the forward speed of a structure such as a ship. Earlier work has demonstrated that a local GABC for free surface waves has a performance similar to passive wave absorbers, but at a reduced computational effort. New in the present work is that we extend, verify and validate the GABC in the presence of a nonzero mean flow. The GABC is designed to be accurate for a range of wave components in irregular sea states, with the resulting reflection coefficients for each component lower than a chosen value, say 5%. Having used potential flow theory for its derivation means that the boundary should not be placed at the exact location where wave breaking is expected, such as very close to the structure in the domain, or in the surf zone in coastal modeling. For the application with ships in this article that does not pose a limitation. The performance is demonstrated for a range of dimensionless wave number between 0 and 6. Such a boundary condition is obtained through a rational approximation of the linear dispersion relation with a mean flow, in combination with vertical derivatives of the solution variables along the boundary. Local linearization means that the GABC incorrectly considers bound, nonlinear wave components to be freely propagating wave components. Bound components, however, tend to have smaller amplitudes and do not appear to affect performance for the considered cases. Results of simulations with regular and irregular waves, on top of flows with different magnitudes and directions, are found to agree with the theory. The main source of differences is the implementation of the second derivate in the GABC near the free surface. Simulations of a Wigley hull at forward speed in irregular waves are compared to an experiment that was conducted specifically for validating the ABC. The data of the experiment are available as open data through doi: 10.4121/21320604. The comparison between simulation and experiment demonstrates that the GABC with a mean flow can be applied not only for theoretical simulations with propagating waves, but also for more practical applications with a structure in the domain.","Absorbing boundary condition; Combined waves and mean flow; Doppler shift; Volume of Fluid (VoF); Wave dispersion","en","journal article","","","","","","","","","","","Ship Hydromechanics and Structures","","",""
"uuid:fb6fc6bc-4734-4e0a-ac86-d8b6cb9f4ebf","http://resolver.tudelft.nl/uuid:fb6fc6bc-4734-4e0a-ac86-d8b6cb9f4ebf","Real-World Applications of Artificial Intelligence in Architecture","Bier, H.H. (TU Delft Building Knowledge); Hidding, A.J. (TU Delft Building Knowledge); Khademi, S. (TU Delft Building Knowledge); van Engelenburg, C.C.J. (TU Delft Building Knowledge); Prendergast, J.M. (TU Delft Human-Robot Interaction); Peternel, L. (TU Delft Human-Robot Interaction)","Arai, Kohei (editor)","2024","Real-world applications of Artificial Intelligence (AI) in architecture have been explored more recently at Technical University (TU) Delft by integrating AI in Design-to-Robotic-Production-Assembly and -Operation (D2RPA&O) methods. These embed robotics into building processes and buildings by linking computational design with robotic construction and/ or operation of building components and buildings. This paper presents two case studies in which AI-supported D2RA is implemented in a multidisciplinary approach that requires the integration of research domains such as architecture, robotics, computer and material science.","architectural design; robotic construction; computer vision; human-robot interaction; deep learning","en","conference paper","Springer Nature","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-14","","","Building Knowledge","","",""
"uuid:00e76b21-675a-424a-9721-890063492f04","http://resolver.tudelft.nl/uuid:00e76b21-675a-424a-9721-890063492f04","Training and Testing Texture Similarity Metrics for Structurally Lossless Compression","Zhang, Kaixuan (Northwestern University); Shi, Zhaochen (Northwestern University); Zujovic, Jana (Northwestern University); de Ridder, H. (TU Delft Human Information Communication Design); van Egmond, R. (TU Delft Human Information Communication Design); Neuhoff, David L. (University of Michigan); Pappas, T. (TU Delft Human Information Communication Design)","","2024","We present a systematic approach for training and testing structural texture similarity metrics (STSIMs) so that they can be used to exploit texture redundancy for structurally lossless image compression. The training and testing is based on a set of image distortions that reflect the characteristics of the perturbations present in natural texture images. We conduct empirical studies to determine the perceived similarity scale across all pairs of original and distorted textures. We then introduce a data-driven approach for training the Mahalanobis formulation of STSIM based on the resulting annotated texture pairs. Experimental results demonstrate that training results in significant improvements in metric performance. We also show that the performance of the trained STSIM metrics is competitive with state of the art metrics based on convolutional neural networks, at substantially lower computational cost.","Databases; Distortion; Distortion measurement; Image coding; Measurement; Redundancy; Training","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-15","","","Human Information Communication Design","","",""
"uuid:01419615-9a1d-428d-8769-a96ab9498a6c","http://resolver.tudelft.nl/uuid:01419615-9a1d-428d-8769-a96ab9498a6c","How well do NDVI and OpenStreetMap data capture people’s visual perceptions of urban greenspace?","Teeuwen, R.F.L. (TU Delft Human-Centred Artificial Intelligence); Milias, V. (TU Delft Human-Centred Artificial Intelligence); Bozzon, A. (TU Delft Human-Centred Artificial Intelligence); Psyllidis, A. (TU Delft Internet of Things)","","2024","The study of urban greenspaces typically relies on three types of data: people’s subjective perceptions collected via questionnaires, vegetation indices derived from satellite imagery, such as the Normalized Difference Vegetation Index (NDVI), and Land Use or Land Cover maps, such as OpenStreetMap (OSM). Data on people’s perceptions are essential when researching human activities, yet they scale poorly. NDVI and OSM data, on the other hand, are freely available worldwide, thus valuable for assessing cities at scale or prioritizing locations for interventions. However, it is unclear how effectively NDVI and OSM data capture people’s visual perceptions of urban greenspaces. In this work, we collect people’s visual perceptions of public spaces in three major European cities through crowdsourcing, quantitatively compare them to NDVI and OSM data, and qualitatively investigate disparities. We found that NDVI moderately correlates with perceived greenness and that not only OSM greenspaces but also pocket parks and play spaces are often considered green. Furthermore, we found that people’s perceptions correspond best to OSM data in small radius distances and NDVI data in larger radius distances and that combining NDVI and OSM data can improve identification of places in OSM that are commonly considered green. Our qualitative analysis revealed that configuration and variety of vegetation, and presence of other natural or built-up features, influence people’s perceptions of greenspace. With our findings we aim to help researchers and practitioners make more informed decisions when collecting greenspace data for their specific context, ultimately contributing to green urban environments that reflect people’s perspectives.","Urban greenspace; Visual perception; OpenStreetMap; NDVI; Crowdsourcing","en","journal article","","","","","","","","","","","Human-Centred Artificial Intelligence","","",""
"uuid:81bbc3bc-4ecf-4606-b100-4cb7e837c29b","http://resolver.tudelft.nl/uuid:81bbc3bc-4ecf-4606-b100-4cb7e837c29b","Comprehensive properties assessment of asphalt binder under aqueous solutions with different pH values and its gradient damage behaviors","Zou, Yingxue (Wuhan University of Technology); Wu, Shaopeng (Wuhan University of Technology); Chen, Anqi (Wuhan University of Technology); Liu, Quantao (Wuhan University of Technology); Amirkhanian, Serji (University of Alabama); Xu, S. (TU Delft Materials and Environment; Wuhan University of Technology); Yang, Chao (Hubei University of Technology); Wan, Pei (Wuhan University of Technology); Xu, Haiqin (Wuhan University of Technology); Lu, Ziyu (Wuhan University of Technology)","","2024","This study characterized the morphology, high-temperature property, rheological property, adhesion, cohesion, and chemical component of asphalt binder under aqueous solutions of different pH values. Then the physicochemical properties of asphalt binder were comprehensively evaluated by the improved radar chart. The properties of asphalt binder stripped layer-by-layer were explored to elucidate its gradient damage behaviors. The results indicate that the pH 3 solution reveals the greatest impact on the morphology of 90 asphalt (90 A) and styrene-butadiene-styrene modified asphalt (SBS MA), leading to the wide cracks on 90 A and the network cracks on SBS MA. The comprehensive assessment index of 90 A exposed to pH 3, pH 5, pH 7, pH 9, and pH 11 solutions can be reduced by 20.8%, 20.2%, 1.5%, 14.0%, and 25.5%, respectively. While for SBS MA, its corresponding values variation are 23.2%, 17.7%, 4.6%, 8.7%, and 13.0%, respectively. The acid solutions significantly affect the comprehensive properties of 90 A, but their pH value has little effect. 90 A exposure to the higher pH value of alkali solution reveals the worse comprehensive properties. Acid solute and alkali solute can aggravate the effect of aqueous solution on the comprehensive properties of SBS MA, and the degree of aggravation increases with the increase of solute concentration. The properties of asphalt binders exhibit varied gradient damage behaviors under different solute environments. The most serious damage occurs at 25–50 µm of 90 A and 0–50 µm of SBS MA. This study facilitates an accurate understanding of the mechanism of pavement distresses and composition design and construction utilization of asphalt binders.","Aqueous solutions; Asphalt binder; Comprehensive assessment; Gradient damage behaviors; pH value","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-17","","","Materials and Environment","","",""
"uuid:cc6842c0-23d3-40c6-be10-f93f8dee55fc","http://resolver.tudelft.nl/uuid:cc6842c0-23d3-40c6-be10-f93f8dee55fc","Integrating post-event very high resolution SAR imagery and machine learning for building-level earthquake damage assessment","Macchiarulo, V. (TU Delft Geo-engineering); Giardina, Giorgia (TU Delft Geo-engineering); Milillo, Pietro (University of Houston; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Aktas, Yasemin D. (University College London (UCL)); Whitworth, Michael R.Z. (AECOM Technology Corporation, United Kingdom)","","2024","Earthquakes have devastating effects on densely urbanised regions, requiring rapid and extensive damage assessment to guide resource allocation and recovery efforts. Traditional damage assessment is time-consuming, resource-intensive, and faces challenges in covering vast affected areas, often limiting timely decision-making. Space-borne synthetic aperture radars (SAR) have gained attention for their all-weather and day-night imaging capabilities. These advantages, coupled with wide coverage, short revisits and very high resolution (VHR), have created opportunities for using SAR data in disaster response. However, most SAR studies for post-earthquake damage assessment rely on change detection methods using pre-event SAR images, which are often unavailable in operational scenarios. Limited studies using solely post-event SAR data primarily concentrate on city-block-level damage assessment, thus not fully exploiting the VHR SAR potential. This paper presents a novel method integrating solely post-event VHR SAR imagery and machine learning (ML) for regional-scale post-earthquake damage assessment at the individual building-level. We first used supervised learning on case-specific datasets, and then introduced a combined learning approach, incorporating inventories from multiple case studies to assess generalisation. Finally, the ML model was tested on unseen study areas, to evaluate its flexibility in unfamiliar contexts. The method was implemented using datasets collected during the Earthquake Engineering Field Investigation Team (EEFIT) reconnaissance missions following the 2021 Nippes earthquake and the 2023 Kahramanmaraş earthquake sequence. The results demonstrate the method’s ability to classify standing and collapsed buildings, achieving up to 72% overall accuracy on unseen regions. The proposed method has potential for future disaster assessments, thereby contributing to more effective earthquake management strategies.","Disaster management; ML techniques; Post-earthquake reconnaissance; Remote sensing; Synthetic aperture radar; Texture analysis","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:f0f2e615-6b99-4a4d-af00-56c5ce55c2a8","http://resolver.tudelft.nl/uuid:f0f2e615-6b99-4a4d-af00-56c5ce55c2a8","Optimizing freeform lenses for extended sources with algorithmic differentiable ray tracing and truncated hierarchical B-splines","Heemels, A.N.M. (TU Delft ImPhys/Adam group); de Koning, B. (TU Delft ImPhys/Adam group; Student TU Delft); Möller, M. (TU Delft Numerical Analysis); Adam, A.J.L. (TU Delft ImPhys/Adam group)","","2024","We propose a method for optimizing the geometry of a freeform lens to redirect the light emitted from an extended source into a desired irradiance distribution. We utilize a gradient-based optimization approach with MITSUBA 3, an algorithmic differentiable non-sequential ray tracer that allows us to obtain the gradients of the freeform surface parameters with respect to the produced irradiance distribution. To prevent the optimizer from getting trapped in local minima, we gradually increase the number of degrees of freedom of the surface by using Truncated Hierarchical B-splines (THB-splines) during optimization. The refinement locations are determined by analyzing the gradients of the surface vertices. We first design a freeform using a collimated beam (zero-etendue source) for a complex target distribution to demonstrate the method’s effectiveness. Then, we demonstrate the ability of this approach to create a freeform that can project the light of an extended Lambertian source into a prescribed target distribution.","","en","journal article","","","","","","","","","","","ImPhys/Adam group","","",""
"uuid:92450650-4918-4713-9660-58e2ad8197a8","http://resolver.tudelft.nl/uuid:92450650-4918-4713-9660-58e2ad8197a8","Responsible Design Thinking for Sustainable Development: Critical Literature Review, New Conceptual Framework, and Research Agenda","Baldassarre, B.R. (TU Delft Industrial Design Engineering; Maastricht University School of Business and Economics); Calabretta, G. (TU Delft Methodologie en Organisatie van Design); Karpen, Ingo Oswald (Karlstad University; University of Adelaide); Bocken, Nancy (Maastricht University School of Business and Economics); Hultink, H.J. (TU Delft Responsible Marketing and Consumer Behavior)","","2024","In the 1960s, influential thinkers defined design as a rational problem-solving approach to deal with the challenges of sustainable human development. In 2009, a design consultant and a business academic selected some of these ideas and successfully branded them with the term “design thinking.” As a result, design thinking has developed into a stream of innovation management research discussing how to innovate faster and better in competitive markets. This article aims to foster a reconsideration of the purposes of design thinking moving forward, in view of the sustainable development challenges intertwined with accelerating innovation in a perpetual economic growth paradigm. To this end, we use a problematization method to challenge innovation management research on design thinking. As part of this method, we first systematically collect and critically analyze the articles in this research stream. We uncover a prominent focus on economic impact, while social and environmental impacts remain largely neglected. To overcome this critical limitation, we integrate design thinking with responsible innovation theorizing. We develop a framework for responsible design thinking, explaining how to apply this approach beyond a private interest and competitive advantage logic, to address sustainable development challenges, such as climate change, resource depletion, poverty, and injustice. The framework contributes to strengthening the practical relevance of design thinking and its theoretical foundations. To catalyze this effort, we propose an agenda for future research.","Circular economy; Design; Responsible business; Responsible innovation; Sustainability; Sustainable innovation","en","review","","","","","","","","","Industrial Design Engineering","","Methodologie en Organisatie van Design","","",""
"uuid:f6ac2c62-2518-4e42-9c7b-3e6616121bb3","http://resolver.tudelft.nl/uuid:f6ac2c62-2518-4e42-9c7b-3e6616121bb3","Public participation in futuring: A systematic literature review","Barendregt, L.E. (TU Delft Design Aesthetics); Bendor, R. (TU Delft Design Conceptualization and Communication); Van Eekelen, Bregje F. (TU Delft Design Aesthetics; Erasmus Universiteit Rotterdam)","","2024","Against the background of continuous calls to democratize futures research and practice, this paper reports the results of a systematic literature review of the involvement of publics in participatory futuring processes. The paper considers three key research questions: Who participates in public futuring processes? Why are publics included in these processes? And what roles do they occupy? By considering practices of participation in futuring, we aim to build a comprehensive picture of the participatory futuring landscape and highlight elements of process design that may enhance or diminish a process's democratic potential. We conclude by suggesting directions for possible future research that could serve the field's continuing desire to democratize and further integrate participatory and critical approaches to futuring.","critical futures; Democratize; Empowerment; Inclusion; Literature review; Participatory futuring; Public","en","review","","","","","","","","","","","Design Aesthetics","","",""
"uuid:4913ee24-246f-4515-bcc7-b92efa04f7c8","http://resolver.tudelft.nl/uuid:4913ee24-246f-4515-bcc7-b92efa04f7c8","Isolated propeller aeroacoustics at positive and negative thrust","Goyal, J. (TU Delft Wind Energy); Avallone, F. (Polytechnic University of Turin); Sinnige, T. (TU Delft Flight Performance and Propulsion)","","2024","Using propellers in negative thrust conditions can potentially result in many benefits, such as a steeper descent, a reduced landing run, reduced community noise, energy regeneration, etc. However, the aerodynamics and aeroacoustics of propellers in this regime are not well understood. This paper presents an aeroacoustic analysis of an isolated propeller operating in both positive and negative thrust conditions, using scale-resolved lattice-Boltzmann very large eddy simulations and the Ffowcs Williams & Hawkings analogy. The propeller was operated at a constant tip Mach number so that any differences in tonal noise between positive and negative thrust conditions were due to changes in blade loading. Results showed that the flow separation around the blades in the negative thrust case led to a 2 to 6 times higher standard deviation in integrated thrust compared to the positive thrust case. The blade loading in the negative thrust case shows the amplitude of fluctuations up to 18% for inboard sections and up to 30% near the blade tip compared to the time-averaged loads. The noise in the propeller plane is 10 dB higher in the positive thrust regime than in the negative thrust regime at a given absolute thrust level of |T_C = 0.08|. The lower noise at negative thrust is caused by two factors: the lower magnitude of the negative torque compared to the positive torque at a given thrust level and the shift of the blade loading inboard in the negative thrust condition due to the stall of the blade tip. Along the propeller axis, the negative thrust regime has 13-15 dB higher noise because of the increased broadband noise generated by the flow separation. In the negative thrust case, the noise along the propeller axis (89 dB) and propeller plane (92 dB) are comparable. However, this is not the case for the propulsive case. The comparison of noise in the vicinity of the propeller plane showed that using the propellers in negative thrust conditions allows for a steeper and quieter descent compared to a conventional descent; as long as the magnitude of the negative torque produced is equal to or less than the torque required to operate the propeller in a conventional landing.","Propeller aerodynamics; Propeller noise; Regenerative propellers","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:ea6c29e0-6ebb-4cbd-8192-8bdecaaaf89d","http://resolver.tudelft.nl/uuid:ea6c29e0-6ebb-4cbd-8192-8bdecaaaf89d","Dynamic Mesh Simulations in OpenFOAM: A Hybrid Eulerian–Lagrangian Approach","Pasolari, R. (TU Delft Wind Energy); Ferreira, Carlos (TU Delft Wind Energy); van Zuijlen, A.H. (TU Delft Aerodynamics); Baptista, C.F. (TU Delft Wind Energy)","","2024","The past few decades have witnessed a growing popularity in Eulerian–Lagrangian solvers due to their significant potential for simulating aerodynamic flows, particularly in cases involving strong body–vortex interactions. In this hybrid approach, the two component solvers are mutually coupled in a two-way fashion. Initially, the Lagrangian solver can supply boundary conditions to the Eulerian solver, while the Eulerian solver functions as a corrector for the Lagrangian solution in regions where the latter cannot achieve high accuracy. To utilize such tools effectively, it is vital for them to be capable of handling dynamic mesh movements. This study builds upon the previous research conducted by our team and extends the capabilities of the hybrid solver to handle dynamic meshes. While OpenFOAM, the Eulerian component of this hybrid code, incorporates built-in dynamic mesh properties, certain modifications are necessary to ensure its compatibility with the Lagrangian solver. More specifically, the evolution algorithm of the pimpleFOAM solver needs to be divided into two discrete steps: first, updating the mesh, and later, evolving the solution. This division enables a proper coupling between pimpleFOAM and the Lagrangian solver as an intermediate step. Therefore, the primary objective of this specific paper is to adapt the OpenFOAM solver to meet the demands of the hybrid solver and subsequently validate that the hybrid solver can effectively address dynamic mesh challenges using this approach. This approach introduces a pioneering method for conducting dynamic mesh simulations within the OpenFOAM framework, showcasing its potential for broader applications. To validate the approach, various test cases involving dynamic mesh movements are employed. Specifically, all these cases employ the Lamb–Oseen diffusing vortex, but each case incorporates different types of mesh movements, including translational, rotational, oscillational, and combinations thereof. The results from these cases demonstrate the effectiveness of the proposed OpenFOAM algorithm, with the maximum relative errors —when compared to the analytical solution across all presented cases—capped at (Formula presented.) for the worst-case scenario. This affirms the algorithm’s capability to successfully handle dynamic mesh simulations with the proposed solver.","OpenFOAM; dynamicmeshes; hybrid Eulerian–Lagrangian solvers; vortex particle methods","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:d543b40b-4f8c-4838-b2be-9c88109ec6d3","http://resolver.tudelft.nl/uuid:d543b40b-4f8c-4838-b2be-9c88109ec6d3","Exploring a geodesign approach for circular economy transition of cities and regions: Three European cases","Furlan, C. (TU Delft Environmental Technology and Design; BOKU-University of Natural Resources and Life Sciences); Mazzarella, C. (TU Delft Real Estate Management); Arlati, Alessandro (Universität Hamburg); Arciniegas, Gustavo (Geo-Col GIS); Obersteg, Andreas (Geo-Col GIS); Wandl, Alex (TU Delft Environmental Technology and Design); Cerreta, Maria (Università degli Studi di Napoli Federico II)","","2024","Transitioning towards a circular built environment and turning waste into resources have become one of the new sustainability paradigms today. However, a circular transition can be considered a ‘wicked problem’. The multiple dimensions and scales of the circular transition and its substantial spatial implications fit well into the planning approach of Geodesign. The Horizon 2020 funded project “Resource Management in the periurban Areas - Going beyond Urban Metabolism (REPAiR)” implemented an innovative Geodesign approach. Moreover, it explored its capability to support spatial decision-making processes for the circular economy transition of the built environment within urban planning practices. This article aims to understand to what extent a process of Geodesign, which is conducted with the support of a digital tool and a Living Lab approach, can support the creation of localised circular economy strategies and foster the circular economy transition in cities and territories. The analysis explores and compares the results of three European cases -Amsterdam, Hamburg and Naples. It considers the kind of data input required to run the process in every phase, the stakeholders involved and their typology, the specific urban or territorial, planning and governance scales of analysis, and the final output definition after the Geodesign process implementation. The approach outputs constitute a decision support system for easing negotiations between local actors regarding the circularity strategies to implement. The findings reveal an intertwinement between different forms of knowledge included in the process, ranging from sustainability to governance and design, and the actors engaged in planning a circularity transition spatially. However, even using similar starting data, the local information and the starting conditions strongly influence the process and the types of strategies elaborated in each case.","","en","journal article","","","","","","","","","","","Environmental Technology and Design","","",""
"uuid:a1503b71-0838-44db-a6e6-0ed694483fcb","http://resolver.tudelft.nl/uuid:a1503b71-0838-44db-a6e6-0ed694483fcb","Dynamic coherence scanning interferometry based on an optical phase mask for simultaneous measurement of local induced vibration and local topology change of a mirror","Vilaboa Pérez, Jesús (Université de Liège); Georges, Marc (Université de Liège); Hastanin, Juriy (Université de Liège); Loicq, J.J.D. (TU Delft Spaceborne Instrumentation; Université de Liège)","","2024","We describe the state of the development of a coherence scanning interferometer to measure local changes in topology and local induced vibrations of a mirror at cryogenic temperatures. The metrology instrument incorporates an optical phase mask and a microlenses array, enabling the acquisition of complete white light interferograms within a single-camera frame. This stands in contrast to traditional temporal phase-shifting interferometers. We design the optical phase mask as a combination of steps of different thicknesses, so each step introduces a different optical path difference to the rays. The local interferograms for each camera frame provide us with information on the local topology of the mirror. The interferogram displacement between camera frames allows us to monitor the mirror’s local induced vibrations. In this work, we report the metrology instrument’s working principle through numerical simulations and present the latest results of a proof of concept developed at the laboratory. The metrology instrument shown is of extensive usability in diverse applications related to real-time measurements of various fast physical processes and real-time characterization of the optical components topology.","coherence scanning interferometry; dynamical interferometry; optical phase mask; single-frame low-coherence interferometry; topology characterization; induced vibrations characterization","en","journal article","","","","","","","","","","","Spaceborne Instrumentation","","",""
"uuid:aa737d12-e94d-43eb-9713-9c93ddb88edc","http://resolver.tudelft.nl/uuid:aa737d12-e94d-43eb-9713-9c93ddb88edc","Implementation and Evaluation of a Motivational Robotic Coach for Repetitive Stroke Rehabilitation","Ross, Martin K. (Heriot-Watt University); Broz, F. (TU Delft Interactive Intelligence); Baillie, Lynne (Heriot-Watt University)","","2024","Repetitive, individual exercises can improve the functional ability of stroke survivors over the long term. With the aim of providing extra motivation to adhere to repetitive, individual rehabilitation, this paper presents a robotic coach for stroke rehabilitation. Our system uses the Pepper robot and performs one of twelve data-driven coaching policies. The policies were learned from human-human observations of professional stroke physiotherapists and provide high-level personalisation based on user information and training context. A within subjects evaluation of the system was conducted in-person involving short interactions with 3 stroke survivors. The system was able to engage the target end users and there were indications that decreased workload could be possible when using the system compared to exercising alone.","Coaching; Personalisation; Rehabilitation; Stroke","en","conference paper","IEEE","","","","","","","","","","Interactive Intelligence","","",""
"uuid:552766c2-3cfc-439d-9091-9a88e28e1b9c","http://resolver.tudelft.nl/uuid:552766c2-3cfc-439d-9091-9a88e28e1b9c","Exciton Transport in a Germanium Quantum Dot Ladder","Hsiao, T. (TU Delft QCD/Vandersypen Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Cova Fariña, P. (TU Delft QCD/Vandersypen Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Oosterhout, S.D. (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft; TNO); Jirovec, D. (TU Delft QCD/Vandersypen Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Zhang, X. (TU Delft QCD/Vandersypen Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); van Diepen, C.J. (TU Delft QCD/Vandersypen Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Lawrie, W.I.L. (TU Delft QCD/Veldhorst Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Wang, C.A. (TU Delft QCD/Veldhorst Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Sammak, A. (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft; TNO); Scappucci, G. (TU Delft QCD/Scappucci Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Veldhorst, M. (TU Delft QN/Veldhorst Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Vandersypen, L.M.K. (TU Delft QuTech Advanced Research Centre; TU Delft QN/Vandersypen Lab; Kavli institute of nanoscience Delft)","","2024","Quantum systems with engineered Hamiltonians can be used to study many-body physics problems to provide insights beyond the capabilities of classical computers. Semiconductor gate-defined quantum dot arrays have emerged as a versatile platform for realizing generalized Fermi-Hubbard physics, one of the richest playgrounds in condensed matter physics. In this work, we employ a germanium 4×2 quantum dot array and show that the naturally occurring long-range Coulomb interaction can lead to exciton formation and transport. We tune the quantum dot ladder into two capacitively coupled channels and exploit Coulomb drag to probe the binding of electrons and holes. Specifically, we shuttle an electron through one leg of the ladder and observe that a hole is dragged along in the second leg under the right conditions. This corresponds to a transition from single-electron transport in one leg to exciton transport along the ladder. Our work paves the way for the study of excitonic states of matter in quantum dot arrays.","","en","journal article","","","","","","","","","","","QCD/Vandersypen Lab","","",""
"uuid:328d3299-e8f0-42d8-9e72-d983e197d95e","http://resolver.tudelft.nl/uuid:328d3299-e8f0-42d8-9e72-d983e197d95e","Sub-seasonal soil moisture anomaly forecasting using combinations of deep learning, based on the reanalysis soil moisture records","Wang, X. (Chongqing Jiaotong University; Hohai University); Corzo, Gerald (IHE Delft Institute for Water Education); Lü, Haishen (Hohai University); Zhou, Shiliang (Chongqing Jiaotong University); Mao, K. (TU Delft Physical and Space Geodesy); Zhu, Yonghua (Hohai University); Duarte Prieto, F.S. (TU Delft Water Resources; IHE Delft Institute for Water Education); Liu, Mingwen (Hohai University); Su, Jianbin (Chinese Academy of Sciences)","","2024","Sub-seasonal drought forecasting is crucial for early warning in estimating agricultural production and optimizing irrigation management, as forecasting skills are relatively weak during this period. Soil moisture exhibits stronger persistence compared to other climate system quantities, which makes it especially influential in shaping land-atmosphere feedback, thus supplying a unique insight into drought forecasting. Relying on the soil moisture memory, this study investigates the combination of multiple deep-learning modules for sub-seasonal drought indices hindcast in the Huai River basin of China, using long-term ERA5-Land soil moisture records with a noise-assisted data analysis tool. The inter-compared deep-learning models include a hybrid model and a committee machine framework. The results show that the performance of the committee machine framework can be improved with the help of series decomposition and the forecasting skill is not impaired with the lead time increases. Overall, this study highlights the potential of combining deep-learning models with soil moisture memory analysis to improve sub-seasonal drought forecasting.","Committee model; Deep learning; Drought forecasting; Noise-assisted tool; Reanalysis soil moisture","en","journal article","","","","","","","","","","","Physical and Space Geodesy","","",""
"uuid:b09badd9-a672-4c30-bba5-35f664e9c72a","http://resolver.tudelft.nl/uuid:b09badd9-a672-4c30-bba5-35f664e9c72a","Mechanism and Performance of SBS Polymer Dry-Modified Asphalt Mixture with PCB and TPO from Waste Tires","Li, Yuanyuan (Wuhan Institute of Technology); Li, Jun (Wuhan Institute of Technology); Bai, Tao (Wuhan Institute of Technology); Chen, Anqi (Wuhan Institute of Technology); Gu, Dengjun (Wuhan Institute of Technology); Gao, Y. (TU Delft Pavement Engineering)","","2024","Based on the efficient resource utilization of scrap tires, pyrolysis carbon black (PCB), and pyrolysis oil of waste tire (TPO), scrap tires' products were treated using dry styrene-butadiene-styrene (SBS) polymer modification of asphalt. The products of scrap tires, PCB and TPO, were handled using dry SBS polymer modification of asphalt based on the effective resource use of scrap tires. The consequences of scrap tires, PCB and TPO, were taken using dry SBS polymer modification of asphalt based on the effective resource use of scrap tires. PCB and TPO composite effect seriously degraded. Based on this, the impact of dry SBS polymer modification on the functionality of PCB-TPO-modified asphalt and the mechanism of modification was examined. According to the investigation, the SBS polymer was evenly distributed and fully developed in the asphalt mixture, which significantly enhanced the qualities of asphalt and the asphalt mixture and performed a positive role in the internal structure of the asphalt mixture.","Dry modification; Modified asphalt; Pyrolytic carbon black; Pyrolytic oil; Styrene-butadiene-styrene (SBS) polymer","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-18","","","Pavement Engineering","","",""
"uuid:73491ad5-d288-48a6-8dfd-5454ad5a7318","http://resolver.tudelft.nl/uuid:73491ad5-d288-48a6-8dfd-5454ad5a7318","Impact of Transforming Interface Geometry on Edge States in Valley Photonic Crystals","Yu, D. (Kavli institute of nanoscience Delft; Student TU Delft); Arora, S. (Kavli institute of nanoscience Delft; Student TU Delft); Kuipers, L. (TU Delft QN/Quantum Nanoscience; Kavli institute of nanoscience Delft)","","2024","We investigate how altering the interface geometry from a zigzag to a glide plane interface between two topologically distinct valley Hall emulating photonic crystals (VPC), profoundly affects edge states. We experimentally observe a transition from gapless to gapped edge states, accompanied by the occurrence of slow light within the Brillouin zone, rather than at its edge. We numerically simulate the propagation and measure the transmittance of the modified edge states through a specially designed valley-conserving defect. The robustness to backscattering gradually decreases, suggesting a disruption of valley-dependent transport. We demonstrate the significance of interface geometry to gapless edge states in a VPC.","","en","journal article","","","","","","","","","","QN/Quantum Nanoscience","","","",""
"uuid:a9aefce1-1037-430c-ae99-13c1d2aedc9d","http://resolver.tudelft.nl/uuid:a9aefce1-1037-430c-ae99-13c1d2aedc9d","Introducing a new method to assess the benefits of resources recovered from wastewater to the natural environment","Bhambhani, A. (TU Delft Sanitary Engineering); Jovanovic, O. (TU Delft Sanitary Engineering); van Nieuwenhuijzen, Arjen (Wageningen University & Research; Witteveen+Bos); van der Hoek, J.P. (TU Delft Sanitary Engineering; Waternet); Kapelan, Z. (TU Delft Sanitary Engineering)","","2024","Resources recovery can improve the economic efficiency and reduce the negative environmental impacts of municipal wastewater treatment plants (MWWTP). The recovered resources can also actively benefit the natural environment enabling a reciprocal relationship between human society and nature. Focusing on these benefits can reveal new resources recovery opportunities. Moreover, for certain environmental impact categories such as emissions of reactive nitrogen, mere damage reduction is insufficient because these emissions are already beyond planetary limits. However, quantitative methods to assess nature benefits are lacking. A new method is developed to calculate the potential nature benefits in three categories: Freshwater restoration, biomass assimilation of nutrients, and soil organic matter sequestration and it is demonstrated on a real-life MWWTP. Focusing on resources recovery helps to purify the wastewater sufficiently for discharge and to benefit the natural environment. Treated wastewater discharge into a river can support freshwater restoration depending on the effluent quality. High quality is achieved by the sufficient removal of the nutrients and organic matter and discharging into a high-flow stream. The recovery of nutrients helps to close the nutrient cycle through biomass assimilation. To maximize this benefit, the nutrient recovery efficiency from the MWWTP must be maximized. But, increasing the nutrient uptake efficiency in agriculture is also crucial, especially for nitrogen. The wastewater sludge products can be applied to soil to sequester organic matter and the products with low volatile solids should be preferred. The development of the new method is a start to recognizing and assessing the potentially positive role of humans in nature.","Reciprocity; Nature benefits; Resources recovery; Wastewater treatment","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:6e8d4e52-b9d8-4da1-8805-25c2dd14cfee","http://resolver.tudelft.nl/uuid:6e8d4e52-b9d8-4da1-8805-25c2dd14cfee","Introduction of a human- and keyboard-friendly N-glycan nomenclature","Altmann, Friedrich (BOKU-University of Natural Resources and Life Sciences); Helm, Johannes (BOKU-University of Natural Resources and Life Sciences); Pabst, Martin (TU Delft BT/Environmental Biotechnology); Stadlmann, Johannes (BOKU-University of Natural Resources and Life Sciences)","","2024","In the beginning was the word. But there were no words for N-glycans, at least, no simple words. Next to chemical formulas, the IUPAC code can be regarded as the best, most reliable and yet immediately comprehensible annotation of oligosaccharide structures of any type from any source. When it comes to N-glycans, the venerable IUPAC code has, however, been widely supplanted by highly simplified terms for N-glycans that count the number of antennae or certain components such as galactoses, sialic acids and fucoses and give only limited room for exact structure description. The highly illustrative - and fortunately now standardized - cartoon depictions gained much ground during the last years. By their very nature, cartoons can neither be written nor spoken. The underlying machine codes (e.g., GlycoCT, WURCS) are definitely not intended for direct use in human communication. So, one might feel the need for a simple, yet intelligible and precise system for alphanumeric descriptions of the hundreds and thousands of N-glycan structures. Here, we present a system that describes N-glycans by defining their terminal elements. To minimize redundancy and length of terms, the common elements of N-glycans are taken as granted. The preset reading order facilitates definition of positional isomers. The combination with elements of the condensed IUPAC code allows to describe even rather complex structural elements. Thus, this “proglycan” coding could be the missing link between drawn structures and software-oriented representations of N-glycan structures. On top, it may greatly facilitate keyboard-based mining for glycan substructures in glycan repositories.","N-glycans; nomenclature; structural features","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:8886954b-8173-42ea-82e6-a45e01958703","http://resolver.tudelft.nl/uuid:8886954b-8173-42ea-82e6-a45e01958703","Spatial Robotic Experiences as a Ground for Future HRI Speculations","Murray-Rust, D.S. (TU Delft Human Information Communication Design); Gorbet, Matt (Living Architecture System Group, Waterloo); Filthaut, L. (TU Delft Externenregistratie); Lupetti, M.L. (TU Delft Design Aesthetics); van der Helm, A.J.C. (TU Delft Design Conceptualization and Communication); Chiu, Adrian (University of Waterloo); Ianniello, A. (TU Delft Human-Centred Artificial Intelligence; TU Delft Human-Robot Interaction); Beesley, Philip (University of Waterloo)","","2024","This work illustrates how artistic robotic systems can provide a reservoir of unfamiliarity and a basis for speculation, to open the field toward new ways of thinking about HRI. We reflect on a collaborative project between design students, a media art studio, and design researchers working with the baggage handling department of the Schiphol airport. Engaging with the industrial context, we developed 'metabehaviours' - abstracted ideas of processes carried out on the worksite-and passed these over to the students who translated them into robotic enactions using a predefined hardware developed by the media art studio. The resulting visit experience challenges the audience to decode the installation in terms of metabehaviours and their possible relations to industrial HRI. We used this to reflect on the value of conducting artistic and speculative work in HRI and to distil actionable recommendations for future research.","Art; Human-Robot Interaction; Industrial Robotics; Interaction Design; Speculative design","en","conference paper","IEEE","","","","","","","","","","Human Information Communication Design","","",""
"uuid:b9d09ad4-75e8-40df-95c5-1da8259ef2f9","http://resolver.tudelft.nl/uuid:b9d09ad4-75e8-40df-95c5-1da8259ef2f9","Deep reinforcement learning for process design: Review and perspective","Gao, Q. (TU Delft ChemE/Process Systems Engineering); Schweidtmann, A.M. (TU Delft ChemE/Process Systems Engineering)","","2024","The transformation toward renewable energy and feedstock supply in the chemical industry requires new conceptual process design approaches. Recently, deep reinforcement learning (RL), a subclass of machine learning, has shown the potential to solve complex decision-making problems and aid sustainable process design. However, its suitability in static process design still needs to be examined. We discuss the advantages and disadvantages of RL for process design. Then, we survey state-of-the-art research through three major elements: (1) information representation, (2) agent architecture, and (3) environment and reward. Moreover, we discuss perspectives on underlying challenges and promising future works to unfold the full potential of RL for process design in chemical engineering.","","en","review","","","","","","","","","","","ChemE/Process Systems Engineering","","",""
"uuid:6bde9094-95fe-431a-9d72-f72b2e111b2c","http://resolver.tudelft.nl/uuid:6bde9094-95fe-431a-9d72-f72b2e111b2c","Large-Scale Channel Response to Erosion-Control Measures","Ylla Arbos, C. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Blom, A. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); White, S. R. (University of California); Patzwahl, R. (Federal Waterways Engineering and Research Institute (BAW)); Schielen, R.M.J. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Rijkswaterstaat)","","2024","Erosion-control measures in rivers aim to provide sufficient navigation width, reduce local erosion, or to protect neighboring communities from flooding. These measures are typically devised to solve a local problem. However, local channel modifications trigger a large-scale channel response in the form of migrating bed level and sediment sorting waves. Our objective is to investigate the large-scale channel response to such measures. We consider the lower Rhine River from Bonn (Germany) to Gorinchem (the Netherlands), where numerous erosion-control measures have been implemented since the 1980s. We analyze measured bed level data (1999–2020) around four erosion-control measures, comprising scour filling, bendway weirs, and two fixed beds. To get further insight on the physics behind the observed behavior, we set up an idealized one-dimensional numerical model. Finally, we study how the geometry and spacing of the measures affect channel response. We show that erosion-control measures reduce the sediment flux due to (a) lack of erosion over the measure and (b) sediment trapping upstream of the measure, resulting in downstream-migrating incision waves that travel tens of kilometers at decadal timescales. When the measures are in close proximity, their downstream effects may be amplified. We conclude that, despite fulfilling erosion-control goals at the local scale, erosion-control measures may worsen large-scale channel-bed incision.","channel bed incision; channel response; engineered rivers; erosion-control measures; fixed beds","en","journal article","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:33c5c36b-b35e-44f9-a006-53ff7b6a86c5","http://resolver.tudelft.nl/uuid:33c5c36b-b35e-44f9-a006-53ff7b6a86c5","29.3 A Cryo-CMOS Receiver with 15K Noise Temperature Achieving 9.8dB SNR in 10μs Integration Time for Spin Qubit Readout","Prabowo, B. (TU Delft QCD/Babaie Lab; TU Delft QuTech Advanced Research Centre); Pietx i Casas, O. (TU Delft QCD/Vandersypen Lab; TU Delft QuTech Advanced Research Centre); Montazerolghaem, M.A. (TU Delft Electronics); Scappucci, G. (TU Delft QCD/Scappucci Lab; TU Delft QuTech Advanced Research Centre); Vandersypen, L.M.K. (TU Delft QuTech Advanced Research Centre; TU Delft QN/Vandersypen Lab); Sebastiano, F. (TU Delft Quantum Circuit Architectures and Technology; TU Delft QuTech Advanced Research Centre); Babaie, M. (TU Delft Electronics; TU Delft QuTech Advanced Research Centre)","","2024","Continuous rounds of quantum error correction (QEC) are essential to achieve faulttolerant quantum computers (QCs). In each QEC cycle, thousands of ancilla quantum bits (qubits) must be read out faster than the qubits' decoherence time (<<T2∗~120μs for spin qubits). To address this urgent need, several CMOS receivers operating at cryogenic temperatures (cryo-CMOS RXs) have recently been introduced for gate-based [1] and RF reflectometry [2] readout of spin qubits, as well as transmons' dispersive readout [3]. However, they have a few shortcomings. First, due to the temperatureindependent shot noise of transistors in nanometer CMOS technology [4], their measured noise temperature (TN) is limited to 40K, thus degrading qubit readout fidelity. Second, due to their large TN, prior art showed either only the electrical performance of their chips by applying a relatively large (i.e., -85dBm [2]) modulated signal directly to the RX input [2,3] or offered limited qubit measurements by exploiting a HEMT amplifier prior to the RX [1]. Those issues hinder future monolithic integration between solid-state qubits and readout electronics. This work advances the prior art by (1) introducing a wideband passive amplification circuit at the RX front-end to minimize the shot noise contribution of the active devices, lowering prior art TN by ~2.7x; (2) demonstrating the RX performance in an RF-reflectometry qubit readout scheme without using off-the-shelf LNA prior to the RX.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-13","","","QCD/Babaie Lab","","",""
"uuid:666d9f4f-fdf5-4d48-870c-d0b3191b7090","http://resolver.tudelft.nl/uuid:666d9f4f-fdf5-4d48-870c-d0b3191b7090","Parametric investigation of railway fastenings into the formation and mitigation of short pitch corrugation","Zhang, P. (TU Delft Railway Engineering); Li, S. (TU Delft Railway Engineering); Dollevoet, R.P.B.J. (TU Delft Railway Engineering); Li, Z. (TU Delft Railway Engineering)","","2024","Short pitch corrugation has been a problem for railways worldwide over one century. In this paper, a parametric investigation of fastenings is conducted to understand the corrugation formation mechanism and gain insights into corrugation mitigation. A three-dimensional finite element vehicle–track dynamic interaction model is employed, which considers the coupling between the structural dynamics and the contact mechanics, while the damage mechanism is assumed to be differential wear. Various fastening models with different configurations, boundary conditions, and parameters of stiffness and damping are built up and analysed. These models may represent different service stages of fastenings in the field. Besides, the effect of train speeds on corrugation features is studied. The results indicate: (1) Fastening parameters and modelling play an important role in corrugation formation. (2) The fastening longitudinal constraint to the rail is the major factor that determines the corrugation formation. The fastening vertical and lateral constraints influence corrugation features in terms of spatial distribution and wavelength components. (3) The strengthening of fastening constraints in the longitudinal dimension helps to mitigate corrugation. Meanwhile, the inner fastening constraint in the lateral direction is necessary for corrugation alleviation. (4) The increase in fastening longitudinal stiffness and damping can reduce the vibration amplitudes of longitudinal compression modes and thus reduce the track corrugation propensity. The simulation in this work can well explain the field corrugation in terms of the occurrence possibility and major wavelength components. It can also explain the field data with respect to the small variation between the corrugation wavelength and train speed, which is caused by frequency selection and jump between rail longitudinal compression modes.","Corrugation formation and mitigation; Fastening modelling and parameters; Finite element vehicle–track interaction model; Rail longitudinal compression modes; Short pitch corrugation","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:c0e15914-6682-4415-a6b6-068bdfcf954e","http://resolver.tudelft.nl/uuid:c0e15914-6682-4415-a6b6-068bdfcf954e","Advancements and insights in thermal and water management of proton exchange membrane fuel cells: Challenges and prospects","Zhang, Zhenya (Zhengzhou University); Mao, Jia (Zhengzhou University); Liu, Zhengxuan (TU Delft Design & Construction Management)","","2024","In response to the growing global demand for clean and sustainable energy solutions, proton exchange membrane fuel cells (PEMFCs) have emerged as vital components in diverse decarbonization strategies. Despite their increasing importance, a comprehensive synthesis of recent advancements, challenges, and future prospects in thermal and water management within this domain remains notably scarce. This paper aims to bridge this gap by conducting a meticulous literature review focused on thermal and water management in PEMFCs. Primarily, this study encapsulates the underlying mechanisms governing thermal and water generation in PEMFCs, intricately analyzing thermal and water generation analyses. Secondly, a multifaceted exploration of thermal and water transfer mechanisms, alongside their pivotal influencing factors, is presented. Furthermore, the discourse delves into sophisticated strategies for refining water and thermal management in PEMFCs. As well as delving into the complexities of high-power heat dissipation and water balance, especially water management for cold start and high temperature operating conditions. The culmination of this investigation yields valuable insights into the intricate dynamics of thermal and water management within PEMFCs, thereby culminating in forward-looking recommendations for future research trajectories. These findings not only offer scholars a vantage point to discern emerging research frontiers and trends but also extend theoretical precepts and reference points for technology innovators and product developers.","Clean energy; High-power heat dissipation; Proton exchange membrane fuel cells (PEMFCs); Thermal management; Water management","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:7241a1c8-890a-433f-9884-4b96b2f2b3ed","http://resolver.tudelft.nl/uuid:7241a1c8-890a-433f-9884-4b96b2f2b3ed","Spatial optimization of circular timber hubs","Tsui, T.P.Y. (TU Delft Environmental & Climate Design); Venverloo, T. (Massachusetts Institute of Technology); Benson, Tom (Massachusetts Institute of Technology); Duarte, Fábio (Massachusetts Institute of Technology)","","2024","In the European Union, construction is responsible for 36% of CO2 emissions and 40% energy consumption. The reuse of construction materials has been receiving increasing attention, including regulations established by the European Union, and cities establishing goals to reuse construction materials. This is the case for Amsterdam, which established the goal of reusing 50% of construction materials in new construction by 2030. Part of the challenge of reuse of construction materials in urban areas is to optimize the waste-to-resource loops: finding the optimal scale and location for circular construction hubs—facilities that collect, store, and redistribute construction waste as secondary construction materials. In this paper, we use the supply and demand of timber construction materials in Amsterdam as a case study to find the optimal scale and location for construction hubs. We used the spatial simulated annealing algorithm as an optimization method for balancing the trade-off between small and large-scale hubs, using cost-effectiveness to compare potential locations and identify the optimal solution. We found that the optimal number of hubs for our study area is 29, with an average service radius of 3 km. This study has implications for policymakers, urban planners, and companies seeking to implement circular economy principles.","","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:f7731f60-10c2-4f82-b34b-e41ba95ffacb","http://resolver.tudelft.nl/uuid:f7731f60-10c2-4f82-b34b-e41ba95ffacb","Downscaling MODIS NDSI to Sentinel-2 fractional snow cover by random forest regression","Kollert, Andreas (University of Innsbruck); Mayr, Andreas (University of Innsbruck); Dullinger, Stefan (University of Vienna); Hülber, Karl (University of Vienna); Moser, Dietmar (University of Vienna); Lhermitte, S.L.M. (TU Delft Mathematical Geodesy and Positioning; Katholieke Universiteit Leuven); Gascoin, Simon (Université de Toulouse); Rutzinger, Martin (University of Innsbruck)","","2024","Imagery acquired by the Moderate-resolution Imaging Spectroradiometer (MODIS) provides a global archive of dailyNormalized Difference Snow Index (NDSI) at 500 m nominal resolution since the year 2000. While Sentinel-2 (S2) NDSI provides an increased spatial resolution of 20 m since the year 2015, the temporal resolution amounts to only 5 days and thus lacks the high temporal resolution of MODIS. Efforts to combine NDSI datasets for an increased temporal and spatial resolution have so far focused on the deriving binary snow cover maps or combining data from other sensors. In contrast, we produce fine scale (20 m) fractional snow cover (FSC) by downscaling MODIS NDSI to S2 resolution. Random forest regression predicts S2 NDSI based on dynamic features (MODIS NDSI, day-of-year) and static, topographic features for an alpine study site. Subsequently, FSC is derived from S2 NDSI. Cross-validation results in R2 of 0.795 and RMSE of 0.155 for FSC and outperforms common resampling methods. Multi-annual S2 NDSI metrics are able to slightly improve model accuracy. Our results suggest that combining topographical data and low-resolution NDSI allows to produce daily, high-resolution S2 NDSI and FSC and improve fine scale characterization of snow cover dynamics in mountain landscapes.","downscaling; Fractional Snow Cover (FSC); machine learning; MODIS; Normalized Difference Snow Index (NDSI); Sentinel-2 (S2)","en","journal article","","","","","","","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:6d572537-9f92-450a-a9a4-61f9f3021d6b","http://resolver.tudelft.nl/uuid:6d572537-9f92-450a-a9a4-61f9f3021d6b","Assistive Applications, Accessibility, and Disability Ethics in HRI","Allen, Katherine H. (Tufts University); Aronson, Reuben M. (Tufts University); Bhattacharjee, Tapomayukh (Cornell University); Broz, F. (TU Delft Interactive Intelligence); Chang, Mai Lee (Carnegie Mellon University); Collier, Maggie (Carnegie Mellon University); Faulkner, Taylor Kessler (University of Washington); Lee, Hee Rin (Michigan State University); Neto, Isabel (University of Lisbon)","","2024","This full-day workshop addresses the problems of accessibility in HRI and the interplay of ethical considerations for disability-centered design and research, accessibility concerns for disabled researchers, and the design of assistive HRI technologies. We invite authors to submit extended abstracts (up to 2 pages, excluding references) and short papers (up to 4 pages, excluding references) on a range of topics relevant to ethics, accessibility, and assistive applications in HRI, including critical reflections on methodologies, design papers on human-centered or anti-ableist assistive technology, and papers from those outside the HRI community who may have insight to share on these concerns. The workshop will use a hybrid format to allow participants who due to disability, geographic, financial, or other constraints, are unable to travel, and will feature keynote speakers, panel discussions, and breakout sessions.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-11","","","Interactive Intelligence","","",""
"uuid:b7f6902b-69fd-489f-aad8-21e950cd3224","http://resolver.tudelft.nl/uuid:b7f6902b-69fd-489f-aad8-21e950cd3224","Editorial","Llombart, Nuria (TU Delft Tera-Hertz Sensing); Hegmann, Frank (University of Alberta); Song, Ho Jin (Pohang University of Science and Technology)","","2024","","","en","contribution to periodical","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-05","","","Tera-Hertz Sensing","","",""
"uuid:ddddd8d4-ea74-4c70-9407-1b6c3953208e","http://resolver.tudelft.nl/uuid:ddddd8d4-ea74-4c70-9407-1b6c3953208e","Refining the survey model of the LADM ISO 19152–2: Land registration","Kalogianni, E. (TU Delft Digital Technologies); Dimopoulou, Efi (National Technical University of Athens); Gruler, Hans-Christoph (Leica Geosystems); Stubkjær, Erik (Aalborg University); Morales, Javier (International Institute for Geo-Information Science and Earth Observation (ITC)); Lemmen, Christiaan (International Institute for Geo-Information Science and Earth Observation (ITC)); van Oosterom, P.J.M. (TU Delft Digital Technologies)","","2024","Cadastral surveying involves the delineation of property boundaries and the extent and documentation of easements and restrictions (imposed by private or public law), forming the foundation for Land Administration (LA). Survey models and processes constitute vital parts of Cadastres and Land Administration Systems (LASs). However, these models are often inadequately documented and lack standardization in practice. To address the global diversity and complexity of legal and administrative challenges in LA, standardization efforts have yielded the ISO 19152:2012 (ISO, 2012) Land Administration Domain Model (LADM), the Global Land Tool Network's (GLTN) Social Tenure Domain Model (STDM), and the OGC LandInfra/InfraGML standard. The current edition of the LADM focuses on standardised conceptual modelling of LA-related information, including a dedicated sub-package for Spatial and Surveying representation. As part of the ongoing LADM revision, a refined survey model is being developed to support a broad range of surveying and data acquisition approaches and levels of accuracy. Recognizing that surveying technology is not bound by national practices and regulations, this paper focuses specifically on the surveying aspect of LADM. It illustrates that the proposed refined survey model is applicable not only to conventional real property formation but also to participatory land rights recordation processes. The approach adopted in this research is technology-neutral, accommodating the ongoing evolution of surveying technology. It offers support for a broad range of surveying and data acquisition approaches, with varying levels of accuracy. As the demand for high-precision positioning has been persistent within the land mapping and surveying community, particularly since the initial adoption of GPS, aiming to achieve centimetre-level accuracies (initially confined to local services), the paper addresses the fundamental principles of the High Accuracy Service (HAS) concept within the proposed model. The main results presented in this paper are the conceptual model of the refined survey model of LADM Edition II (ISO19152–2), as well as an abstract, reference, cadastral surveying workflow following the principles of the proposed model.","Cadastral surveying workflow; Interoperability; LADM; Land administration; Standards; Survey model","en","journal article","","","","","","","","","","","Digital Technologies","","",""
"uuid:ea56f881-8f7d-429b-adea-62735ff28326","http://resolver.tudelft.nl/uuid:ea56f881-8f7d-429b-adea-62735ff28326","Connecting the PhD in Design: How PhDs label their Thesis Research","Mattioli, F. (Politecnico di Milano); Figoli, Fabio (Politecnico di Milano); Stappers, P.J. (TU Delft Design Conceptualization and Communication)","Jones, D. (editor); Borekci, N. (editor); Clemente, V. (editor); Corazzo, J. (editor); Lotz, N. (editor); Nielsen, L.M. (editor); Noel, L. (editor)","2024","As design research matures, more designers pursue a PhD. In its turn, the PhD itself is changing from a solitary preparation for a career in academia toward an increasing emphasis on interdisciplinary and international experience and a greater variety of jobs. These developments call for greater opportunities for PhD candidates to build their network during the PhD. The WunderLibrary, developed in the EU-funded project DoCS4Design, aims to connect PhD candidates through a web-based platform for sharing educational and research materials and making contact. To seed the platform's ontology, the collection of tags which connect the items in it, we studied the keywords and metadata from a set of 342 PhD theses from the 6 PhD programmes in the project over the last decade. The collection of theses came with between three and six freely chosen keywords, typically provided by the authors. In this paper, we describe the process of curating the keywords and clustering the resulting data on three levels. This produced a set of 342 keywords and two levels of clustering. The raw data are openly available. We discuss data analysis and a spin-off application that uses higher-level labels to help PhD candidates describe their work.","doctoral education; design research; Keywords; alignment;; research through design","en","conference paper","Design Research Society","","","","","","","","","","Design Conceptualization and Communication","","",""
"uuid:ce22c247-27e3-46ea-a05d-55c720c35085","http://resolver.tudelft.nl/uuid:ce22c247-27e3-46ea-a05d-55c720c35085","The OPS-SAT case: A data-centric competition for onboard satellite image classification","Meoni, G. (TU Delft Space Systems Egineering; European Space Agency (ESA)); Märtens, Marcus (European Space Agency (ESA)); Derksen, Dawa (European Space Agency (ESA)); See, Kenneth (Inovor Technologies); Lightheart, Toby (Inovor Technologies); Sécher, Anthony (Capgemini Engineering–Hybrid Intelligence); Martin, Arnaud (Capgemini Engineering–Hybrid Intelligence); Rijlaarsdam, David (DCU); Fanizza, Vincenzo (DCU); Izzo, Dario (European Space Agency (ESA))","","2024","While novel artificial intelligence and machine learning techniques are evolving and disrupting established terrestrial technologies at an unprecedented speed, their adaptation onboard satellites is seemingly lagging. A major hindrance in this regard is the need for high-quality annotated data for training such systems, which makes the development process of machine learning solutions costly, time-consuming, and inefficient. This paper presents “the OPS-SAT case”, a novel data-centric competition that seeks to address these challenges. The powerful computational capabilities of the European Space Agency’s OPS-SAT satellite are utilized to showcase the design of machine learning systems for space by using only the small amount of available labeled data, relying on the widely adopted and freely available open-source software. The generation of a suitable dataset, design and evaluation of a public data-centric competition, and results of an onboard experimental campaign by using the competition winners’ machine learning model directly on OPS-SAT are detailed. The results indicate that adoption of open standards and deployment of advanced data augmentation techniques can retrieve meaningful onboard results comparatively quickly, simplifying and expediting an otherwise prolonged development period. (Figure presented.).","artificial intelligence (AI); data-centric competition; onboard classification; onboard machine learning; OPS-SAT","en","journal article","","","","","","","","","","","Space Systems Egineering","","",""
"uuid:c8a783ba-9619-4a2a-b0f5-c8e4ab5d12ae","http://resolver.tudelft.nl/uuid:c8a783ba-9619-4a2a-b0f5-c8e4ab5d12ae","Towards strengthening Methods in Design Education and Practice","Frascara, Jorge (University of Alberta); Gardien, Paul (Eindhoven University of Technology); Noël, Guillermina (Luzern University of Applied Sciences and Arts); Rosenberg, Daniel (San José State University); Stappers, P.J. (TU Delft Design Conceptualization and Communication); Wilde, Danielle (University of Southern Denmark)","Jones, D. (editor); Borekci, N. (editor); Clemente, V. (editor); Corazzo, J. (editor); Lotz, N. (editor); Nielsen, L.M. (editor); Noel, L. (editor)","2024","Design skills and methods have been at the conceptual foundation of the design discipline(s) for at least the past half century. Over this period, design has also changed, focusing on new outcomes, serving new goals, and addressing different scales and broader application areas. On the one hand, there is a large set of methods and tools; on the other hand, there is growing visibility with ‘design thinking’ both giving design greater appeal and a shallower message. Currently many design schools struggle with adapting their curricula to meet the new demands for sustainability, diversity, and incorporating new technologies such as AI or Biodesign (designing as, with and for nature). Several academic initiatives have produced visions giving direction to those efforts. This paper reports the outcomes of a series of discussions by experienced educators, attempting to produce a specification of the goals and detailed objectives of design methods education. We share these outcomes not as a definitive prescription for the incorporation of methods within a design curriculum, but as a reference point for further development.","design methods; design education; curriculum development; critical thinking","en","conference paper","Design Research Society","","","","","","","","","","Design Conceptualization and Communication","","",""
"uuid:f5673e6b-2539-4e65-8d37-f9bc51900f0e","http://resolver.tudelft.nl/uuid:f5673e6b-2539-4e65-8d37-f9bc51900f0e","Enhanced Real-Time Multi-Terminal HVDC Power System Benchmark Models with Performance Evaluation Strategies","Shetgaonkar, A.D. (TU Delft Intelligent Electrical Power Grids); Karmokar, T.R. (TU Delft Intelligent Electrical Power Grids; TenneT TSO B.V.); Popov, M. (TU Delft Intelligent Electrical Power Grids); Lekić, A. (TU Delft Intelligent Electrical Power Grids)","","2024","Real-time simulations have become a crucial tool for life cycle studies of VSC-based HVDC systems. This paper introduces real-time Multi-Terminal HVDC (MTDC) power [1] system network models with real-time wind pro le feedback. It addresses the shortcomings of existing benchmark network models and lls the modeling gaps. ® RSCAD/RTDS environment represents the real-time modeling techniques for studying the life cycle of Bipolar Metallic Return con guration of HVDC systems. This paper evaluates the performance of the proposed network model using unscheduled events, startup, and black start events. Future studies can be conducted using the proposed network models by mimicking the actual performance of cable-based DC grids while considering the computational insights from this paper. The ndings of this paper shall enable the identi cation of various stress points that can be utilized to specify technical requirements for component design and AC/DC protection studies concerning startup and black start sequence.","AC/DC Protection; Metallic Return; Multi-Terminal DC; RTS model; SIL","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-31","","","Intelligent Electrical Power Grids","","",""
"uuid:502f6dd5-c64f-4411-ad5a-47f7b0de3db5","http://resolver.tudelft.nl/uuid:502f6dd5-c64f-4411-ad5a-47f7b0de3db5","Photochemical fate of quaternary ammonium compounds (QACs) and degradation pathways predication through computational analysis","Mohapatra, Sanjeeb (TU Delft Sanitary Engineering; National University of Singapore; Campus for Research Excellence and Technological Enterprise); Li Xian, Jovina Lew (National University of Singapore); Gálvez-Rodríguez, Andy (University of Alberta); Ekande, Onkar Sudhir (Indian Institute of Technology Madras); Drewes, Jörg E. (Technische Universität München); Gin, Karina Yew-Hoong (National University of Singapore; Campus for Research Excellence and Technological Enterprise)","","2024","Quaternary ammonium compounds (QACs) are commonly used in many products, such as disinfectants, detergents and personal care products. However, their widespread use has led to their ubiquitous presence in the environment, posing a potential risk to human and environmental health. Several methods, including direct and indirect photodegradation, have been explored to remove QACs such as benzylalkyldimethyl ammonium compounds (BACs) and alkyltrimethyl ammonium compounds (ATMACs) from the environment. Hence, in this research, a systematic review of the literature was conducted using PRISMA (Preferred Reporting Items for Systematic Reviews and Meta-Analysis) method to understand the fate of these QACs during direct and indirect photodegradation in UV/H2O2, UV/PS, UV/PS/Cu2+, UV/chlorine, VUV/UV/chlorine, O3/UV and UV/O3/TiO2 systems which produce highly reactive radicals that rapidly react with the QACs, leading to their degradation. As a result of photodegradation, several transformation products (TPs) of QACs are formed, which can pose a greater risk to the environment and human health than the parent QACs. Only limited research in this area has been conducted with fewer QACs. Hence, quantum mechanical calculations such as density functional theory (DFT)-based computational calculations using Gaussian09 software package were used here to explain better the photo-resistant nature of a specific type of QACs, such as BACs C12-18 and ATMACs C12-C18, and their transformation pathways, providing insights into active sites participating in the phototransformation. Recognizing that different advanced oxidation processes (AOPs) come with pros and cons in the elimination of QACs, this review also highlighted the importance of implementing each AOP concerning the formation of toxic transformation products and electrical energy per order (EEO), especially when QACs coexist with other emerging contaminants (ECs).","Quaternary ammonium compounds (QACs); photochemical fate; computational analysis; degradation pathway","en","review","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:24e4ed3c-9dc2-4405-9b87-ba9d9588f02b","http://resolver.tudelft.nl/uuid:24e4ed3c-9dc2-4405-9b87-ba9d9588f02b","Generalized Model and Deep Reinforcement Learning-Based Evolutionary Method for Multitype Satellite Observation Scheduling","Song, Yanjie (Xidian University); Ou, Junwei (Xiangtan University, Xiangtan); Pedrycz, Witold (University of Alberta; Polish Academy of Sciences; Istinye University); Suganthan, Ponnuthurai Nagaratnam (Qatar University); Wang, X. (TU Delft Learning & Autonomous Control); Xing, Lining (Xidian University); Zhang, Yue (Beihang University)","","2024","Multitype satellite observation, including optical observation satellites, synthetic aperture radar (SAR) satellites, and electromagnetic satellites, has become an important direction in integrated satellite applications due to its ability to cope with various complex situations. In the multitype satellite observation scheduling problem (MTSOSP), the constraints involved in different types of satellites make the problem challenging. This article proposes a mixed-integer programming model and a generalized profit representation method in the model to effectively cope with the situation of multiple types of satellite observations. To obtain a suitable observation plan, a deep reinforcement learning-based genetic algorithm (DRL-GA) is proposed by combining the learning method and genetic algorithm. The DRL-GA adopts a solution generation method to obtain the initial population and assist with local search. In this method, a set of statistical indicators that consider resource utilization and task arrangement performance are regarded as states. By using deep neural networks to estimate the <inline-formula> <tex-math notation=""LaTeX"">$Q$</tex-math> </inline-formula> value of each action, this method can determine the preferred order of task scheduling. An individual update strategy and an elite strategy are used to enhance the search performance of DRL-GA. Simulation results verify that DRL-GA can effectively solve the MTSOSP and outperforms the state-of-the-art algorithms in several aspects. This work reveals the advantages of the proposed generalized model and scheduling method, which exhibit good scalability for various types of observation satellite scheduling problems.","Combinatorial optimization problem; deep reinforcement learning (DRL); Earth Observing System; evolutionary algorithm (EA); generalized model; Genetic algorithms; multitype; Optimization; satellite observation; Satellites; scheduling; Sociology; Statistics; Task analysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-15","","","Learning & Autonomous Control","","",""
"uuid:806232b6-879f-4411-acaf-bff1f9df4569","http://resolver.tudelft.nl/uuid:806232b6-879f-4411-acaf-bff1f9df4569","Correction to: The potential of an adaptive computerized dynamic assessment tutor in diagnosing and assessing learners’ listening comprehension (Education and Information Technologies, (2024), 29, 3, (3637-3661), 10.1007/s10639-023-11871-w)","Izadi, Mehri (University of Sistan and Baluchestan); Izadi, M. (TU Delft Software Engineering); Heidari, Farrokhlagha (University of Sistan and Baluchestan)","","2024","In the PDF of this article, the pages were incorrectly numbered as ‘2303–2327’ when it should have been ‘3637–3661’. The page range was found to be just correct in the HTML version of the article. The original article has been corrected.","","en","journal article","","","","","","","","","","","Software Engineering","","",""
"uuid:978d6ed5-51d4-4919-9659-c7f5fdfd5c3c","http://resolver.tudelft.nl/uuid:978d6ed5-51d4-4919-9659-c7f5fdfd5c3c","Bloom weighted bounds for sparse forms associated to commutators","Lerner, Andrei K. (Bar-Ilan University); Lorist, E. (TU Delft Analysis); Ombrosi, Sheldy (Universidad Complutense de Madrid; UNS-CONICET San Andres 800)","","2024","In this paper we consider bilinear sparse forms intimately related to iterated commutators of a rather general class of operators. We establish Bloom weighted estimates for these forms in the full range of exponents, both in the diagonal and off-diagonal cases. As an application, we obtain new Bloom bounds for commutators of (maximal) rough homogeneous singular integrals and the Bochner–Riesz operator at the critical index. We also raise the question about the sharpness of our estimates. In particular we obtain the surprising fact that even in the case of Calderón–Zygmund operators, the previously known quantitative Bloom bounds are not sharp for the second and higher order commutators.","42B20; 42B25; 47B47; Bilinear sparse forms; Bloom weighted bounds; Iterated commutators","en","journal article","","","","","","","","","","","Analysis","","",""
"uuid:dde37837-c197-4f8f-93bb-11775a9e740a","http://resolver.tudelft.nl/uuid:dde37837-c197-4f8f-93bb-11775a9e740a","Thermal Stability of F-Rich Phlogopite and K-Richterite During Partial Melting of Metasomatized Mantle Peridotite With Implications for Deep Earth Volatile Cycles","Steenstra, E.S. (TU Delft Planetary Exploration; University of Münster); Klaver, M. (University of Münster); Berndt, J. (University of Münster); Flemetakis, S. (University of Münster; ETH Zürich); Rohrbach, A. (University of Münster); Klemme, S. (University of Münster)","","2024","Phlogopite and K-richterite constitute important carrier phases for H and F in Earth's lithosphere and mantle. The relative importance depends on their stabilities at high pressure and temperature, which in turn depends on bulk composition. Most previous experimental studies focused on the thermal stability of phlogopite and K-richterite were conducted using simplified chemical compositions. Here, partial melting experiments on metasomatized and carbonated, OH ± F-bearing near-natural peridotite were performed at high pressures (2 and 5 GPa) and temperatures (1,100–1,350°C) to assess the thermal stability of F-free versus F-bearing phlogopite and K-richterite. Experimental results demonstrate that the thermal stability of F-bearing phlogopite is increased by >55°C/wt.% F, relative to F-free phlogopite, whereas K-richterite is absent in all experiments with significant degrees of melting (>2%). The thermal stability of phlogopite containing several wt.% F exceeds continental and oceanic geotherms within the upper 150 km. Fluorine-rich phlogopite would therefore be stable in virtually all of the continental lithosphere, only to be decomposed during large, regional melting events such as continental break-up, thereby acting as a major long-term sink for F and/or H. This could even be the case for the oceanic asthenosphere, depending on the oceanic geotherm of the area of interest.","fluorine; hydrogen; metasomatism; partial melting; peridotite; volatiles","en","journal article","","","","","","","","","","","Planetary Exploration","","",""
"uuid:518836f0-7b9c-4b55-96d6-638de4a1f230","http://resolver.tudelft.nl/uuid:518836f0-7b9c-4b55-96d6-638de4a1f230","Consolidating backcasting: A design framework towards a users’ guide","Kishita, Yusuke (University of Tokyo); Höjer, Mattias (KTH Royal Institute of Technology); Quist, J.N. (TU Delft Energie and Industrie)","","2024","Backcasting has become a widely applied approach to address sustainability challenges when transformative changes are required. However, dispersed and contextualized knowledge of backcasting methodologies and practices needs to be systematized, codified, and synthesized to support researchers, commissioners, practitioners, and stakeholders in backcasting projects. In this paper, we address these issues by (i) concisely reviewing the evolution and current body of literature on backcasting and how this relates to other major types of futures and scenario studies and (ii) developing a design framework for researchers and practitioners that systematically covers all methodological choices with regard to key guiding questions to develop a backcasting methodology for a particular backcasting project. The developed design framework is based on four parts, characterized by the interrogatives when, which, how, and what, creating a comprehensive framework for describing a backcasting study.","Backcasting; Design framework; Foresight; Futures studies; Sustainability transitions","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:f3095acb-a2f4-4b87-bc88-328c359b34c3","http://resolver.tudelft.nl/uuid:f3095acb-a2f4-4b87-bc88-328c359b34c3","Better decisions with less cognitive load: The Parsimonious BWM","Corrente, Salvatore (University of Catania); Greco, Salvatore (University of Catania); Rezaei, J. (TU Delft Transport and Logistics)","","2024","Despite its recent introduction in literature, the Best–Worst Method (BWM) is among the most well-known and applied methods in Multicriteria Decision-Making. The method can be used to elicit the relative importance (weight) of the criteria as well as to get the priorities of the alternatives on the criteria at hand. In this paper, we will present an extension of the method, namely, the parsimonious Best–Worst-Method (P-BWM) permitting to apply the BWM to get the priorities of the alternatives in case they are in a large number. At first, the Decision-Maker (DM) is asked to give a rating to the alternatives under consideration; after, the classical BWM is applied to a set of reference alternatives to get their priorities used to compute, then, the priorities of all the alternatives under consideration. We propose also a procedure to select reference alternatives, possibly in cooperation with the DM, providing a well-distributed coverage of the rating range. The new proposal requires the DM a fewer number of pairwise comparisons than the original BWM. Another contribution of the paper is related to the comparison between BWM, P-BWM, the Analytic Hierarchy Process (AHP), and the parsimonious AHP in terms of the amount of preference information provided by the DM in each method to apply it. In addition to the standard approach, we propose one alternative way of inferring the priority vectors in BWM and P-BWM based on the barycenter of the space of alternatives priorities compatible with the preferences given by the DM. Finally, an experiment with university students has been conducted to test the new proposal. Results of the experiments show that P-BWM performs better than BWM in terms of capability to represent the DM's preferences and the difference between the results of the two methods is significant from the statistical point of view. The new proposal will permit to use the potentialities of the BWM to get the alternatives’ priorities in real-world decision-making problems where a large number of alternatives must be taken into account.","Alternatives’ priorities; Best–Worst Method; Parsimonious elicitation","en","journal article","","","","","","","","","","","Transport and Logistics","","",""
"uuid:6b4ecee4-1ff9-4b46-8f7c-e45a162841b1","http://resolver.tudelft.nl/uuid:6b4ecee4-1ff9-4b46-8f7c-e45a162841b1","Pentamodes: Effect of unit cell topology on mechanical properties","Mohammadi, Kaivan (Sharif University of Technology); Shafia, Moein (Sharif University of Technology); Akbari, Javad (Sharif University of Technology); Hedayati, R. (TU Delft Novel Aerospace Materials)","","2024","Pentamodes (first conceived theoretically by Milton and Cherkaev) are a very interesting class of mechanical metamaterials that can be used as building blocks of structures withdecoupled bulk and shear moduli. The pentamodes usually are composed of double cone-shaped struts with the middle diameter being large and the end diameters being tiny (ideally approaching zero). The cubic diamond geometry was proposed by Milton and Cherkaev as a suitable geometry for the unit cell and has since been used in the majority of the works on pentamodes. In this work, we aim to evaluate the degree to which the base unit cell design contributes to high bulk to shear modulus ratio, also known as Figure Of Merit(FOM). In addition to the diamond unit cell, three other well-known unit cell types are considered, and the effect of small diameter size and the ratio of large-to-small diameter, α, on the FOM is evaluated. The results showed that regardless of the base unit cell shape, the FOM value is highly dependent on the d (the smaller diameter size of double-cone) value, while its dependence on the D (the greater diameter of double-cone) value is very weak. For d/h∝0.05 (h representing the linkage length), figures of merit in the range of 103 could be reached for all the studied topologies.","3D printing; Meta-fluids; Metamaterials; Pentamodes","en","journal article","","","","","","","","","","","Novel Aerospace Materials","","",""
"uuid:546be427-9b8d-497f-8b99-020a30178508","http://resolver.tudelft.nl/uuid:546be427-9b8d-497f-8b99-020a30178508","Rethink the City: New Approaches to Global and Local Urban Challenges","","van der Watt, A. (editor); Vergara d'Alençon, L.M. (editor); Tempels Moreno Pessoa, Igor (editor); Newton, C.E.L. (editor)","2024","In light of rapid urbanisation and the accelerating threats of climate change, scale and multitude are what set the Global North and South apart. Yet, as this course exposes, the issues faced by urban areas have resembling themes and characteristics, regardless of economic status or geographic location. Therefore, in the context of sustainable urban development, the binary dichotomy of the terms ‘Global North’ and ‘Global South’ must be contested. While a focus on Africa, Latin America and the Caribbean, Asia, and the MENA region, Rethink the City attempts to understand the transboundary nature of urban issues and provide a platform to gather insights beyond borders. It is only by learning from other narratives that we can collectively address the complex challenges ahead.","Global South; urban development; spatial justice; resilience; e-learning","en","book","TU Delft OPEN","978-94-6366-800-2","","","","","","","","","Real Estate Management","","",""
"uuid:ef4d2052-bb63-406e-a9b5-dff3a281567d","http://resolver.tudelft.nl/uuid:ef4d2052-bb63-406e-a9b5-dff3a281567d","Development of a hazard risk map for assessing pedestrian risk in urban flash floods: A case study in Cúcuta, Colombia","Corzo Perez, Gerald Augusto (IHE Delft Institute for Water Education); Sanchez Tapiero, Diego Ivan (University of Pamplona); Contreras Martínez, Manuel Antonio (University of Pamplona); Zevenbergen, C. (TU Delft Urban Design)","","2024","The rapid growth of impervious areas in urban basins worldwide has increased the number of impermeable surfaces in cities, leading to severe flooding and significant economic losses for civilians. This trend highlights the urgent need for methodologies that assess flood hazards and specifically address the direct impact on pedestrians, which is often overlooked in traditional flood hazard analyses. This study aims to evaluate a methodology for assessing the risk to pedestrians from hydrodynamic forces during urban floods, with a specific focus on Cúcuta, Colombia. The methodology couples research outcomes from other studies on the impact of floodwaters on individuals of different ages and sizes with 1D/2D hydrological modeling. Advanced computational algorithms for image recognition were used to measure water levels at 5-s intervals on November 6, 2020, using drones for digital elevation model data collection. In Cúcuta, where flood risk is high and drainage infrastructure is limited, the PCSWMM (Computer-based Urban Stormwater Management Model) was calibrated and validated to simulate extreme flood events. The model incorporated urban infrastructure details and geomorphological parameters of Cúcuta's urban basin. Four return periods (5, 10, 50, 100), with extreme rainfall of 3 h, were used to estimate the variability of the risk map. The output of the model was analyzed, and an integrated and time-varying comparison of the results was done. Results show that the regions of high-water depth and high velocity could vary significantly along the duration of the different extreme events. Also, from 5 to 100 years return period, the percentage of area at risk increased from 9.6% to 16.6%. The pedestrian sensitivity appears much higher than the increase in velocities or water depth individually. This study identified medium to high-risk locations, which are dynamic in time. We can conclude dynamics are spatiotemporal, and the added information layer of pedestrians brings vulnerability information that is also dynamic. Areas of immediate concern in Cúcuta can enhance pedestrian safety during flash flood events. The spatiotemporal variation of patterns requires further studies to map trajectories and sequences that machine learning models could capture.","hazard and risk mapping; pedestrian risk; urban flood","en","journal article","","","","","","","","","","","Urban Design","","",""
"uuid:f6f291c6-6725-4114-b9db-f5fe858a1029","http://resolver.tudelft.nl/uuid:f6f291c6-6725-4114-b9db-f5fe858a1029","From Global South to Underrepresented Geographies","Tempels Moreno Pessoa, Igor (University of Twente); van der Watt, A. (TU Delft Real Estate Management); Vergara d'Alençon, L.M. (Universidad Diego Portales); Newton, C.E.L. (TU Delft Spatial Planning and Strategy)","van der Watt, Anja (editor); Vergara, Luz María (editor); Pessoa, Igor (editor); Newton, Caroline (editor)","2024","In light of rapid urbanisation and the accelerating threats of climate change, scale and multitude are what set the Global North and South apart. Yet, as this course exposes, the issues faced by urban areas have resembling themes and characteristics, regardless of economic status or geographic location. Therefore, in the context of sustainable urban development, the binary dichotomy of the terms ‘Global North’ and ‘Global South’ must be contested. While a focus on Africa, Latin America and the Caribbean, Asia, and the MENA region, Rethink the City attempts to understand the transboundary nature of urban issues and provide a platform to gather insights beyond borders. It is only by learning from other narratives that we can collectively address the complex challenges ahead.","Global South; Global North; language; underrepresented geographies; unbalanced; imaginary; perceptions; place-based; decolonise","en","book chapter","TU Delft OPEN","","","","","","","","","","Real Estate Management","","",""
"uuid:62903ec3-c55a-4042-8469-f6c5c64f5a03","http://resolver.tudelft.nl/uuid:62903ec3-c55a-4042-8469-f6c5c64f5a03","Rethink the City: Facts, Global Community and Pressing Urban Challenges.","Vergara d'Alençon, L.M. (Universidad Diego Portales); van der Watt, A. (TU Delft Real Estate Management; TU Delft Spatial Planning and Strategy); Tempels Moreno Pessoa, Igor (University of Twente); Newton, C.E.L. (TU Delft Spatial Planning and Strategy)","van der Watt, Anja (editor); Vergara, Luz María (editor); Pessoa, Igor (editor); Newton, Caroline (editor)","2024","Rethink the City supports the co-production of knowledge through collaborative efforts of the global community. Its ambition to broaden the academic study of urban challenges to underrepresented geographies is reflected in its form: A free, online course inclusive to all, regardless of location or background. However, despite its benefits, online education has evident setbacks. This chapter seeks to shine a light on various issues faced by this unconventional academic curriculum and what kind of measures were taken to overcome them.","Co-creation; co-production; online education; platforms; digital learning; participation","en","book chapter","TU Delft OPEN","","","","","","","","","","Real Estate Management","","",""
"uuid:2d975386-a16a-4f4c-bb4e-e93fe38272db","http://resolver.tudelft.nl/uuid:2d975386-a16a-4f4c-bb4e-e93fe38272db","Building Understanding of Experience Design in Digital Health: Preliminary Results Based on Semi-Structured Interviews","Wang, T. (TU Delft Applied Ergonomics and Design); Qian, S. (TU Delft Responsible Marketing and Consumer Behavior); Zhu, Haiou (Loughborough University); Goossens, R.H.M. (TU Delft Human-Centered Design; TU Delft Applied Ergonomics and Design); Giunti, Guido (University of Oulu; Trinity College Dublin); Melles, M. (TU Delft Applied Ergonomics and Design)","Melles, Marijke (editor)","2024","Design is expanding its influence on shaping future healthcare. Ideally, designers apply human-centered design and human factors that introduce theory, principles, and methods to design to optimize people’s healthcare experiences in both digital and non-digital environments. To discuss and implement experience design in healthcare, consensus about experience design in healthcare is needed. Objectives: Therefore, the purpose of this study is to investigate designers’ views on experience design in health, and to uncover their understanding about three experience design concepts, i.e., user experience (UX), patient experience (PEx), and digital patient experience (dPEx). We conducted online semi-structured interviews study with convenience samples who met the eligibility. We used ATLAS.ti for an in-depth data coding following thematic analysis. 24 international designers of digital health solutions, either in industry or in academia took part in the interviews. We found the similarities and differences mentioned between healthcare design and non-healthcare design relate to (1) design principles, (2) user attributes, and (3) design contexts. Furthermore, the differences between UX, PEx, and dPEx can be mapped on five dimensions: people, contexts, purposes, means, and usage scenarios. These insights can help designers and human factors specialists build a common design language for experience design in healthcare. Our study can also assist designers and human factors specialists with experience design in digital health by pointing out the areas where design thinking generally is appropriate and the places where particular expertise in healthcare design is needed.","Digital patient experience; Healthcare design; Human computer interaction; Human-centered design; Patient experience; User experience","en","book chapter","Springer Nature","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-05","","Human-Centered Design","Applied Ergonomics and Design","","",""
"uuid:9e02d17c-9912-473e-8eb1-bffdeaaae44c","http://resolver.tudelft.nl/uuid:9e02d17c-9912-473e-8eb1-bffdeaaae44c","Negotiating Visions of Waste: On the Ethics of Maintaining Waste Infrastructures","Alleblas, J. (TU Delft Ethics & Philosophy of Technology); Hofbauer, B. (TU Delft Ethics & Philosophy of Technology)","Coeckelbergh, Mark (editor); Young, Mark Thomas (editor)","2024","This chapter focuses on the maintenance of waste infrastructures in urban areas, arguing that waste infrastructures and their maintenance should be made more visible to allow for a more extensive, ethical engagement with waste. This contribution claims that cities need to approach the (re)design of municipal waste infrastructures through dynamic maintenance and reflexive repair, wherein waste, repair and maintenance are understood as discursive processes. Waste infrastructures and their maintenance are mostly invisible in daily interactions in cities in High-Income Countries, despite the diversities in waste practices, such as collection and processing. Invisibility is an intended outcome of the design and operation of these infrastructures, stemming from a nineteenth-century waste imaginary called ‘the tidy city’. Current municipal waste infrastructures are kept invisible, upholding beliefs and practices that disvalue waste. While visions have been proposed that challenge this disvalue, few of them have been able to materialise in stratified municipal waste management systems. This engagement is seen as a first step in challenging modern notions of dirt and waste. Visibility is a (new) design criterion for municipal waste infrastructures, a criterion that the authors relate to waste-affirming beliefs and practices, elaborating on anthropological perspectives on dirt and waste.
3 and then used to predict the strengths of specimens with wet densities of 600 and 800 kg/m3. Square and rectangular specimens (slenderness ratio = 2) with widths of 10, 20, 40, 70.7, and 100 mm were investigated. Results show that the air void structure significantly influences the observed size effect on the compressive strength in the investigated size range. A random forest regressor was used to predict the compressive strength of the foamed concrete; the regressor yielded satisfactory results. Finally, existing analytical size effect models were used to fit the simulated strength. Although good fitting was achieved, special attention should be given to the applicable range and physical meaning of fitted empirical parameters.","Compressive strength; Foamed concrete; Lattice model; Lineal-path function; Size effect","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:e383350a-2946-4d44-9383-e59253326e31","http://resolver.tudelft.nl/uuid:e383350a-2946-4d44-9383-e59253326e31","Quantum Technologies: a Hermeneutic Technology Assessment Approach","Possati, L.M. (TU Delft Ethics & Philosophy of Technology)","","2024","This paper develops a hermeneutic technology assessment of quantum technologies. It offers a “vision assessment” of quantum technologies that can eventually lead to socio-ethical analysis. Section 2 describes this methodological approach and in particular the concept of the hermeneutic circle applied to technology. Section 3 gives a generic overview of quantum technologies and their impacts. Sections 4 and 5 apply the hermeneutic technology assessment approach to the study of quantum technologies. Section 5 proposes distinguishing three levels in the analysis of the creation and communication of social meanings to quantum technologies: (a) fictions, (b) popularization, and (c) scientific journalism. Section 6 analyzes the results and defines some lines of action to increase social acceptance and trust in quantum technologies. The aim of this paper is to contribute to the debate on quantum technologies by enhancing the reflection on them and their potential, as well as illustrating the complexity of technological innovation and the need to shape it.","Hermeneutics; Innovation; Quantum technology; Technology assessment","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:857c7f7e-c815-43e8-9bed-44b941daf8f6","http://resolver.tudelft.nl/uuid:857c7f7e-c815-43e8-9bed-44b941daf8f6","The Relationship Between Barriers to Physical Activity and Depressive Symptoms in Community-Dwelling Women","Figueroa, C.A. (TU Delft Information and Communication Technology; University of California); Aguilera, Adrian (University of California); Hoffmann, Thomas J. (University of California); Fukuoka, Yoshimi (University of California)","","2024","Background: Women are less physically active, report greater perceived barriers for exercise, and show higher levels of depressive symptoms. This contributes to high global disability. The relationship between perceived barriers for physical activity and depressive symptoms in women remains largely unexplored. The aims of this cross-sectional analysis were to examine the association between physical activity barriers and depressive symptoms, and identify types of barriers in physically inactive community-dwelling women. Methods: Three hundred eighteen physically inactive women aged 25–65 years completed the Barriers to Being Active Quiz (BBAQ) developed by the Centers for Disease Control and Prevention, and the Center for Epidemiological Studies Depression Scale at the baseline visit of the mobile phone-based physical activity education trial. The BBAQ consists of six subscales (lack of time, social influence, lack of energy, lack of willpower, fear of injury, lack of skill, and lack of resources). We used multivariate regression analyses, correcting for sociodemographics. Results: Higher physical activity barriers were associated with greater depressive symptoms scores (linear effect, estimate = 0.75, 95% confidence interval [CI]: 0.39–1.12, p < 0.001). This effect appeared to taper off for the higher barrier scores (quadratic effect, estimate: -0.02, 95% CI: -0.03 to -0.01, p = 0.002). Exploratory analyses indicated that these associations were most driven by the social influence (p = 0.027) and lack of energy subscales (p = 0.017). Conclusions: Higher depression scores were associated with higher physical activity barriers. Social influence and lack of energy were particularly important barriers. Addressing these barriers may improve the efficacy of physical activity interventions in women with higher depressive symptoms. Future research should assess this in a randomized controlled trial.","depressive symptoms; exercise; physical activity; preventive medicine; women’s health","en","journal article","","","","","","","","","","","Information and Communication Technology","","",""
"uuid:063ae36f-f9d1-442b-abbc-2a8f60452c34","http://resolver.tudelft.nl/uuid:063ae36f-f9d1-442b-abbc-2a8f60452c34","Wriggling in the crowd: An inquiry into the interactions between electric bikes and pedestrians in a shared space","Kazemzadeh, Khashayar (University of Cambridge); Afghari, A.P. (TU Delft Safety and Security Science)","","2024","Shared spaces for active mobility aim to offer safe and comfortable mobility for vulnerable road users by separating them from motorised vehicles. However, the distinct navigation characteristics of these users may increase the complexity of their interactions. The emergence of e-bikes which are faster and heavier than regular bikes has further increased this complexity. This study aims to shed light on the interdependency of e-bikes and pedestrians behaviours in shared spaces, and investigate how they influence each other's navigation. Through a controlled experiment in Lund, Sweden, data were collected on a total of 1520 trajectories of e-bike and pedestrians, their demographics and cycling experience. A simultaneous equation model was used to quantify the interactions between the participants. Results demonstrate significant correlations among variables, highlighting the model's capacity to effectively capturing the hypothesized interdependencies. The findings can inform the development of level-of-service indices and surrogate safety measures for shared spaces.","Cyclists; Electric bikes; Interaction; Level of service; Pedestrians; Shared spaces; Vulnerable road users","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:08100443-b972-48cc-9582-ec2478cc247f","http://resolver.tudelft.nl/uuid:08100443-b972-48cc-9582-ec2478cc247f","The impact of modulational instability on coastal wave forecasting using quadratic models","Akrish, G. (TU Delft Environmental Fluid Mechanics); Reniers, A.J.H.M. (TU Delft Environmental Fluid Mechanics); Zijlema, Marcel (TU Delft Environmental Fluid Mechanics); Smit, P.B. (Sofar Ocean Technologies)","","2024","Coastal wave forecasting over large spatial scales is essential for many applications (e.g., coastal safety assessments, coastal management and developments, etc.). This demand explains the necessity for accurate yet effective models. A well-known efficient modelling approach is the quadratic approach (often referred to as frequency-domain models, nonlinear mild-slope models, amplitude models, etc.). The efficiency of this approach stems from a significant modelling reduction of the original governing equations (e.g., Euler equations). Most significantly, the description of wave nonlinearity essentially collapses into a single mode coupling term determined by the quadratic interaction coefficients. As a result, it is expected that the efficiency achieved by the quadratic approach is accompanied by a decrease in prediction accuracy. In order to gain further insight into the predictive capabilities of this modelling approach, this study examines six different quadratic formulations, three of which are of the Boussinesq type and the other three are referred to as fully dispersive. It is found that while the Boussinesq formulations reliably predict the evolution of coastal waves, the predictions by the fully dispersive formulations tend to be affected by false developments of modulational instability. Consequently, the predicted wave fields by the fully dispersive formulations are characterized by unexpectedly strong modulations of the sea-swell part and associated unexpected infragravity response. The impact of the modulational instability on wave prediction based on the quadratic approach is further demonstrated using existing laboratory results of bichromatic and irregular wave conditions.","Modulation instability; Spectral modelling; Quadratic modelling; Coastal waves; Wave nonlinearity; Infragravity waves","en","journal article","","","","","","","","","","","Environmental Fluid Mechanics","","",""
"uuid:ad99d302-4b18-4b76-8997-ebae12218ee5","http://resolver.tudelft.nl/uuid:ad99d302-4b18-4b76-8997-ebae12218ee5","First International Workshop on Worker-Robot Relationships: Exploring Transdisciplinarity for the Future of Work with Robots","Zaga, Cristina (University of Twente); Lupetti, M.L. (TU Delft Design Aesthetics); Forster, D. (TU Delft Human-Robot Interaction); Murray-Rust, D.S. (TU Delft Human Information Communication Design); Prendergast, J.M. (TU Delft Human-Robot Interaction); Abbink, D.A. (TU Delft Human-Centred Artificial Intelligence; TU Delft Human-Robot Interaction)","","2024","In Industry 5.0, cognitive robots and workers will engage in evolving and reciprocal relations, which we call worker-robot relationships (WRRs). To enable evidence-based work futures with workers, we must co-develop WRRs and understand their impact on work, workers, management, and society. To this end, we posit that the HRI field should work beyond disciplines and include value-driven and plural perspectives through transdisciplinary research done with and for workers. However, WRRs and transdisciplinarity pose unique technical, design, and methodological challenges yet to be explored. We propose a workshop to engage the HRI community working on Industry 5.0, aiming at 1) taking stock of current WRR-related challenges in relevant disciplines, 2) collectively kick-off the exploration of a joint research agenda, 3) preliminary examining if and how transdisciplinarity could help the HRI community, and 4) start discussing how to deal with such complex knowledge integration in practice.","future of work with robots; transdisciplinarity; worker-robot relationships","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-11","","","Design Aesthetics","","",""
"uuid:98f81e01-8824-40b0-bc23-aaa1b497394c","http://resolver.tudelft.nl/uuid:98f81e01-8824-40b0-bc23-aaa1b497394c","The role of health, safety and environmental perceptions on forming bus passengers’ loyalty – A case study of Vietnam","Tran-Thi, Anh Phuong (The University of Da Nang); Nguyen-Phuoc, Duy Quy (The University of Da Nang); Phan, Tho Cao (The University of Da Nang); Oviedo-Trespalacios, O. (TU Delft Safety and Security Science)","","2024","Introduction: Responsible businesses actively engage in climate action, health, and safety initiatives as part of their corporate strategy. The outcomes of such initiatives could play an essential role in forming customers' loyalty. However, very few studies have aimed at understanding the relationship among such factors in the public transport (PT) context. As such, this investigation explores the direct impact of perception of health benefits, environmental benefits and safety on passengers’ loyalty intentions towards a PT service. The mediating roles of image and perceived value on these links are also considered. Methods: Partial Least Square - Structural Equation Modeling (PLS-SEM) was utilised to assess the measurement and develop structural models. A multi-group analysis (MGA) was also deployed to test the significant group differences. For this investigation, a sample of 866 individuals was collected from two cities in Vietnam. Results: The results indicate that there were significant relationships between these constructs and the loyalty intention of passengers. The perception of health benefits and image were the main factors affecting passengers’ loyalty in both cities. In contrast, the perception of environmental benefits had the weakest effect on the loyalty intention towards bus services. Conclusions: The results from this study could support the development of interventions to increase PT ridership in low-income countries. Promotional efforts to boost PT ridership should focus on highlighting the health benefits of using PT for passengers and the community. PT operators were also recommended to convey societal and environmental contributions through logos, graphic design, mission statements, slogans, and media relations to improve the image of the bus services.","Environmental benefits; Health benefits; Image; Loyalty; Perceived value","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-01","","","Safety and Security Science","","",""
"uuid:dff4191e-34f3-481e-a0b0-e7188975dc6d","http://resolver.tudelft.nl/uuid:dff4191e-34f3-481e-a0b0-e7188975dc6d","Crashworthiness analysis of empty and foam-filled circular tubes with functionally graded thickness","Barzigar, Seyed Sajad (Tarbiat Modares University); Ahmadi, Hamed (Tarbiat Modares University); Liaghat, Gholamhossein (Tarbiat Modares University; Oxford Brookes University); Seidi, Morteza (University of Texas at San Antonio); Mirzaali, Mohammad J. (TU Delft Biomaterials & Tissue Biomechanics)","","2024","Thin-wall structures, particularly thin-walled tubes, play a critical role in load-bearing structures. Enhancing their ability to withstand crushing loads can significantly improve the overall damping efficiency of the system. Functionally graded thickness (FGT) is a promising approach for enhancing the load-bearing properties of thin-walled tubes by enabling control over material usage and localized deformation patterns within the structure. In this study, we proposed a novel theoretical model that analyzes the crushing behavior of hollow and foam-filled FGT thin-walled circular tubes by considering four primary failure mechanisms that contribute to energy dissipation: (1) bending of plastic hinges, (2) membrane stretching, (3) axial foam crushing, and (4) the interaction between foam and the tube's wall. We validated our model against experimental results from previous researchers and observed a good agreement. Additionally, we conduct a comprehensive study to examine the effects of various geometrical parameters, such as power-law functions and normalized wall thickness ratio, on the crushing behavior of FGT structures. Our results demonstrate the accuracy and reliability of our theoretical model and highlight the potential of FGT structures to enhance the performance of thin-walled tubes in a range of load-bearing applications.","Circular tube; Foam-filled tube; Functionally graded thickness; Theoretical model; Thin-wall structures","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-12","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:48946273-07a9-4269-9e49-1026dd1f5ea2","http://resolver.tudelft.nl/uuid:48946273-07a9-4269-9e49-1026dd1f5ea2","Sustainable urban logistics: A case study of waterway integration in Amsterdam","Pourmohammadzia, N. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); van Koningsveld, M. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Van Oord)","","2024","This paper tackles the growing challenges in urban logistics by presenting an optimal distribution network that integrates urban waterways and last-mile delivery, tailored for cities boasting extensive waterway networks. We examine Amsterdam's city center as a case study, prompted by the strain on quay walls, congestion, and emissions, urging a reevaluation of its urban logistics design. We formulate the problem as a two-echelon location routing problem with time windows and introduce a hybrid solution approach for effective resolution. Our algorithm consistently outperforms existing methods, with a superior solution quality, demonstrating its effectiveness across established and newly developed benchmark instances. In our case study, we evaluate the benefits of transitioning from a roadway-centric to a waterway-based system, showcasing significant cost savings (approximately 28 %), reductions in vehicle weight (approximately 43 %), and minimized travel distances (approximately 80 %) within the city center. The integration of electric vehicles enhances environmental sustainability, resulting in a total daily emission reduction of 43.46 kg. Our study underscores the untapped potential of inland waterways in easing urban logistics challenges. Inspired by Amsterdam's experience, global cities can adopt innovative approaches for sustainable logistics, providing valuable insights for managers striving to enhance efficiency, cut costs, and promote sustainable transportation practices.","Combinatorial optimization; Modal shift; Sustainability; Two-echelon location routing; Urban logistics; Waterways","en","journal article","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:221111fe-f9ea-48d6-bd58-d4a15b409079","http://resolver.tudelft.nl/uuid:221111fe-f9ea-48d6-bd58-d4a15b409079","Data-driven model to predict burst pressure in the presence of interacting corrosion pits","Yarveisy, R. (TU Delft Safety and Security Science; Memorial University of Newfoundland); Khan, Faisal (Texas A&M University); Abbassi, Rouzbeh (Macquarie University)","","2024","This paper presents a data-driven approach to predict the pipelines’ corrosion-induced Burst failure. In this approach, different aspects of pit growth progression and spatial distribution of pits are simulated. The proposed approach takes advantage of population characteristics to model these aspects of the degradation paths for each pipe section down to the size of single joints. The insights obtained from simulations are used to project the degradation of each pipe section. Understanding corrosion behavior and field data are used to model the corrosion-related parameters such as corrosion pit dimensions, probability and time of initiation, and location. The failure is modeled using the probabilistic simulation considering degradation rate, interactions among pits, and material properties as stochastic variables. The proposed approach and included models are tested using multiple real-life inline inspection datasets. Validation of predicted properties shows prediction errors ranging from 3%–10% depending on the three remaining strength calculation approaches. This work aimed to serve as an important tool for risk-based maintenance prioritization, inspection interval assessment, and the fitness of service assessment of pipelines.","Extreme value analysis; Failure analysis; Inline inspection; Monte Carlo simulation; Pipeline failure analysis; Pitting corrosion","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:3641eacc-24eb-4db7-b3c7-6a20ab1f7840","http://resolver.tudelft.nl/uuid:3641eacc-24eb-4db7-b3c7-6a20ab1f7840","Samenwerking bij gebiedstransformaties naar wonen","Heurkens, E.W.T.M. (TU Delft Urban Development Management)","Remøy, Hilde (editor); van Bortel, Gerard (editor); Heurkens, Erwin (editor); van Venrooij, Roeli (editor)","2024","Gebiedstransformaties hebben als algemeen kenmerk dat bestaande kantoor-, bedrijven-, winkel- of industriegebieden worden getransformeerd naar gebieden waarin de woonfunctie aan aandeel wint. Gebouwtransformaties vinden uiteraard ook plaats binnen zo’n gebiedstransformatie. Op gebiedsniveau vinden er echter vaak (andere) gebouw- en kaveloverstijgende afwegingen plaats die ook van belang kunnen zijn voor het realiseren van gebouwtransformaties naar wonen. Zeer kenmerkend voor deze gebiedstransformaties is het grote aantal uiteenlopende partijen die onderling in meer of mindere mate samenwerken aan de transformatie van een gebied. In dit hoofdstuk behandelen we de betrokken partijen, een specifieke samenwerkingsvorm (‘Developing Apart Together’) en de partnerselectiemethode die van belang zijn voor een effectieve en duurzame samenwerking bij gebiedstransformaties naar wonen.","Transformation; Partnerships; Collaboration","nl","book chapter","Bouwkunde TU Delft","","","","","","","","","","Urban Development Management","","",""
"uuid:ca66aeea-5cc4-4e0a-82ef-d441545e841c","http://resolver.tudelft.nl/uuid:ca66aeea-5cc4-4e0a-82ef-d441545e841c","Juridisch Kader: Regels voor de transformatie naar woningen in de Omgevingswet","Hobma, Fred (TU Delft Design & Construction Management)","Remøy, Hilde (editor); van Bortel, Gerard (editor); Heurkens, Erwin (editor); van Venrooij, Roeli (editor)","2024","Dit hoofdstuk bespreekt regels die van toepassing zijn op transformatie van gebouwen naar woningen onder de nieuwe Omgevingswet. De focus ligt op drie onderdelen: (1) de juridisch-planologische procedures die gevolgd moeten worden voor transformatie; (2) het technische kwaliteitsniveau waaraan de nieuwe woningen moeten voldoen; (3) participatieverplichtingen bij transformatieprojecten. De participatieverplichtingen zijn nieuw ten opzichte van eerdere wetgeving. De regels voor transformatie hebben betrekking op de procedures die gevolgd moeten worden en op het minimum kwaliteitsniveau van het transformatieontwerp. Kennisneming van de regels is belangrijk omdat de procedures invloed hebben op het tijdsverloop en de kwaliteitseisen invloed hebben op de kosten van een transformatieproject.","","nl","book chapter","Bouwkunde TU Delft","","","","","","","","","","Design & Construction Management","","",""
"uuid:fb35fd23-7284-426a-bab9-40729f810962","http://resolver.tudelft.nl/uuid:fb35fd23-7284-426a-bab9-40729f810962","Notable shifts beyond pre-industrial streamflow and soil moisture conditions transgress the planetary boundary for freshwater change","Porkka, Miina (Royal Swedish Academy of Sciences; University of Eastern Finland; Aalto University); Virkki, Vili (Aalto University); Wang-Erlandsson, Lan (Stockholm University; Potsdam Institute for Climate Impact Research); Gerten, Dieter (Humboldt-Universitat zu Berlin; Potsdam Institute for Climate Impact Research); Gleeson, Tom (University of Victoria); Mohan, Chinchu (University of Victoria; University of Saskatchewan); Fetzer, Ingo (Stockholm University); Jaramillo, Fernando (Stockholm University); Staal, Arie (Universiteit Utrecht); van der Ent, R.J. (TU Delft Water Resources)","","2024","Human actions compromise the many life-supporting functions provided by the freshwater cycle. Yet, scientific understanding of anthropogenic freshwater change and its long-term evolution is limited. Here, using a multi-model ensemble of global hydrological models, we estimate how, over a 145-year industrial period (1861–2005), streamflow and soil moisture have deviated from pre-industrial baseline conditions (defined by 5th–95th percentiles, at 0.5° grid level and monthly timestep over 1661–1860). Comparing the two periods, we find an increased frequency of local deviations on ~45% of land area, mainly in regions under heavy direct or indirect human pressures. To estimate humanity’s aggregate impact on these two important elements of the freshwater cycle, we present the evolution of deviation occurrence at regional to global scales. Annually, local streamflow and soil moisture deviations now occur on 18.2% and 15.8% of global land area, respectively, which is 8.0 and 4.7 percentage points beyond the ~3 percentage point wide pre-industrial variability envelope. Our results signify a substantial shift from pre-industrial streamflow and soil moisture reference conditions to persistently increasing change. This indicates a transgression of the new planetary boundary for freshwater change, which is defined and quantified using our approach, calling for urgent actions to reduce human disturbance of the freshwater cycle.","","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:5cc07754-dab1-4dd4-8446-d489ebd08c4c","http://resolver.tudelft.nl/uuid:5cc07754-dab1-4dd4-8446-d489ebd08c4c","The effective velocity model: An improved approach to velocity sampling in actuator line models","Muscari, C. (TU Delft Team Jan-Willem van Wingerden; Politecnico di Milano); Schito, Paolo (Politecnico di Milano); Viré, A.C. (TU Delft Wind Energy); Zasso, Alberto (Politecnico di Milano); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2024","Actuator line modeling of wind turbines requires the definition of a free-stream velocity in a computational mesh and a regularization kernel to project the computed body forces onto the domain. Both choices strongly influence the results. In this work, a novel velocity sampling method—the so-called effective velocity model (EVM)—is implemented in the CFD software SOWFA, validated, and compared to pre-existing approaches. Results show superior method robustness with respect to the regularization kernel width ((Formula presented.)) choice while preserving acceptable accuracy. In particular, the power predicted by the EVM is nearly independent of the (Formula presented.) value.","actuator line model; CFD; LES; regularization kernel; velocity sampling; wind turbine modeling","en","journal article","","","","","","","","","","","Team Jan-Willem van Wingerden","","",""
"uuid:e6d42c1e-6ee2-4970-be64-25da3c88a64f","http://resolver.tudelft.nl/uuid:e6d42c1e-6ee2-4970-be64-25da3c88a64f","Ride experience in automated minibuses: measuring users' transport mode preferences before and after a test ride","Ozturker, M. (TU Delft Transport and Planning); Correia, Gonçalo (TU Delft Transport and Planning); van Arem, B. (TU Delft Transport and Planning)","","2024","In the present study, we explored the influence of ride experience in automated minibuses (AmBs) on transport mode choice that includes the automated shuttles as well as conventional transport options (car, bus and bicycle) on the first-/ last-mile stage of rail trips. We used the case study of the connection between Brandevoort train station and the newly developing working and living area in Helmond (the Netherlands) where an AmB was tested in the February-March period of 2021. We conducted a two-wave stated preference experiment wherein data was gathered both before and after the participants had a test ride in the AmB. The results of the joint hybrid mixed logit model indicate a clear preference towards flexible-service AmBs, particularly in relation to travel time and costs. While preferences for less favoured regular-service AmBs experienced a noteworthy shift in travel time and costs, waiting and walking time parameters influenced by participants' ride experience in this pilot and by prior ride experience from other pilots. This reinforces the idea that the ride experience in AmBs even in a short pilot trial like the one conducted in Helmond has a significant impact on preferences for AmBs in comparison with car, bus and bicycle alternatives. Hence, panel studies can provide a more comprehensive understanding of how attitudes and preferences of potential users evolve over time.","automated minibus; ride experience; stated choice experiment","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:0b452612-dd39-4850-affe-00f25bec66cd","http://resolver.tudelft.nl/uuid:0b452612-dd39-4850-affe-00f25bec66cd","Naturally effective inhibition of microbial corrosion on carbon steel by beneficial biofilm in the South China Sea","Gao, Yu (Northeastern University China); Zhang, Jingru (Northeastern University China); Wang, Donglei (Northeastern University China); Fan, Jiaxin (Northeastern University China); Mol, J.M.C. (TU Delft Team Arjan Mol); Wang, Fuhui (Northeastern University China); Zhang, Danni (Northeastern University China); Xu, Dake (Northeastern University China)","","2024","Microbially influenced corrosion (MIC) of metals exerts a negative effect on the marine environment and causes a great loss of marine facilities. Corrosion prevention in an eco-friendly and sustainable way is a difficult problem to address, especially in the marine environment. In this work, Nocardiopsis dassonville, a corrosive bacteria isolated from the South China Sea was studied by using carbon steel. The results indicate that N. dassonville caused a corrosion loss of 7.68 mg cm−2 and a corrosion pit of 13.0 μm on the carbon steel surface, but the corrosion is inhibited in the presence of Vibrio sp. EF187016 in the medium. Vibrio sp. EF187016 preferentially occupied the carbon steel surface, forming a protective biofilm that hindered the attachment of N. dassonville. In addition, extracellular polymeric substances extracted from Vibrio sp. EF187016 was added to N. dassonvillei inoculated medium and showed a significant inhibition of MIC on carbon steel.","Biofilms; Carbon steel; Corrosion inhibition; Extracellular polymeric substances; Marine environment","en","journal article","","","","","","","","","","","Team Arjan Mol","","",""
"uuid:301a1d18-f95f-4774-a2d1-9fe9030936b2","http://resolver.tudelft.nl/uuid:301a1d18-f95f-4774-a2d1-9fe9030936b2","Circulaire en aanpasbare gebouwtransformatie: Een overzicht van strategieën","Hamida, M.B. (TU Delft Real Estate Management); Gruis, V.H. (TU Delft Real Estate Management)","Remøy, Hilde (editor); van Bortel, Gerard (editor); Heurkens, Erwin (editor); van Venrooij, Roeli (editor)","2024","Bevolkingsgroei, marktdynamiek, vastgoedleegstand en veroudering van gebouwen zijn enkele aanleidingen voor transformatie van gebouwen. De laatste decennia zijn er al veel transformatieprojecten uitgevoerd, mede als oplossing voor leegstand (zie 11. Transformatiemeter kantoren). Sinds kort wordt gebouwtransformatie ook als een veelbelovend onderdeel van de transitie naar een circulaire economie gezien, omdat er per definitie sprake is van hergebruik van materialen en producten en dit de noodzaak om nieuwe materialen in de kringloop te brengen vermindert. Om een optimale bijdrage te leveren aan de circulaire economie, zullen de gebouwtransformaties zelf evenwel ook circulair moeten worden uitgevoerd. Dit hoofdstuk presenteert daarom een conceptueel model voor praktische en toepasbare strategieën voor circulaire en aanpasbare gebouwtransformatie. Dit model is een handig en informatief hulpmiddel voor beleggers, ontwerpers, ontwikkelaars en bouwers.","","nl","book chapter","Bouwkunde TU Delft","","","","","","","","","","Real Estate Management","","",""
"uuid:6b6f382c-8d3d-4147-b961-bfbb752ab29c","http://resolver.tudelft.nl/uuid:6b6f382c-8d3d-4147-b961-bfbb752ab29c","Influence of mixing time on a reversal tolerant anode measured ex situ for a PEMFC","Homan, S.J.T. (TU Delft ChemE/Catalysis Engineering; cellcentric GmbH & Co. KG); Aylar, K. (cellcentric GmbH & Co. KG); Jurjevic, A. (cellcentric GmbH & Co. KG); Scolari, M. (cellcentric GmbH & Co. KG); Urakawa, A. (TU Delft ChemE/Catalysis Engineering); Taheri, P. (TU Delft Team Peyman Taheri)","","2024","When no hydrogen can reach the Pt catalyst in the anode for the hydrogen oxidation reaction (HOR) of an operating proton exchange membrane fuel cell (PEMFC), the anode potential increases and causes the cell potential to be reversed compared to normal operation conditions. During this reversal, the oxygen evolution reaction (OER) and carbon oxidation reaction (COR) will occur at the anode, where the COR has devastating consequences for the electrode. Introducing an OER catalyst limits the COR to occur, which makes a reversal tolerant anode (RTA). In this research, RTAs are differentiated by applying different ball milling times during catalyst layer processing, forming big and small OER (IrOx/TiOx) and HOR (Pt/C) catalyst particles. The two different particle sizes were electrochemically tested using a rotating disc electrode (RDE). Both catalyst sizes show a decrease in OER activity (mA cm−2) accompanied by loss of the ionomer in a self-developed accelerated stress test (AST). The small particle RTAs show higher OER activity as a result of increased surface area. However, during a chronopotentiometry measurement, which mimics a fuel cell reversal, the small particle coatings show a worse reversal tolerance. This phenomenon can be attributed to the increased difficulty in removing oxygen bubbles.","Catalyst layer processing; OER catalyst; PEMFC; Reversal tolerant anode (RTA); Rotating disc electrode (RDE)","en","journal article","","","","","","","","","","","ChemE/Catalysis Engineering","","",""
"uuid:3017d17f-e7d1-4d5e-9bd9-c5ca7a3fd234","http://resolver.tudelft.nl/uuid:3017d17f-e7d1-4d5e-9bd9-c5ca7a3fd234","Electronic Properties of Ultrathin InGaN/GaN Heterostructures under the Influences of Laser and Electric Fields: Investigation of the Harmonic and Inharmonic Potentials","En-nadir, Redouane (University of Sidi Mohamed Ben Abdullah); El Ghazi, Haddou (University of Sidi Mohamed Ben Abdullah; Hassan II University of Casablanca); Basyooni, Mohamed A. (TU Delft Dynamics of Micro and Nano Systems; Selçuk University); Tihtih, Mohammed (University of Miskolc); Belaid, Walid; Abboudi, Hassan (University of Sidi Mohamed Ben Abdullah); Maouhoubi, Ibrahim (University of Sidi Mohamed Ben Abdullah); Rabah, Mohamed (University of Sidi Mohamed Ben Abdullah; Hassan II University of Casablanca); Zorkani, Izeddine (University of Sidi Mohamed Ben Abdullah)","","2024","Defects and impurities within semiconductor materials pose significant challenges. This investigation scrutinizes the response of a single dopant donor impurity located in nanostructured semiconductors, specifically quantum wells subjected to both harmonic and inharmonic confinement potentials. The primary focus of this inquiry centers on the analysis of binding energy, electron probability distribution, and diamagnetic susceptibility in connection with both the ground (1s) and excited (2p) electron states. Utilizing advanced computational techniques, specifically the Finite Elements Method (FEM) implemented through Python code, this study unveils a marked alteration in the interaction between electrons and impurities when exposed to external fields. Significantly, the characteristics of the confinement potential exert a substantial influence on the explored physical parameters. This research significantly advances our understanding of the interaction between impurities and intense fields, offering valuable insights into solid-state phenomena within low-dimensional systems. Consequently, it contributes to the design and fabrication of next-generation applications in the field of quantum well systems, encompassing areas such as lighting, detection, information processing, sensing, and energy conversion.","Binding-energy; Diamagnetic-susceptibility; Harmonicity; Intense external fields; Nanostructures; Probability-distribution","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-19","","","Dynamics of Micro and Nano Systems","","",""
"uuid:64ae1a0e-9e13-4ba9-a2ed-b61eafce12c6","http://resolver.tudelft.nl/uuid:64ae1a0e-9e13-4ba9-a2ed-b61eafce12c6","Identification of Atrial Transmural Conduction Inhomogeneity Using Unipolar Electrogram Morphology","Zhang, Lu (Erasmus MC); van Schie, M.S. (Erasmus MC); Xiang, Hongxian (Erasmus MC); Liao, Rongheng (Erasmus MC); Zheng, Jiahao (Erasmus MC); Knops, Paul (Erasmus MC); Taverne, Yannick J.H.J. (Erasmus MC); de Groot, N.M.S. (TU Delft Signal Processing Systems; Erasmus MC)","","2024","(1) Background: Structural remodeling plays an important role in the pathophysiology of atrial fibrillation (AF). It is likely that structural remodeling occurs transmurally, giving rise to electrical endo-epicardial asynchrony (EEA). Recent studies have suggested that areas of EEA may be suitable targets for ablation therapy of AF. We hypothesized that the degree of EEA is more pronounced in areas of transmural conduction block (T-CB) than single-sided CB (SS-CB). This study examined the degree to which SS-CB and T-CB enhance EEA and which specific unipolar potential morphology parameters are predictive for SS-CB or T-CB. (2) Methods: Simultaneous endo-epicardial mapping in the human right atrium was performed in 86 patients. Potential morphology parameters included unipolar potential voltages, low-voltage areas, potential complexity (long double and fractionated potentials: LDPs and FPs), and the duration of fractionation. (3) Results: EEA was mostly affected by the presence of T-CB areas. Lower potential voltages and more LDPs and FPs were observed in T-CB areas compared to SS-CB areas. (4) Conclusion: Areas of T-CB could be most accurately predicted by combining epicardial unipolar potential morphology parameters, including voltages, fractionation, and fractionation duration (AUC = 0.91). If transmural areas of CB indeed play a pivotal role in the pathophysiology of AF, they could theoretically be used as target sites for ablation.","electrograms; endo-epicardial delay; simultaneous endo-epicardial mapping; sinus rhythm; transmural conduction block","en","journal article","","","","","","","","","","","Signal Processing Systems","","",""
"uuid:c7d4800d-b7e3-41ce-97c4-f0316e92a48f","http://resolver.tudelft.nl/uuid:c7d4800d-b7e3-41ce-97c4-f0316e92a48f","Effects of thermal shocks on integrity of existing and newly-designed sealants for CCS applications","Li, K. (TU Delft Applied Geophysics and Petrophysics); Pluymakers, Anne (TU Delft Applied Geophysics and Petrophysics)","","2024","Sealants that can guarantee long-term wellbore sealing integrity are of great significance to the safe and sustainable storage of CO2 in carbon capture and storage (CCS). In this study, we investigate how abrupt cyclic thermal shocks affect the integrity of four sealants of different compositions. These sealants include two reference OPC-based blends (S1 and S2), one newly-designed OPC-based blend that contains CO2-sequestering additives (S3), and one calcium aluminate cement (CAC)-based blend designed for CCS applications (S4). We have measured the thermal properties of these samples, followed by quenching and flow-through experiments to apply strong cyclic thermal shocks on samples of the four sealants, where we heated the samples to 120 °C, and quenched them in, or flowed through water of 20 °C. Using X-ray tomography (32 µm/voxel) before and after the experiment showed that both S1, S2 (reference OPC-based) and S4 (CAC-based) broke after thermal-shocking experiments. Cracks and new voids developed in the samples. Post-treatment strength testing shows that thermal shocks reduce the unconfined compressive strength of these three sealants. This implies that these compositions may not be optimal materials for long-term wellbore sealing during CO2 injection and storage afterward. For all these three sealant compositions, quenching resulted in a greater reduction in strength (by 53 % on average) than flow-through experiments (by 29 % on average). On the contrary, we have not observed any cracks after either quenching or flow-through experiments in S3 sealant (OPC with CO2-sequestering additives). We attribute the intactness of this sealant after thermal shocks to its higher thermal diffusivity than the other three sealants. Heat transfers more rapidly in this sealant and the associated thermal stresses are mild and insufficient to cause any damage to its integrity, which makes this sealant a good candidate for wellbore sealing material that can effectively withstand strong thermal shocks encountered during CCS, though further studies are required.","CCS; Sealants; Thermal shocks; Thermally-induced cracking; Well integrity","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:ed7b6aaf-1085-47ac-8e8f-c15315c5889a","http://resolver.tudelft.nl/uuid:ed7b6aaf-1085-47ac-8e8f-c15315c5889a","The flexible airport bus and last-mile ride-sharing problem: Math-heuristic and metaheuristic approaches","He, P. (Shanghai Jiao Tong University); Jin, Jian Gang (Shanghai Jiao Tong University); Schulte, F. (TU Delft Transport Engineering and Logistics)","","2024","Airport buses play a crucial role in addressing the last-mile problem of air travel, especially in cities and countries lacking inner-city rail transit systems. Nevertheless, airport buses are currently witnessing a decline in ridership due to drawbacks such as long departure intervals, inflexible stops, and considerable distances between stops. Consequently, delivering high-quality airport bus services has become a pressing concern for public transport operators. Motivated by new flexible buses and ride-sharing services, this paper explores a flexible airport bus service that integrates ride-sharing services for passengers traveling from bus stops to their destinations. This problem entails integrated decisions involving bus stop selection, passenger assignment to drop-off bus stops, as well as bus and ride-sharing routing. Accordingly, this problem presents more challenges in decision-making than traditional flexible bus or ride-sharing routing problems. We first develop an arc-based mixed-integer linear programming model. Subsequently, we design a double decomposition math-heuristic algorithm that builds upon logic-based Benders decomposition and column generation algorithms to obtain a near-optimal solution within practical computation time limits for practical-scale instances. Additionally, we implement an adaptive large neighborhood search algorithm to evaluate the solution quality of this math-heuristic algorithm and to solve large-scale instances. To validate the effectiveness of both the model and the algorithms, we conduct numerical experiments using instances derived from Shenzhen airport bus lines. The experimental results demonstrate that the flexible service mode offers significant advantages in reducing both passenger ride time and vehicle mileage over traditional airport bus or taxi modes.","Column generation; Double decomposition math-heuristic; Flexible airport bus; Last-mile ride-sharing; Logic-based Benders decomposition; Routing","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-19","","","Transport Engineering and Logistics","","",""
"uuid:93b6b653-65d5-42d8-83e2-bd58dd77ae67","http://resolver.tudelft.nl/uuid:93b6b653-65d5-42d8-83e2-bd58dd77ae67","Crashworthiness in preliminary design: Mean crushing force prediction for closed-section thin-walled metallic structures","Anand, S. (TU Delft Group Giovani Pereira Castro); Alderliesten, R.C. (TU Delft Group Alderliesten); Castro, Saullo G.P. (TU Delft Group Giovani Pereira Castro)","","2024","To design crash structures for disruptive aircraft designs, it is required to have fast and accurate methods that can predict crashworthiness of aircraft structures early in the design phase. Axial crushing is one of the key energy absorbing mechanisms during a crash event. In this study, various analytical models proposed for calculation of mean crushing force for thin-walled tubular structures are compared with a database of numerical and experimental values to ascertain their accuracy. Improvements to some of the models have also been proposed. Finally a generalized model based on the studied and improved analytical models for prediction of mean crushing force for closed section thin-walled tubular structures is introduced. The generalized model demonstrates high accuracy when compared against experimental/numerical dataset as evidenced by a high coefficient of determination (R2) value of 0.97 and can therefore be used to estimate the mean crushing force for closed-section thin-walled metallic tubular structures with various cross-sectional shapes and crushing modes early in the design phase.","Analytical models; Axial crushing; Crashworthiness; Metallic tubular structures; Preliminary design; Thin walled structures","en","journal article","","","","","","","","","","","Group Giovani Pereira Castro","","",""
"uuid:2b81c1fa-e121-419b-94be-4d0c24767589","http://resolver.tudelft.nl/uuid:2b81c1fa-e121-419b-94be-4d0c24767589","Uncovering the spread of drug-resistant bacteria through next-generation sequencing based surveillance: transmission of extended-spectrum β-lactamase-producing Enterobacterales by a contaminated duodenoscope","Cimen, Cansu (University Medical Center Groningen; University of Oldenburg); Bathoorn, Erik (University Medical Center Groningen); Loeve, A.J. (TU Delft Medical Instruments & Bio-Inspired Technology); Fliss, Monika (University Medical Center Groningen); Berends, Matthijs S. (University Medical Center Groningen); Nagengast, Wouter B. (University Medical Center Groningen); Hamprecht, Axel (University of Oldenburg); Voss, Andreas (University Medical Center Groningen); Lokate, Mariëtte (University Medical Center Groningen)","","2024","Contamination of duodenoscopes is a significant concern due to the transmission of multidrug-resistant organisms (MDROs) among patients who undergo endoscopic retrograde cholangiopancreatography (ERCP), resulting in outbreaks worldwide. In July 2020, it was determined that three different patients, all had undergone ERCP with the same duodenoscope, were infected. Two patients were infected with blaCTX-M-15 encoding Citrobacter freundii, one experiencing a bloodstream infection and the other a urinary tract infection, while another patient had a bloodstream infection caused by blaSHV-12 encoding Klebsiella pneumoniae. Molecular characterization of isolates was available as every ESBL-producing isolate undergoes Next-Generation Sequencing (NGS) for comprehensive genomic analysis in our center. After withdrawing the suspected duodenoscope, we initiated comprehensive epidemiological research, encompassing case investigations, along with a thorough duodenoscope investigation. Screening of patients who had undergone ERCP with the implicated duodenoscope, as well as a selection of hospitalized patients who had ERCP with a different duodenoscope during the outbreak period, led to the discovery of three additional cases of colonization in addition to the three infections initially detected. No microorganisms were detected in eight routine culture samples retrieved from the suspected duodenoscope. Only after destructive dismantling of the duodenoscope, the forceps elevator was found to be positive for blaSHV-12 encoding K. pneumoniae which was identical to the isolates detected in three patients. This study highlights the importance of using NGS to monitor the transmission of MDROs and demonstrates that standard cultures may fail to detect contaminated medical equipment such as duodenoscopes.","Citrobacter freundii; Contamination; CTXM-15; Duodenoscope; Endoscopic retrograde cholangiopancreatography (ERCP); Extended-spectrum β-lactamase (ESBL); Klebsiella pneumoniae; Multi locus sequence typing (MLST); Next-generation sequencing (NGS); Nosocomial transmission; SHV-12","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:8a7f2545-be14-454e-8cd9-7fe344d9383f","http://resolver.tudelft.nl/uuid:8a7f2545-be14-454e-8cd9-7fe344d9383f","Imaging the magma plumbing system of Ciomadul volcano and the Perşani Volcanic Field and constraining postcollisional magma dynamics","Comeau, M.J. (TU Delft Applied Geophysics and Petrophysics); Hill, Graham J. (Czech Academy of Sciences); Kovacikova, Svetlana (Czech Academy of Sciences); Kamm, Jochen (Geological Survey of Finland); Lukács, Réka (HUN-REN Research Centre for Astronomy and Earth Sciences); Seghedi, Ioan (Academia Română); Grayver, Alexander (Universität zu Köln); Bondár, István (HUN-REN Research Centre for Astronomy and Earth Sciences); Szabolcs, Harangi (Eötvös Loránd University)","","2024","There are indications that some long-dormant or seemingly inactive volcanoes may have potentially active magma storage systems. One such system is Ciomadul volcano, which is located at the south-eastern terminus of the Carpathian volcanic chain (Romania). With the last eruption occurring at ~30 ka, this is the youngest volcano in eastern-central Europe. Understanding the nature and structure of the magma plumbing system is crucial to elucidating the evolution of the volcano and to assessing its hazard potential. This includes the depth, size, and geometry of the magma storage region, the amount and composition of the melt present, and the link between mantle and crustal processes.
Ciomadul is situated in a geodynamically active region about 50 km from the Vrancea zone, where deep earthquakes are frequent. These earthquakes may represent the descent of a dense lithospheric slab beneath a continental collision zone and this may imply an asthenospheric upwelling due to return flow of mantle material. To the north-west of Ciomadul lies a chain of older volcanic complexes, the Călimani–Gurghiu-Harghita volcanic complex; about 40 km west of Ciomadul towards the Transylvanian Basin, a monogenetic basaltic volcanic region was developed at 1.2–0.5 Ma (Perşani volcanic field). Seismic tomography has revealed low-velocity columns through the lithosphere beneath both Ciomadul and Perşani. However, high-resolution images of the complex geometry of the system are lacking.
We report here on a 3-D electrical resistivity model of the region that was generated from 41 magnetotelluric measurements acquired in 2022 that form a 75 km by 75 km array. The data typically had reliable periods from 128 Hz to 4,100+ s. Choosing appropriate locations for measurement was critical, away from sources of cultural electromagnetic noise that can contaminate the signals, as was careful data processing, including applying data pre-selection schemes and manual time windows in addition to standard approaches using robust statistics.
Phase tensor analysis suggests that the data are 3-D at all scales. The 3-D electrical resistivity model reveals conductive anomalies (<10 ohm-m) in the subvolcanic crust. These are interpreted as melt-bearing magma reservoirs distributed in the mid-lower crust (depths of ~10–25 km) and a quasi-vertical conduit extending to the near surface. The crustal reservoir is oriented north-south, has its western margin beneath the surface vent of Ciomadul, and extends ~20 km eastward. These results are consistent with the quantitative petrological models placing the upper melt-bearing silicic crystal mush reservoir at a depth of 5–20 km beneath Ciomadul, and a magma-generation area in the asthenosphere (85–105 km depth). In contrast, no strong conductive anomaly is observed in the crust below Perşani, which fits the magma evolution model, i.e. small batches of mantle-derived magmas ascend rapidly through the crustal column. Our results suggest that Ciomadul, a seemingly inactive volcano, is still underlain by a melt-bearing magma body and therefore can be regarded as having potential for reactivation and further volcanic eruptions.","","en","abstract","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:0066f948-43a4-4125-bb3d-7ab93a79d3f1","http://resolver.tudelft.nl/uuid:0066f948-43a4-4125-bb3d-7ab93a79d3f1","De rol van woningcorporaties bij de transformatie naar woningen","van Bortel, G.A. (TU Delft Real Estate Management)","Remøy, Hilde (editor); van Bortel, Gerard (editor); Heurkens, Erwin (editor); van Venrooij, Roeli (editor)","2024","Transformatie van gebouwen is geen vastgoedactiviteit waar elke partij zomaar mee aan de slag kan. Er is onder andere kennis nodig van de markt, technische en financiële kennis, kennis van woningexploitatie en van het verbouwen van panden, inzicht in de lokale woningmarkt en de leefbaarheid van buurten. Bovendien is geld nodig voor aankoop, transformatie en beheer. Woningcorporaties zijn organisaties die vanuit hun doelstellingen, marktrol en competenties in aanmerking komen om aan de slag te gaan met transformaties. Maar: corporaties zijn bijzondere organisaties. De afgelopen tien jaar is hun rol veranderd van een speler met een ruim mandaat en een breed palet aan vastgoedactiviteiten, naar een positie met meer focus op de huisvesting van huurders met een laag inkomen of huishoudens die om andere redenen een kwetsbare positie hebben op de woningmarkt. Transformatie van vastgoed naar woningen is voor corporaties nog steeds mogelijk, mits dat past binnen hun wettelijke mogelijkheden en eigen doelstellingen.","","nl","book chapter","Bouwkunde TU Delft","","","","","","","","","","Real Estate Management","","",""
"uuid:f7d604b6-cb03-43be-8091-743dd2ccbe3f","http://resolver.tudelft.nl/uuid:f7d604b6-cb03-43be-8091-743dd2ccbe3f","Thermodynamic analysis and heat exchanger calculations of transcritical high-temperature heat pumps","Zhao, A. (TU Delft Energy Technology); Pecnik, Rene (TU Delft Energy Technology); Peeters, J.W.R. (TU Delft Energy Technology)","","2024","Heating in industrial processes is responsible for approximately 13% of greenhouse gas emissions in Europe. Switching from fossil-fuel based boilers to heat pumps can help mitigate the effect of global warming. The present work proposes novel high-temperature transcritical heat pump cycles targeted at heating air with a mass flow rate of 10 kg/s up to 200 °C for spray drying processes. Four low-GWP refrigerants, R1233zd(E), R1336mzz(Z), n-Butane, and Ammonia are considered as the candidate working fluids. The pressure ratio of the compressor is optimized to achieve a maximum coefficient of performance (COP) for the four working fluids. A shell & tube heat exchanger is considered as the gas cooler. Using a generalized version of the ϵ-NTU method, the gas cooler is sized and a second law analysis is conducted. Striking a balance between the first- and second-law performance and size of the gas cooler, the R1233zd(E) transcritical heat pump cycle with a COP of 3.6 is judged to be the most promising option.","Heat pump; Low-GWP refrigerants; Second law analysis; Transcritical","en","journal article","","","","","","","","","","","Energy Technology","","",""
"uuid:13c158e0-a6b0-488d-9254-e81f005884ce","http://resolver.tudelft.nl/uuid:13c158e0-a6b0-488d-9254-e81f005884ce","Regional Magnetotelluric Studies across Mongolia: Report on New Measurements, New Models, and Implications for Intracontinental Deformation, Deep Mineral Systems, and Intraplate Volcanism","Rigaud, Rafael (ETH Zürich); Comeau, M.J. (TU Delft Applied Geophysics and Petrophysics); Batmagnai, Erdenechimeg (Mongolian Academy of Sciences); Kruglyakov, Mikhail (University of Otago); Kuvshinov, Alexey (ETH Zürich); Becken, Michael (University of Münster); Tserendug, Shoovdor (Mongolian Academy of Sciences); Demberel, Sodnomsambuu (Mongolian Academy of Sciences)","","2024","We are investigating the lithospheric properties and lithospheric architecture beneath Mongolia with three-dimensional models of the electrical resistivity generated from magnetotelluric measurements. In addition, thermo-mechanical numerical modelling, with geophysically-guided constraints, is being used to provide valuable insights by testing the mechanical viability of different hypotheses for the temporal evolution and dynamic processes within this region.
Mongolia is located between the relatively stable Siberian craton and the extensional regime near the Baikal rift zone to the north and to the south the North China and Tarim cratons that have a northward-directed compressional regime. Due to its location, it is an excellent region to study intracontinental deformation. Furthermore, enigmatic continental intraplate basaltic volcanism of the Cenozoic age exists across Mongolia. In addition, this region contains economically important mineral zones (copper and gold), with the origin and evolution of the mineral systems linked to the whole-lithosphere architecture, crust-mantle interactions, and mantle convection dynamics.
Magnetotelluric data has been collected across Western, Central, and Eastern Mongolia. Three field campaigns in 2016, 2017, and 2018 collected more than 328 sites on an array (50 km spacing) and along three dense profiles (3-15 km spacing) that focused on the Hangai Dome (plateau) and Gobi-Altai (Arkhangai, Bayankhongor) over an area of approximately 800 km (north-south) by 400 km (east-west). Between 2020 and 2022, the array was extended to the east with 77 sites collected across central-east Mongolia (Bulgan, Selenge, Tuv, Uvurkhangai, Dundgovi; 400 by 200 km), including 34 sites along an 810 km long north-south profile crossing the Mongol-Okhotsk suture zone. In late 2022, 79 measurements were acquired in northern Mongolia across the Hovsgol region and Darhad (200 by 200 km) with an array and several profiles, which connect to data west of Lake Baikal. In early 2023, 38 sites were collected in central-east Mongolia (Umnugovi; 200 by 200 km), completing the eastern array. Later in 2023, a major field campaign was launched that successfully collected 150 measurements in western Mongolia (Zavkhan, Uvs, Govi-Altai, Khovd) over an area of approximately 500 by 400 km. This included an array (50 km spacing) and three dense profiles (5-10 km spacing). This gives approximately 700 magnetotelluric measurements collected over a total area of approximately 1000 km (north-south) by more than 1150 km (east-west).
This is a large area that approaches the scope of several other regional and national magnetotelluric survey programs. What’s more, this dataset fills an important gap between the existing magnetotelluric data across China and the Tibetan Plateau with several profiles across the Siberian Craton, in principle completing a remarkable transect of 4000 km across a variety of tectonic domains.
In this presentation, we will report on the new measurements. They will be integrated into the previously collected dataset, and new models will be generated that incorporate all data. We will also present new models of western, central and eastern Mongolia that provide insights on the properties, structure, and evolution of the Hangai Dome, the Mongol-Okhotsk suture and the Central Asian Orogenic Belt.","","en","abstract","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:c0ee724f-8b8b-4172-b286-a34d127afcc1","http://resolver.tudelft.nl/uuid:c0ee724f-8b8b-4172-b286-a34d127afcc1","De rol van burgerparticipatie","van Bortel, G.A. (TU Delft Real Estate Management)","Remøy, Hilde (editor); van Bortel, Gerard (editor); Heurkens, Erwin (editor); van Venrooij, Roeli (editor)","2024","Burgerberaden, ook wel burgerfora genoemd, worden steeds vaker gezien als instrument om bewoners te laten meepraten en meedenken over complexe maatschappelijke problemen, zoals duurzaamheid, wonen en klimaatverandering. Dit hoofdstuk verkent de mogelijkheden om burgerberaden in te zetten als instrument om het transformeren van gebouwen naar woningen te bevorderen. Dit vanuit de hypothese dat het tempo van veel nieuwbouw-, renovatie- én transformatieprocessen niet zozeer wordt bepaald door technische of financiële uitdagingen, maar door de tijd die we in Nederland nodig hebben voor participatie- en besluitvormingsprocessen.","","nl","book chapter","Bouwkunde TU Delft","","","","","","","","","","Real Estate Management","","",""
"uuid:77766c62-fd3a-4cdb-845e-269194bf19ec","http://resolver.tudelft.nl/uuid:77766c62-fd3a-4cdb-845e-269194bf19ec","Characterization, pre-treatment, and potential applications of fine MSWI bottom ash as a supplementary cementitious material","Sun, Y. (TU Delft Civil Engineering & Geosciences; Universiteit Gent); Chen, B. (TU Delft Materials and Environment); Zhang, Shizhe (TU Delft Materials and Environment); Blom, C.B.M. (TU Delft Concrete Structures; Gemeente Rotterdam); Lukovic, M. (TU Delft Concrete Structures); Ye, G. (TU Delft Materials and Environment; Universiteit Gent)","","2024","With the development of waste recovery techniques, previous research has revealed that coarse fractions of municipal solid waste incineration (MSWI) bottom ash (BA) after proper treatment could be applied in the construction sector, while the fines are seldom recovered in practice and normally landfilled. This study explores the potential application of fine MSWI BA (0–2 mm) as a supplementary cementitious material (SCM) in Portland cement (PC) mixtures. Mechanical and chemical pre-treatment approaches have been designed with various conditions to optimize the treating process. The chemical and mineralogical compositions, as well as the metallic Al content in BA were characterized before and after the pre-treatment. It was found that both methods are effective in removing the metallic Al content in BA, Moreover, BA derived from mechanical treatment exhibited more contribution to the hydration reaction in PC mixtures, as revealed by the amount of reaction products and mineral phases formed in hardened trial mixtures. BA obtained was further partially blended in PC mortars to evaluate the performance as compared to SCMs and inert fillers. It was found that treated BA resulted in a slight retarding effect on the reaction kinetics. Treated BA behaved better than the coal fly ash to contribute to the strength development, while the inclusion of BA did not lead to significant influences on the workability.","characterization; metallic Al; MSWI BA; pre-treatment; supplementary cementitious material","en","journal article","","","","","","","","","Civil Engineering & Geosciences","","Materials and Environment","","",""
"uuid:52cd2325-280b-4c22-9398-24588bccbbb3","http://resolver.tudelft.nl/uuid:52cd2325-280b-4c22-9398-24588bccbbb3","Employee Perspectives on Risk Management in a Construction Company","Ökmen, Ö. (TU Delft Integral Design & Management); Leijten, M. (TU Delft Organisation & Governance); Strattona, Theodora (Student TU Delft); Bosch-Rekveldt, M.G.C. (TU Delft Integral Design & Management); Bakker, H.L.M. (TU Delft Integral Design & Management)","","2024","In addition to the tools and techniques available, project risk management also depends on the attitudes of people in an organisation, how the available tools are used, and how the procedures are followed. Therefore, efforts to improve the project risk management capacity of organisations may fail if the diversity of people (characters, traits etc.) involved is neglected. Based on this argument, the aim of this study is to demonstrate that companies can improve project risk management using the perspectives of their key experts. In this context, an approach was proposed based on Q-Methodology and a case study has been conducted in a construction company in the Netherlands. The quantitative output obtained through Q-Methodology application was evaluated in conjunction with the qualitative data gathered from interviews conducted with the managers of different units within the company. As a result of this evaluation, three common perspectives were identified among the respondents under the names of ‘Experience and Belief’, ‘Procedures and Management’ and ‘Culture and Communication’, respectively. Then, a number of recommendations were made to the company. First, customized management approaches that integrate and balance the identified perspectives should be adopted. Second, a mature organisational risk management culture should be promoted. Project charters that specifically target risk management culture in projects can be used for this purpose. Third, risk management should be integrated into other activities, making it a normal part of employees’ daily work. Finally, but not exclusively, the employees should be instructed on the use of risk registers and guidance should be put in place on how often they are expected to update the risk registers. This study demonstrates the benefit of considering employee diversity and leveraging perspectives in unlocking the potential of construction companies in terms of project risk management.","construction companies; critical success factors; Netherlands; Project risk management; Q-Methodology","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:c6ce1b5f-3341-4a09-af78-4dc2191b3d40","http://resolver.tudelft.nl/uuid:c6ce1b5f-3341-4a09-af78-4dc2191b3d40","Recovery of microbial biomass and purification performance after scraping of full-scale slow sand filters","Trikannad, S.A. (TU Delft Sanitary Engineering); Attiani, Valentina (Wageningen University & Research); van der Wielen, Paul W.J.J. (KWR Water Research Institute; Wageningen University & Research); Smidt, Hauke (Wageningen University & Research); van der Hoek, J.P. (TU Delft Sanitary Engineering; Waternet); van Halem, D. (TU Delft Sanitary Engineering)","","2024","Slow sand filters (SSFs) are widely used in drinking water production to improve microbial safety and biological stability of water. Full-scale SSFs are maintained by scraping the biomass-rich top layers of sand. The period of downtime required for filter recovery after scraping is a major challenge due to limited knowledge of the re-stabilisation of purification processes. This study examined the recovery of microbial biomass, and removal of dissolved organic carbon (DOC) and ammonium (NH4+) in water phase and/or on sand along the depth of a scraped full-scale SSF. Scraping reduced microbial biomass on sand in the top layers, while the main prokaryotic taxa remained unaltered. Cellular ATP (cATP) and intact cell counts (ICC) in water sampled from the top layers increased, indicating a temporary disruption in functionality for 37 days. However, stable concentrations of cATP and ICC and similar microbial community composition in the effluent after scraping revealed that deeper layer biofilms offset any scraping effect. Consistent DOC and NH4+ removal after scraping showed that deeper layers effectively performed the role of the top layer. These findings highlight the resilience and robustness of microbial communities in mature full-scale SSFs and their contribution to water treatment efficiency after disturbances caused by scraping.","Slow sand filters; Schmutzdecke; Scraping; Ripening period; Dissolved organic carbon; Ammonium","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:10b92009-fa98-447a-bec1-5fa706f4d643","http://resolver.tudelft.nl/uuid:10b92009-fa98-447a-bec1-5fa706f4d643","Simulating decadal cross-shore dynamics at nourished coasts with Crocodile","Kettler, T.T. (TU Delft Coastal Engineering); de Schipper, M.A. (TU Delft Coastal Engineering); Luijendijk, Arjen (TU Delft Coastal Engineering; Deltares)","","2024","Projections of high rates of sea level rise have stimulated proposals for adaptation strategies with increasingly high nourishment volumes along sandy beaches. An underlying assumption is that coastal profiles respond rapidly to nourishments by redistributing sediments towards a (new) equilibrium shape. However, this perception may not be valid when high volumes of nourishment are applied, as the profile shape may then undergo significant deformation. Current state-of-the-art modelling techniques often concentrate on a single spatio-temporal scale, either lacking the necessary temporal horizon or failing to provide the required level of cross-shore detail. This article introduces Crocodile, a diffusion based cross-shore model designed to bridge the gap between short- and long-term nourishment modelling. The model simulates the effects of nourishment strategies on coastal volume, coastline position and beach width over a decadal timeframe. It incorporates different elements which compute cross-shore diffusion, sediment exchange with the dune and longshore sediment losses. To test the model performance, a series of idealized nourishment scenarios are examined, along with three case studies along the Dutch coast with different nourishment strategies over the past few decades. The modelled coastal volume, shoreline position and beach width strongly resemble the observations with only a 12% overestimation in profile volume and 13% underestimation in beach width. Averaged over selected periods of nourishment, trends and trend reversals between different strategies are well replicated with slight overestimation for coastal volume trends by 1.5m3/m/yr(10%), while beach width trends are underestimated by 0.2m/yr (15%). Given that the added nourishment volumes are typically in the order of 100m3/m, these model errors are considered sufficiently low to conclude that Crocodile effectively simulates variations in coastal volume, coastline position and beach width over a decadal timeframe in response to different nourishment strategies. Therefore, Crocodile can facilitate the evaluation of future nourishment strategies.","Cross-shore profile; Diffusion model; Nourishment strategies; Numerical modelling; Sand dispersion","en","journal article","","","","","","","","","","","Coastal Engineering","","",""
"uuid:f733e4e4-9c97-4e7a-969e-b90716ad5a77","http://resolver.tudelft.nl/uuid:f733e4e4-9c97-4e7a-969e-b90716ad5a77","Rijksoverheidsbeleid voor de transformatie naar wonen","Remøy, H.T. (TU Delft Real Estate Management); Heurkens, E.W.T.M. (TU Delft Urban Development Management)","Remøy, Hilde (editor); van Bortel, Gerard (editor); Heurkens, Erwin (editor); van Venrooij, Roeli (editor)","2024","Sinds 2005 heeft de Rijksoverheid de transformatie van bestaande gebouwen op de agenda staan. In die tijd werd namelijk duidelijk dat de kantorenleegstand was opgelopen tot problematische proporties, en liep tegelijkertijd de woningbouwproductie achter op de beleidsdoelstelling. De productie van woningen door transformatie werd voor het eerst in diverse beleidsnotities onderschreven. Enerzijds om de woningproductie te verhogen, anderzijds om verloedering en leegstand in steden tegen te gaan. Een duurzame transformatie van gebouwen is bovendien een strategie die bijvoorbeeld sociaal-demografische veranderingen opvangt, de economische basis van steden ondersteunt en de stedelijke kwaliteit verbetert. In 2022 werd door minister Hugo de Jonge van Binnenlandse Zaken en Koninkrijksrelaties het doel geformuleerd om jaarlijks 15.000 woningen te realiseren door transformatie (zie ‘1. De markt voor transformatie naar woningen’). Om de doelstellingen te behalen, is ook een hervorming van vastgoedmarkten nodig, waarbij instellingen en organisaties de voorkeur geven aan transformatie boven sloop en nieuwbouw. Dit vereist echter het gebruik van publieke beleidsinstrumenten die aansluiten bij de veranderende eisen van de vastgoedmarkt en de behoeften van marktactoren. Dit hoofdstuk illustreert dat om bestaand vastgoed te transformeren naar woningen een effectieve mix van beleidsinstrumenten en activiteiten vereist is die is afgestemd op de marktbehoeften op zowel stedelijk als lokaal niveau. Achtereenvolgens wordt het overheidsbeleid ten aanzien van de transformatie naar wonen uiteengezet en gecategoriseerd teneinde een beeld te schetsen van de veelheid en mogelijke effectiviteit van dat beleid.","Public policy; Transformation; Housing","nl","book chapter","Bouwkunde TU Delft","","","","","","","","","","Real Estate Management","","",""
"uuid:d98228e6-0e53-4aa6-8d9f-db3fbde896af","http://resolver.tudelft.nl/uuid:d98228e6-0e53-4aa6-8d9f-db3fbde896af","Bedrijvigheid en wonen: Van Central Business naar Central (re)manufacturing district?","Van den Berghe, K.B.J. (TU Delft Urban Development Management)","Remøy, Hilde (editor); van Bortel, Gerard (editor); Heurkens, Erwin (editor); van Venrooij, Roeli (editor)","2024","Bedrijvigheid en wonen in de stad zijn met elkaar verbonden. Doel van dit hoofdstuk is de causaliteit van waarom we woningen in de stad nodig hebben kritisch in context en tijd te plaatsen. Causaliteit beschrijft de relatie tussen oorzaak en gevolg. Wonen in de stad wordt hier als een gevolg gezien van een bepaalde oorzaak, maar deze oorzaak verandert door de tijd en geografische ontwikkeling heen. De propositie van dit hoofdstuk is dat we aan het begin staan van een nieuw tijdperk, waarin de oorzaak waarom we woningen in de stad nodig hebben, verandert. Vooral voor Nederlandse steden zou dit weleens een belangrijke verandering kunnen zijn. De centrale boodschap van dit boekhoofdstuk is dat het daarom nodig is om deze mogelijke verandering ten eerste niet (impliciet) te negeren, en ten tweede de mogelijke gevolgen goed te begrijpen wanneer we in de praktijk in steden gebouwen en gebieden transformeren naar woningen. Dit leidt tot de centrale onderzoeksvraag van dit essay: Wat is de rol van stedelijke transformatie vandaag voor de ontwikkeling van steden in de toekomst?
Om deze vraag te beantwoorden, zal eerst een korte beschrijving gegeven worden van hoe de stad gezien kan worden als een causaal gevolg van een maatschappelijke noodzaak aan toegevoegde waarde. Dit verklaart waarom bepaalde steden om bepaalde redenen ontstaan zijn, verdwenen zijn, of zich aangepast hebben. Vervolgens wordt de industriële stad uitgelegd als een belangrijk kantelpunt voor de rol van stad in de moderne maatschappij. Na de beschrijving van de post-industriële stad, wordt uitgelegd dat de hoogtijdagen van dit tijdperk hoogstwaarschijnlijk voorbij zijn, en dat dus de oorzaak van waarom we wonen in de stad nodig hebben weleens zou kunnen veranderen. Het hoofdstuk eindigt met een conclusie waarin een antwoord wordt geformuleerd op de onderzoeksvraag.","","nl","book chapter","Bouwkunde TU Delft","","","","","","","","","","Urban Development Management","","",""
"uuid:6abe4e7a-fbc6-4c8c-8d4e-50d592bb5d9f","http://resolver.tudelft.nl/uuid:6abe4e7a-fbc6-4c8c-8d4e-50d592bb5d9f","Bouwtechnologisch Onderzoek: A, B, C, D, en dan… Ervaringen met de ABCDº-onderzoeksmethode om inzicht te verkrijgen in de toekomstbestendigheid van een gebouwen","Zijlstra, H. (TU Delft Heritage & Architecture)","Remøy, Hilde (editor); van Bortel, Gerard (editor); Heurkens, Erwin (editor); van Venrooij, Roeli (editor)","2024","Sinds 2006 werk ik aan de ontwikkeling en implementatie van een onderzoeksmethode voor de analyse van de architectonische en ruimtelijke kwaliteiten van gebouwen, zodat die een rol kunnen spelen bij planvorming in de toekomst. Door zowel de oorspronkelijke situatie als de veranderingen door de tijd heen in de analyse te betrekken, kan er vervolgens een afgewogen ontwerp worden gemaakt. De elementen waarop beoordeeld wordt, kunnen wisselen. In de ABCDº-onderzoeksmethode (Analyse van Bouwwerken van Context tot Detail in de tijd) worden naast contextuele factoren de architectonische én bouwkundige elementen benoemd (Zijlstra 2009). Andere methoden leggen meer de nadruk op cultuurhistorische waarden (Pereira Roders 2007 en Kuipers en De Jonge 2017). In het onderwijs op de TU Delft (Heritage & Architecture) passen studenten diverse methoden toe bij hun afstudeerprojecten (Clarke, Zijlstra en De Jonge 2019). De ABCDº-methode is ontstaan uit mijn PhD-onderzoek ‘Bouwen in Nederland 1940–1970’ (Zijlstra 2006). Het Stationspostkantoor in Amsterdam was een van de casestudy’s en bleek een goed voorbeeld te zijn om via deze methodiek de kwaliteiten van een gebouw inzichtelijk te maken voordat een eventuele herbestemming plaatsvindt. Helaas werd het Stationspostkantoor uiteindelijk bijna geheel gesloopt. Op de locatie ervan verschenen de bibliotheek (OBA), het conservatorium (ODE) en het hoofdkantoor van Booking.com. Wellicht krijgen deze gebouwen in de loop van de tijd met dezelfde vraag te maken: kan dit ontwerp ook een andere functie dienen en wat kan dan blijven en wat zal er moeten veranderen? Tijdens het symposium ‘Ontwerpen in analogie met het bestaande’, georganiseerd door Architectenweb en Winhov in Pakhuis de Zwijger op 9 oktober 2023, waren de sprekers het erover eens: eerst een gebouw doorgronden voordat er een ontwerp wordt gemaakt voor herbestemming (Architectenweb 2023).","","nl","book chapter","Bouwkunde TU Delft","","","","","","","","","","Heritage & Architecture","","",""
"uuid:9396ab69-205f-46b7-ad4b-591b05f613af","http://resolver.tudelft.nl/uuid:9396ab69-205f-46b7-ad4b-591b05f613af","Collectieve woonvormen: Samenwerken aan huisvesting door adaptief hergebruik","Czischke, D.K. (TU Delft Real Estate Management); van Bortel, G.A. (TU Delft Real Estate Management)","Remøy, Hilde (editor); van Bortel, Gerard (editor); Heurkens, Erwin (editor); van Venrooij, Roeli (editor)","2024","Collectief wonen is aan een opmars bezig in Europa, en zeker in Nederland. Te midden van een ongekende wooncrisis zoeken steeds meer mensen naar mogelijkheden om samen met anderen te voorzien in toekomstbestendige huisvesting. Sleutelwoorden daarbij zijn gemeenschapsgericht, duurzaam en betaalbaar. Maar waarom komen deze initiatieven zo moeilijk van de grond? En wat kunnen we leren van andere Europese landen? Welke potentie biedt het transformeren van bestaande gebouwen naar collectieve woonvormen? Dit hoofdstuk geeft voorbeelden van projecten waarbij gebouwen met een niet-residentiele functie zijn omgebouwd naar woonvormen met collectieve kenmerken. Het hoofdstuk onderzoekt de belangrijkste kenmerken, uitdagingen en kansen van deze transformaties door middel van bestaande literatuur en de bespreking van enkele concrete cases.","","nl","book chapter","Bouwkunde TU Delft","","","","","","","","","","Real Estate Management","","",""
"uuid:373f8858-8196-4af2-8ef9-8cbbe6d0f55e","http://resolver.tudelft.nl/uuid:373f8858-8196-4af2-8ef9-8cbbe6d0f55e","Transformatiewaarde","Remøy, H.T. (TU Delft Real Estate Management)","Remøy, Hilde (editor); van Bortel, Gerard (editor); Heurkens, Erwin (editor); van Venrooij, Roeli (editor)","2024","Transformatie van een gebouw vindt plaats als een of meerdere actoren vinden dat het gebouw voldoende waarde en kwaliteit heeft om in de toekomst nieuw gebruik te huisvesten. De drijfveren voor transformatie zijn zowel maatschappelijk als financieel en functioneel van aard. Een voorbeeld van maatschappelijke drijfveren is de vraag naar binnenstedelijk wonen en het overheidsbeleid dat deze interesse versterkt, zoals de ladder voor duurzame verstedelijking (Ministerie van Infrastructuur en Waterstaat 2017). Na periodes van leegstand en verwaarlozing kan transformatie duurzame stedelijke intensivering bevorderen (Bryson 1997). In Nederland en internationaal is hergebruik van overtollige kantoorgebouwen een belangrijke drijfveer, bijvoorbeeld door de vraag naar woningen en een verouderde kantorenvoorraad in oudere kantorenwijken (Clifford et al. 2019; Remøy 2010). Tijdelijke transformatie wordt ook ingezet om tijdelijke woningen te creëren, bijvoorbeeld voor studenten en vluchtelingen. Daarnaast wordt tijdelijke transformatie vaak ingezet als strategie om gebiedstransformaties op gang te brengen (Wilkinson et al. 2021; Mazzarella et al. 2022). Transformatie levert vaak indirecte waarde op in de vorm van waardestijging van vastgoed rondom een getransformeerd gebouw. Dit roept de vraag op: wie profiteert en wie betaalt? In dit hoofdstuk worden de waardeaspecten van transformatie uiteengezet, met een focus op transformatie van erfgoed.","","nl","book chapter","Bouwkunde TU Delft","","","","","","","","","","Real Estate Management","","",""
"uuid:a1de5475-8ca8-49a9-b4da-68aab73b25d3","http://resolver.tudelft.nl/uuid:a1de5475-8ca8-49a9-b4da-68aab73b25d3","De markt voor transformatie naar woningen","Boelhouwer, P.J. (TU Delft Real Estate Management); Remøy, H.T. (TU Delft Real Estate Management)","Remøy, Hilde (editor); van Bortel, Gerard (editor); Heurkens, Erwin (editor); van Venrooij, Roeli (editor)","2024","De woningmarkt is sinds het einde van de economische recessie in 2014 sterk verkrapt en heeft zich de afgelopen jaren ontwikkeld tot een aanbiedersmarkt. Hierbij is er een tekort aan woningen in verschillende categorieën. De vraag is of naast nieuwbouw en een betere inzet van de bestaande woningvoorraad ook transformatie van bestaande gebouwen een oplossing kan bieden om het huidige woningtekort terug te dringen. Dit hoofdstuk staat allereerst stil bij de vraag-aanbodverhoudingen op de woningmarkt. Aansluitend hierop worden marktaspecten van transformatie toegelicht. Tot slot volgt een reflectie op voorwaarden vanuit de markt voor succesvol transformeren van leegstaand vastgoed tot woningen.","","nl","book chapter","Bouwkunde TU Delft","","","","","","","","","","Real Estate Management","","",""
"uuid:66739aaf-879a-4044-af8d-6d9449e9455f","http://resolver.tudelft.nl/uuid:66739aaf-879a-4044-af8d-6d9449e9455f","Nanopore Characteristics of Barakar Formation Shales and Their Impact on the Gas Storage Potential of Korba and Raniganj Basins in India","Kumar, Shubham (Indian Institute of Technology Bombay; Monash University); Chandra, D. (TU Delft Applied Geophysics and Petrophysics); Hazra, Bodhisatwa (Christian-Albrechts-Universität zu Kiel; Central Institute of Mining and Fuel Research); Vishal, Vikram (Indian Institute of Technology Bombay); Pathegama Gamage, Ranjith (Monash University)","","2024","Lithologically diverse shales were collected from two different proliferous basins, namely, the Korba (SM) and Raniganj Basin (BK) in India, and were experimented with at an isothermal condition using CO2 and N2 as probe gases in the low-pressure gas adsorption method, demonstrating the disparity between shale pore attributes and surface roughness. The Korba Basin is one of the potential sites for gas storage and production in India and needs to be explored in terms of pore statistics. Literature reviews demonstrate that pore characteristics in shale changes with depth, organic matter, and mineral composition, which can elucidate the gas storage potential for anthropogenic CO2 storage. Gas adsorption capacity and surface roughness are directly associated with the difference in organic and mineral compositions, which certainly affects the phase distribution of flow regimes in shale reservoirs. The result determines that micropore and mesopore attributes are in good correlation with the TOC and clay minerals, respectively. SM shale shows 30-37% higher micropore attributes and 17-19% lower mesopore attributes than those of BK shales. Furthermore, the siderite content shows a variance in the pore size distribution in BK shales. The fractal dimension (Ds) is evaluated based on the N2 adsorption isotherm curve using the Frenkel-Halsey-Hill model. SM shales show a strong correlation with both micropores and mesopores at low relative pressure regimes, while BK shales depict their dominance with mesopores at the high relative pressure regime. Therefore, this research provides a preliminary attempt to determine the influence of changes in the depth, surface roughness, and organic and mineral compositions on shales. However, a complete extrapolation of other reservoir factors, viz., seam thickness, shale-water interaction, and permeability variation at reservoir conditions, is vital to unlocking the technical and environmental feasibility of CO2 storage and gas production in these basins.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-22","","","Applied Geophysics and Petrophysics","","",""
"uuid:72bd25b4-77ac-4185-abb7-0e5bcb5236a8","http://resolver.tudelft.nl/uuid:72bd25b4-77ac-4185-abb7-0e5bcb5236a8","A prospective life cycle assessment of global ammonia decarbonisation scenarios","Boyce, Johanna (Universiteit Leiden); Sacchi, Romain (Paul Scherrer Institut); Goetheer, Earl (TU Delft Energy Technology); Steubing, Bernhard (Universiteit Leiden)","","2024","A prospective life cycle assessment was performed for global ammonia production across 26 regions from 2020 to 2050. The analysis was based on the IEA Ammonia Roadmap and IMAGE electricity scenarios model for three climate scenarios related to a mean surface temperature increase of 3.5 °C, 2.0 °C, and 1.5 °C by 2100. Combining these models with a global perspective and new life cycle inventories improves ammonia's robustness, quality, and applicability in prospective life cycle assessments. It reveals that complete decarbonisation of the ammonia industry by 2050 is unlikely from a life cycle perspective because of residual emissions in the supply chain, even in the most ambitious scenario. However, strong policies in the 1.5 °C scenario could significantly reduce climate impacts by up to 70% per kilogram of ammonia. The cumulative greenhouse gas emissions from the ammonia supply chain between 2020 and 2050 are estimated at 24, 21, and 15 gigatonnes CO2-equivalent for the 3.5 °C, 2.0 °C, and 1.5 °C scenarios, respectively. The paper examines challenges in achieving these scenarios, noting that electrolysis-based (yellow) ammonia, contingent on electricity decarbonisation, offers a cleaner production pathway. However, achieving significant GHG reductions is complex, requiring advancements in technologies with lower readiness, like carbon capture and storage and methane pyrolysis. The study also discusses limitations such as the need to reduce urea demand, potential growth in ammonia as a fuel, reliance on CO2 transport and storage, expansion of renewable energy, raw material scarcity, and the longevity of existing plants. It highlights potential shifts in environmental impacts, such as increased land, metal, and mineral use in scenarios with growing renewable electricity and bioenergy with carbon capture and storage.","Ammonia; Fertilizer; IEA roadmap; IMAGE; Prospective LCA; Scenarios","en","journal article","","","","","","","","","","","Energy Technology","","",""
"uuid:6747c249-2b92-486b-a0a5-574b5243a443","http://resolver.tudelft.nl/uuid:6747c249-2b92-486b-a0a5-574b5243a443","Transformatiemeter kantoren","Geraedts, Rob P. (TU Delft Design & Construction Management); van der Voordt, Theo (TU Delft Real Estate Management); Espinal, Lizanne (Student TU Delft)","Remøy, Hilde (editor); van Bortel, Gerard (editor); Heurkens, Erwin (editor); van Venrooij, Roeli (editor)","2024","Hoe kun je als eigenaar, potentiële koper, ontwikkelaar of investeerder weten of een leegstaand kantoorgebouw geschikt is voor herbestemming naar woningen, en of dit functioneel, technisch en financieel haalbaar is? Een belangrijke vraag, zeker in een periode van veel leegstand en een grote vraag naar woningen. Dit hoofdstuk bespreekt de zogenoemde transformatiemeter, een checklist waarmee kan worden bepaald welke kenmerken van de markt, de locatie, het gebouw en betrokken partijen gunstig of ongunstig zijn voor succesvolle transformatie, mogelijke risico’s en hoe deze risico’s gereduceerd kunnen worden. De transformatiemeter is gefaseerd van snel en globaal naar meer gedetailleerd. Voorts wordt de rol van dit instrument besproken in de besluitvorming over een go/no-go: doorgaan met verdere planontwikkeling, of stoppen met onderzoek naar haalbaarheid. Het hoofdstuk sluit af met een korte bespreking van enkele andere instrumenten om de kansen, obstakels en risico’s van herbestemming in kaart te brengen.","","nl","book chapter","Bouwkunde TU Delft","","","","","","","","","","Design & Construction Management","","",""
"uuid:910e5bb9-6bb1-4306-9d9b-bca5eb95f564","http://resolver.tudelft.nl/uuid:910e5bb9-6bb1-4306-9d9b-bca5eb95f564","Single-crystal vs polycrystalline boron-doped diamond anodes: Comparing degradation efficiencies of carbamazepine in electrochemical water treatment","Feijoo, Sara (Katholieke Universiteit Leuven); Baluchová, S. (TU Delft Micro and Nano Engineering; Charles University); Kamali, Mohammadreza (Katholieke Universiteit Leuven); Buijnsters, J.G. (TU Delft Micro and Nano Engineering); Dewil, Raf (Katholieke Universiteit Leuven; University of Oxford)","","2024","The ongoing challenge of water pollution by contaminants of emerging concern calls for more effective wastewater treatment to prevent harmful side effects to the environment and human health. To this end, this study explored for the first time the implementation of single-crystal boron-doped diamond (BDD) anodes in electrochemical wastewater treatment, which stand out from the conventional polycrystalline BDD morphologies widely reported in the literature. The single-crystal BDD presented a pure diamond (sp3) content, whereas the three other investigated polycrystalline BDD electrodes displayed various properties in terms of boron doping, sp3/sp2 content, microstructure, and roughness. The effects of other process conditions, such as applied current density and anolyte concentration, were simultaneously investigated using carbamazepine (CBZ) as a representative target pollutant. The Taguchi method was applied to elucidate the optimal operating conditions that maximised either (i) the CBZ degradation rate constant (enhanced through hydroxyl radicals (•OH)) or (ii) the proportion of sulfate radicals (SO4•−) with respect to •OH. The results showed that the single-crystal BDD significantly promoted •OH formation but also that the interactions between boron doping, current density and anolyte concentration determined the underlying degradation mechanisms. Therefore, this study demonstrated that characterising the BDD material and understanding its interactions with other process operating conditions prior to degradation experiments is a crucial step to attain the optimisation of any wastewater treatment application.","boron-doped diamond (BDD); Electrochemical advanced oxidation processes (eAOPs); polycrystalline; single-crystal; wastewater treatment","en","journal article","","","","","","","","","","","Micro and Nano Engineering","","",""
"uuid:f09f57c2-6ad8-4da7-9680-c3b40423a74a","http://resolver.tudelft.nl/uuid:f09f57c2-6ad8-4da7-9680-c3b40423a74a","Assessment of the LoD Specification for the Integration of BIM-Derived Building Models in 3D City Models","van der Vaart, J.A.J. (TU Delft Urban Data Science); Stoter, J.E. (TU Delft Urban Data Science); Diakite, A.A. (University of New South Wales); Biljecki, F. (National University of Singapore); Arroyo Ohori, G.A.K. (TU Delft Urban Data Science); Hakim, Amir (TU Delft Urban Data Science)","Kolbe, Thomas H. (editor); Donaubauer, Andreas (editor); Beil, Christof (editor)","2024","Although level of detail (LoD) is a central concept in 3D city modelling, specifying different LoDs in an unambiguous manner is not straightforward. To resolve this, a set of frameworks have been developed. This paper evaluates the suitability of the LoD framework of (Biljecki et al. 2016) for 3D building models that have been generated directly from BIM models. The output of two BIM shell extractors are tested on how well they can be defined by the framework. It was found that although BIM-derived models can be specified by the framework to a certain degree, the framework is not fully capable to also specify lower quality models and to support all the output that may come from BIM shell extractors. This can be resolved by either addressing issues in the shell extractors’ output or in the framework itself. The results of this research can be used to improve the LoD framework and to adjust the shell extractors output to better comply with unambiguous definitions of building models at different LoDs and could be a first step to standardise the conversion of BIM models at different LoDs to be used in urban applications.","LoD framework; 3D city model; BIM envelope extractor","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-21","","","Urban Data Science","","",""
"uuid:95d04d2d-5fec-4bec-9ba7-839c0732c6a9","http://resolver.tudelft.nl/uuid:95d04d2d-5fec-4bec-9ba7-839c0732c6a9","Development of a Geo to BIM Converter: CityJSON Importer Plugin for Autodesk Revit","Hakim, Amir (TU Delft Urban Data Science); van der Vaart, J.A.J. (TU Delft Urban Data Science); Arroyo Ohori, G.A.K. (TU Delft Urban Data Science); Stoter, J.E. (TU Delft Urban Data Science)","Kolbe, Thomas H. (editor); Donaubauer, Andreas (editor); Beil, Christof (editor)","2024","The integration of 3D city models and Building Information Models (BIM) in the context of GeoBIM has gained significant attention from both academia and industry. Harmonizing the distinct characteristics and goals of these models is crucial for successful integration. In this paper, we present the development of a plugin for Autodesk Revit, a popular BIM platform, which allows for the incorporation of 3D Geo-data encoded in CityJSON. The plugin, published as open source, enables the generation of individual geometries with associated city model attributes as parameters, facilitating analysing the impact of new or changed buildings (modelled in BIM) on the environment (captured in geo-data). Challenges addressed during development include georeferencing, data format import, handling different geometry approaches, hierarchy of attributes, code optimization, user-friendliness, and enhanced visualization. The plugin contributes to the seamless integration of geo- and BIM data, enhancing interoperability and supporting informed decision-making in the Architecture, Engineering, and Construction and urban domains.","Building information modelling; Georeferencing; Interoperability; CityJSON; 3D city models","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-21","","","Urban Data Science","","",""
"uuid:f5b485d0-7b70-494a-82ac-699a13128b48","http://resolver.tudelft.nl/uuid:f5b485d0-7b70-494a-82ac-699a13128b48","A single-input state-switching building block harnessing internal instabilities","ten Wolde, M.A. (TU Delft Mechatronic Systems Design); Farhadi Machekposhti, D. (TU Delft Mechatronic Systems Design)","","2024","Bistable mechanisms are prevalent across a broad spectrum of applications due to their ability to maintain two distinct stable states. Their energy consumption is predominantly confined to the process of state transitions, thereby enhancing their efficiency. However, the transition often requires two distinct digital inputs, implicating the requirement of multiple actuators. Here, we propose an elastic and contactless design strategy for inducing state transitions in bistable mechanisms, requiring only a single digital input. The strategy leverages internal information, interpreted as system state, as an extra input to make a weighted decision for transitioning to the subsequent state. We characterize the behavior using a spring-based rigid-body model, consisting of a column near bifurcation, combined with a non-linear spring connected to a bistable element that represents the information state. The results show that a nonlinear spring with a quadratic stiffness function, i.e., representing internal instability, is crucial for regulating state-switching behavior. We then demonstrate this design strategy by developing a monolithic and compliant design embodiment and experimentally evaluate its behavior.","Bistable mechanism; Compliant mechanism; Elastic instability; Mechanical computing; State switching","en","journal article","","","","","","","","","","","Mechatronic Systems Design","","",""
"uuid:a11a1f00-5d56-432a-be7d-28cec545e835","http://resolver.tudelft.nl/uuid:a11a1f00-5d56-432a-be7d-28cec545e835","Compliant variable negative to zero to positive stiffness twisting elements","Amoozandeh, A. (TU Delft Mechatronic Systems Design); Herder, J.L. (TU Delft Precision and Microsystems Engineering); Radaelli, G. (TU Delft Mechatronic Systems Design)","","2024","Compliant mechanisms have the potential to be utilized in numerous applications where the use of conventional mechanisms is unfeasible. These mechanisms have inherent stiffness in their range of motion as they gain their mobility from elastic deformations of elements. In most systems, however, complete control over the elasticity is desired. Therefore, compliant mechanisms with variable, including zero, stiffness can have a great advantage. We present a novel concept based on the prestressing of open thin-walled multi-symmetric beams. It is demonstrated that by changing the prestress on the center-axis of these beams, a range of variable torsional stiffness can be achieved. For beams with a large warping constant, the stiffness changes from positive to zero and negative as the prestress increases, while for beams with a near-zero warping constant, the range of neutrally stable twisting motion increases. A planar equivalent is shown in this work to elucidate the notion, and numerical and experimental analyses are performed to validate the prestress-related behavior.","Bistable mechanisms; Compliant mechanisms; Negative stiffness; Twisting beams; Variable stiffness; Zero stiffness","en","journal article","","","","","","","","","","Precision and Microsystems Engineering","Mechatronic Systems Design","","",""
"uuid:ab0d528e-a03c-4e14-8df1-538a959afb96","http://resolver.tudelft.nl/uuid:ab0d528e-a03c-4e14-8df1-538a959afb96","Experimental characterization of damage during geothermal production of hot dry rocks: Comprehensive effects of the damage-elastic deformation on conductivity evolution","Xu, Fuqiang (China University of Petroleum - Beijing); Shi, Yu (Southwest Jiaotong University); Song, Xianzhi (China University of Petroleum - Beijing); Wu, Wei (Nanyang Technological University); Song, G. (TU Delft Applied Geology); Li, Shuang (China University of Petroleum - Beijing)","","2024","The development of hot dry rocks (HDRs) is of great significance to adjusting energy structure, alleviating energy shortage, reducing pollution, etc. Low-permeability granite is the predominant rock type in deep HDRs, making fractures the primary pathways for fluid circulation and heat extraction. The production of HDRs is significantly influenced by variable fracture conductivity, but current conductivity characterization primarily relies on the elastic deformation of the matrix, neglecting the impact of damage. Accordingly, we propose an experimental method and a supporting apparatus, which is used to unveil the conductivity evolution characteristics resulting from the comprehensive effects of damage and elastic deformation. The experimental results demonstrate that when subjected to confining force squeezing inward, the fracture conductivity experiences varying degrees of decrease compared to its initial state before the experiment. By utilizing the conductivity evolution rate as the evaluation criterion and conducting grey correlation analysis, it has been determined that temperature exerts the most significant influence on the conductivity evolution, followed by injection flow, and lastly, confining pressure. Moreover, rock particle types and production cycles also have different degrees of effect. After considering the comprehensive effects of damage-elastic deformation at the field-scale, the damage has a positive effect on conductivity enhancement. Our study provides a new approach for the characterization of fracture conductivity evolution for deep geothermal projects.","Comprehensive effects; Conductivity evolution; Elastic deformation; Fracture damage; Hot dry rocks; Injection experiment","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-07","","","Applied Geology","","",""
"uuid:9064fc3d-b39d-49f6-bd9c-64764980661e","http://resolver.tudelft.nl/uuid:9064fc3d-b39d-49f6-bd9c-64764980661e","The present and future contribution of ships to the underwater soundscape","Possenti, Luca (NIOZ Royal Netherlands Institute for Sea Research); de Nooijer, Lennart (NIOZ Royal Netherlands Institute for Sea Research); de Jong, Christ (TNO); Lam, Frans Peter (TNO); Beelen, Simon (University of Twente); Bosschers, Johan (Maritime Research Institute Netherlands (MARIN)); van Terwisga, T.J.C. (TU Delft Ship Hydromechanics and Structures; Maritime Research Institute Netherlands (MARIN)); Stigter, Marinus (TU Delft Fluid Mechanics); Reichart, Gert Jan (NIOZ Royal Netherlands Institute for Sea Research; Universiteit Utrecht)","","2024","Since the industrial revolution the ocean has become noisier. The global increase in shipping is one of the main contributors to this. In some regions, shipping contributed to an increase in ambient noise of several decibels, especially at low frequencies (10 to 100 Hz). Such an increase can have a substantial negative impact on fish, invertebrates, marine mammals and birds interfering with key life functions (e.g. foraging, mating, resting, etc.). Consequently, engineers are investigating ways to reduce the noise emitted by vessels when designing new ships. At the same time, since the industrial revolution (starting around 1760) greenhouse gas emissions have increased the atmospheric carbon dioxide fraction x(CO2) by more than 100 μmol mol-1. The ocean uptake of approximately one third of the emitted CO2 decreased the average global surface ocean pH from 8.21 to 8.10. This decrease is modifying sound propagation, especially sound absorption at the frequencies affected by shipping noise lower than 10 kHz, making the future ocean potentially noisier. There are also other climate change effects that may influence sound propagation. Sea surface warming might alter the depth of the deep sound speed channel, ice melting could locally decrease salinity and more frequent storms and higher wind speed alter the depth of the thermocline. In particular, modification of the sound speed profile can lead to the appearance of new ducts making specific depths noisier. In addition, ice melting and the increase in seawater temperature will open new shipping routes at the poles increasing anthropogenic noise in these regions. This review aims to discuss parameters that might change in the coming decades, focusing on the contribution of shipping, climate change and economic and technical developments to the future underwater soundscape in the ocean. Examples are given, contrasting the open ocean and the shallow seas. Apart from the changes in sound propagation, this review will also discuss the effects of water quality on ship-radiated noise with a focus on propeller cavitation noise.","climate change; ocean noise; shipping; soundscape; underwater acoustics","en","review","","","","","","","","","","","Ship Hydromechanics and Structures","","",""
"uuid:e34c80e7-1942-41b0-bad8-0b77144c63d7","http://resolver.tudelft.nl/uuid:e34c80e7-1942-41b0-bad8-0b77144c63d7","4D Neutron Imaging of Solute Transport and Fluid Flow in Sandstone Before and After Mineral Precipitation","Shafabakhsh, Paiman (Universitetet i Oslo); Cordonnier, Benoît (Universitetet i Oslo); Pluymakers, Anne (TU Delft Applied Geophysics and Petrophysics); Le Borgne, Tanguy (Universitetet i Oslo; Université de Rennes); Mathiesen, Joachim (Universitetet i Oslo; University of Copenhagen); Linga, Gaute (Universitetet i Oslo; Norwegian University of Science and Technology (NTNU)); Hu, Yi (Universitetet i Oslo); Kaestner, Anders (Paul Scherrer Institut); Renard, François (Universitetet i Oslo; Institut des Sciences de la Terre, Grenoble)","","2024","In many geological systems, the porosity of rock or soil may evolve during mineral precipitation, a process that controls fluid transport properties. Here, we investigate the use of 4D neutron imaging to image flow and transport in Bentheim sandstone core samples before and after in-situ calcium carbonate precipitation. First, we demonstrate the applicability of neutron imaging to quantify the solute dispersion along the interface between heavy water and a cadmium aqueous solution. Then, we monitor the flow of heavy water within two Bentheim sandstone core samples before and after a step of in-situ mineral precipitation. The precipitation of calcium carbonate is induced by reactive mixing of two solutions containing CaCl2 and Na2CO3, either by injecting these two fluids one after each other (sequential experiment) or by injecting them in parallel (co-flow experiment). We use the contrast in neutron attenuation from time-resolved tomograms to derive three-dimensional fluid velocity field by using an inversion technique based on the advection-dispersion equation. Results show mineral precipitation induces a wider distribution of local flow velocities and leads to alterations in the main flow pathways. The flow distribution appears to be independent of the initial distribution in the sequential experiment, while in the co-flow experiment, we observed that higher initial local fluid velocities tended to increase slightly following precipitation. The outcome of this study contributes to progressing the knowledge in the domain of reactive solute and contaminant transport in the subsurface using the promising technique of neutron imaging.","calcium carbonate precipitation; fluid flow; neutron and X-ray microtomography; porous rock","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:04f17320-aecb-40ab-b709-145b98605e76","http://resolver.tudelft.nl/uuid:04f17320-aecb-40ab-b709-145b98605e76","Cultuurhistorische waarde","van Venrooij, R.G.A.M. (TU Delft Support Management in the Built Environment)","Remøy, Hilde (editor); van Bortel, Gerard (editor); Heurkens, Erwin (editor); van Venrooij, Roeli (editor)","2024","De waarde van een gebouw gaat vaak verder dan slechts het fysieke aspect. Gebouwen dragen historische, sociale en symbolische betekenissen die verweven zijn met de identiteit van de gemeenschap. Het begrip ‘cultuurhistorische waarde’ omvat deze dimensies en vormt een leidraad voor behoud en herbestemming. De uitdagingen van transformatie gaan dan ook verder dan technische aspecten en omvatten vaak complexe maatschappelijke discussies. Maatschappelijke, emotionele, esthetische en functionele waarde spelen een cruciale rol in het besluitvormingsproces rondom transformatie van cultureel erfgoed. In 2000 ontwikkelde Barend Jan Schrieken het instrument de ‘cultuurhistorische waardemeter’, dat een systematische methode geeft voor het besluitvormingsproces van transformatie van kerken. In dit hoofdstuk duiken we dieper in de betekenis van cultuurhistorische waarde, de verschillende classificaties van monumenten in Nederland en het beleid dat de overheid hanteert. Daarnaast wordt een aanvulling gedaan op het bestaande stappenplan van Schrieken. Dit stappenplan biedt structuur in de verschillende fasen van transformatie. Dit hoofdstuk geeft inzicht in de kansen en uitdagingen die gepaard gaan met waardebehoud of –toevoeging van ons cultureel erfgoed.","","nl","book chapter","Bouwkunde TU Delft","","","","","","","","","","Support Management in the Built Environment","","",""
"uuid:c8ee6c57-73b0-4ae3-9030-595a4b2a696a","http://resolver.tudelft.nl/uuid:c8ee6c57-73b0-4ae3-9030-595a4b2a696a","Introducing a force-matched united atom force field to explore larger spatiotemporal domains in molecular dynamics simulations of bitumen","Assaf Martinez-Streignard, E.I. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Lin, P. (TU Delft Pavement Engineering; Rijkswaterstaat); Erkens, S. (TU Delft Pavement Engineering; Rijkswaterstaat)","","2024","This paper presents a United Atom (UA) force field for simulating hydrocarbon molecules in bituminous materials, integrating explicit hydrogens into beads with their parent atom. This method simplifies all-atom molecular models, significantly accelerating Molecular Dynamics (MD) simulations of bitumen by 10 to 100 times. Key advantages include halving the particle count, eliminating complex hydrogen interactions, and decreasing the degrees of freedom of the molecules. Developed by mapping forces from an all-atom model to the centers of mass of UA model beads, the force field ensures accurate replication of energies, forces, and molecular conformations, mirroring properties like pressure and density. It features 17 bead types and 287 interaction types, encompassing various hydrocarbon molecules. The UA force field's stability, surpassing all-atom models, is a notable achievement. This stability, stemming from smoother potential energy surfaces, leads to consistent property measurements and improved stress tensor accuracy. It enables the extension of MD simulations to larger spatiotemporal scales, crucial for understanding complex phenomena such as phase separation in bituminous materials. This foundational work sets the stage for future developments, including refining parameters and introducing new bead types, to enhance the modeling capabilities of the force field, thereby advancing the application and understanding of bituminous materials.","Bitumen; Coarse-graining; Force field; Force-matching; Molecular dynamics; Morphology; Phase separation; Segregation","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:936ca2c8-2b62-4cf3-a334-7ddab99fbf94","http://resolver.tudelft.nl/uuid:936ca2c8-2b62-4cf3-a334-7ddab99fbf94","Mechanical Framework for Geopolymer Gels Construction: An Optimized LSTM Technique to Predict Compressive Strength of Fly Ash-Based Geopolymer Gels Concrete","Shi, Xuyang (China University of Mining and Technology); Chen, Shuzhao (China University of Mining and Technology); Wang, Qiang (China University of Mining and Technology); Lu, Yijun (Guangzhou University); Ren, S. (TU Delft Pavement Engineering); Huang, Jiandong (Guangzhou University)","","2024","As an environmentally responsible alternative to conventional concrete, geopolymer concrete recycles previously used resources to prepare the cementitious component of the product. The challenging issue with employing geopolymer concrete in the building business is the absence of a standard mix design. According to the chemical composition of its components, this work proposes a thorough system or framework for estimating the compressive strength of fly ash-based geopolymer concrete (FAGC). It could be possible to construct a system for predicting the compressive strength of FAGC using soft computing methods, thereby avoiding the requirement for time-consuming and expensive experimental tests. A complete database of 162 compressive strength datasets was gathered from the research papers that were published between the years 2000 and 2020 and prepared to develop proposed models. To address the relationships between inputs and output variables, long short-term memory networks were deployed. Notably, the proposed model was examined using several soft computing methods. The modeling process incorporated 17 variables that affect the CSFAG, such as percentage of SiO2 (SiO2), percentage of Na2O (Na2O), percentage of CaO (CaO), percentage of Al2O3 (Al2O3), percentage of Fe2O3 (Fe2O3), fly ash (FA), coarse aggregate (CAgg), fine aggregate (FAgg), Sodium Hydroxide solution (SH), Sodium Silicate solution (SS), extra water (EW), superplasticizer (SP), SH concentration, percentage of SiO2 in SS, percentage of Na2O in SS, curing time, curing temperature that the proposed model was examined to several soft computing methods such as multi-layer perception neural network (MLPNN), Bayesian regularized neural network (BRNN), generalized feed-forward neural networks (GFNN), support vector regression (SVR), decision tree (DT), random forest (RF), and LSTM. Three main innovations of this study are using the LSTM model for predicting FAGC, optimizing the LSTM model by a new evolutionary algorithm called the marine predators algorithm (MPA), and considering the six new inputs in the modeling process, such as aggregate to total mass ratio, fine aggregate to total aggregate mass ratio, FASiO2:Al2O3 molar ratio, FA SiO2:Fe2O3 molar ratio, AA Na2O:SiO2 molar ratio, and the sum of SiO2, Al2O3, and Fe2O3 percent in FA. The performance capacity of LSTM-MPA was evaluated with other artificial intelligence models. The results indicate that the R2 and RMSE values for the proposed LSTM-MPA model were as follows: MLPNN (R2 = 0.896, RMSE = 3.745), BRNN (R2 = 0.931, RMSE = 2.785), GFFNN (R2 = 0.926, RMSE = 2.926), SVR-L (R2 = 0.921, RMSE = 3.017), SVR-P (R2 = 0.920, RMSE = 3.291), SVR-S (R2 = 0.934, RMSE = 2.823), SVR-RBF (R2 = 0.916, RMSE = 3.114), DT (R2 = 0.934, RMSE = 2.711), RF (R2 = 0.938, RMSE = 2.892), LSTM (R2 = 0.9725, RMSE = 1.7816), LSTM-MPA (R2 = 0.9940, RMSE = 0.8332), and LSTM-PSO (R2 = 0.9804, RMSE = 1.5221). Therefore, the proposed LSTM-MPA model can be employed as a reliable and accurate model for predicting CSFAG. Noteworthy, the results demonstrated the significance and influence of fly ash and sodium silicate solution chemical compositions on the compressive strength of FAGC. These variables could adequately present variations in the best mix designs discovered in earlier investigations. The suggested approach may also save time and money by accurately estimating the compressive strength of FAGC with low calcium content.","long short-term memory networks; compressive strength; prediction; marine predators algorithm","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:3887147a-52d0-4718-99ee-ec595e1cbd9a","http://resolver.tudelft.nl/uuid:3887147a-52d0-4718-99ee-ec595e1cbd9a","On-Demand Magnetically-Activated Drug Delivery from Additively Manufactured Porous Bone Implants to Tackle Antibiotic-Resistant Infections","Salandova, M. (TU Delft Biomaterials & Tissue Biomechanics); Leeflang, M.A. (TU Delft Biomaterials & Tissue Biomechanics); Klimopoulou, M. (TU Delft Biomaterials & Tissue Biomechanics); Fratila-Apachitei, E.L. (TU Delft Biomaterials & Tissue Biomechanics); Apachitei, I. (TU Delft Biomaterials & Tissue Biomechanics); Zadpoor, A.A. (TU Delft Biomaterials & Tissue Biomechanics; Leiden University Medical Center)","","2024","This study proposes a new concept for an on-demand drug releasing device intended for integration into additively manufactured (i.e., 3D printed) orthopedic implants. The system comprises a surface with conduits connected to a subsurface reservoir used for storage and on-demand release of antimicrobial agents, covered with a cap that prevents the antibacterial agents from being released until alternating magnetic field (AMF) raises the temperature of the cap, thus, releasing the stored drug. To demonstrate this concept, Ti6Al4V specimens are directly 3D printed using selective laser melting and their surface, reservoirs, and drug releasing properties are characterized. A new synthetic antimicrobial peptide, SAAP-148, is thereafter tested for its cytotoxic, osteogenic, and immunomodulatory effects at concentrations relevant for its minimal bactericidal concentration (MBC) and is compared with its natural analogue, LL-37. The results showed that AMF successfully activated the release from the 3D printed loaded samples. Both peptides demonstrated to be non-cytotoxic within the MBC levels for macrophages and preosteoblasts and did not influence their osteoimmunomodulatory behavior. The findings of this study indicate that the proposed concept is technically feasible and has the potential to be used for the development of bone implants with on-demand delivery systems to fight IAI without systemic or continuous local release of antibiotics.","3D printing; antibiotic-resistance infections; antimicrobial peptides; drug delivery; implant-associated infections; orthopedic implants","en","journal article","","","","","","","","","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:a878b31c-0ce2-457f-b41c-d67b94ab11ba","http://resolver.tudelft.nl/uuid:a878b31c-0ce2-457f-b41c-d67b94ab11ba","OpenFOAM design sensitivity analysis on a homogeneous low-crested structure with concrete elements seaward of a vertical seawall to reduce overtopping","Jonker, R. G. (Student TU Delft; Aveco de Bondt); AlYousif, A.Y. (TU Delft Hydraulic Structures and Flood Risk; Kuwait University); Hofland, Bas (TU Delft Hydraulic Structures and Flood Risk); Antonini, A. (TU Delft Coastal Engineering); Zoon, Arthur (Van Oord); Smith, Gregory (Van Oord)","","2024","This study treats a detached homogenous low-crested structure (HLCS) made of Cubipod concrete elements placed seaward of a vertical wall (forming a basin in between) to reduce overtopping. Assessing the complex hydrodynamics and effects of changing the geometry of such a system in relation to overtopping reduction is challenging. The numerical model OpenFOAM was applied to this end. Forchheimer coefficients for wave transmission and the flow through the HLCS were calibrated and validated using existing physical modeling data (α = 500 and β = 1.0, with varying porosity based on the Cubipod shape), while the effect of the basin and vertical seawall was determined fully numerically. The crest freeboard (Rc), crest width (B), and basin length (LB) were the main geometrical parameters that influenced the performance of the HLCS in reducing overtopping. An exponential decay was observed in the overtopping discharge when the values of these geometrical parameters increased. As LB increased, this decay was primarily due to the dissipation of the broken-wave bores. The largest gradient in the predicted overtopping discharge was noted at Rc/Hs,i ≈ 0, B/Hs,i ≈ 4.5, and LB/Lp ≈ 1.2, where Hs,i is the incident significant wave height and Lp is the peak wavelength in the basin.","CFD; Cubipod concrete elements; Homogeneous low-crested structure; OpenFOAM; Seawall overtopping; Wave transmission","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:64b92602-8cc0-4ae6-ba59-0f18fc22122e","http://resolver.tudelft.nl/uuid:64b92602-8cc0-4ae6-ba59-0f18fc22122e","Physics-Informed Neural Networks to Model and Control Robots: A Theoretical and Experimental Investigation","Liu, J. (TU Delft Learning & Autonomous Control); Borja, Pablo (Plymouth University); Della Santina, C. (TU Delft Learning & Autonomous Control; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR))","","2024","This work concerns the application of physics-informed neural networks to the modeling and control of complex robotic systems. Achieving this goal requires extending physics-informed neural networks to handle nonconservative effects. These learned models are proposed to combine with model-based controllers originally developed with first-principle models in mind. By combining standard and new techniques, precise control performance can be achieved while proving theoretical stability bounds. These validations include real-world experiments of motion prediction with a soft robot and trajectory tracking with a Franka Emika Panda manipulator.","dissipation; Euler–Lagrange equations; Hamiltonian neural networks; Lagrangian neural networks; model-based control; physics-informed neural networks; port-Hamiltonian systems","en","journal article","","","","","","","","","","","Learning & Autonomous Control","","",""
"uuid:58fa63b1-db8f-49c1-b034-cd870f2c7cd8","http://resolver.tudelft.nl/uuid:58fa63b1-db8f-49c1-b034-cd870f2c7cd8","Can grassroots movements in water conflicts drive socio-technical transitions in water management systems?","Godinez Madrigal, J. (TU Delft Water Resources; IHE Delft Institute for Water Education); Van Cauwenbergh, Nora (IHE Delft Institute for Water Education; Vrije Universiteit Brussel); Ochoa-Garcia, Heliodoro (ITESO Jesuit University of Guadalajara); van der Zaag, P. (TU Delft Water Resources; IHE Delft Institute for Water Education)","","2024","Water conflicts open windows of opportunity for grassroots movements to transform water systems. However, academic fields studying social movements in socio-environmental conflicts are not well equipped to deal with complexity, non-linear dynamics, and emergent properties. Therefore, these fields rarely engage with long-term complex social processes and dynamics leading to systemic socio-technical changes. Researching water conflicts driven by grassroots movements, we ask whether and how the latter can influence a socio-technical transition of a water management regime. Through an emblematic water conflict in Mexico, we analyse the grassroots movement's trajectory since the conflict´s inception by following the dynamic process of developing agency. Our findings show that throughout the conflict, the grassroots movement accumulated and mobilized diverse capitals to initiate water management strategies and practices that catalysed change in the water management regime by stalling the implementation of large infrastructures. Eventually, this led to the inception of a sustainable and just transition.","Actor-oriented approach; Political ecology; Practice-oriented approach; Social arenas; Social capitals; Zapotillo project","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:3092514a-0bb8-450c-8bfa-619b1bf7cc08","http://resolver.tudelft.nl/uuid:3092514a-0bb8-450c-8bfa-619b1bf7cc08","The effects of three environmental factors on building evacuation time","Kinkel, E. (TU Delft Transport and Planning); van der Wal, C.N. (TU Delft System Engineering); Hoogendoorn, S.P. (TU Delft Transport and Planning)","","2024","Building fires can be considered a risk to the health and safety of occupants. Environmental factors in building fires might affect the speed of an evacuation. Therefore, in this study participants (N = 153) were tested in an experimental design for the effects of (1) a fire alarm, (2) darkness and (3) the use of emergency exit signs on building evacuation time. In addition, the effects of age and gender on evacuation time were investigated. The main results indicate that the combination of a fire alarm, darkness and not illuminated emergency exit signs had a significant negative influence on evacuation time, namely an increase in evacuation time of 26.6% respectively 28.1%. Another important finding is that age had a significant negative effect on evacuation time. The increase in evacuation time was at least 30.4% for participants aged 56 years or older compared to participants aged 18–25 years. For gender no significant effect was found. Building and safety managers can use these results by including longer evacuation time considerations – based on darkness and older age – in their evacuation plans. Future research should focus further on investigating the effects of personal and psychological characteristics on evacuation behaviour and evacuation time.","Building evacuation time; Emergency exit signage; Evacuation; Experiment; Fire alarm; Visibility","en","journal article","","","","","","","","","","Transport and Planning","Transport and Planning","","",""
"uuid:4b5ccb22-678b-451b-bf41-8671b36e01c9","http://resolver.tudelft.nl/uuid:4b5ccb22-678b-451b-bf41-8671b36e01c9","A One-Way Car-Sharing Based Approach for Combined Shared Mobility of Freight and Passengers","Anis, Summair (University of Genova); Sacco, Nicola (University of Genova); Saeednia, M. (TU Delft Transport and Planning)","","2024","Climate change stresses the need for research and development of innovative sustainable mobility solutions that provide reliable and convenient door-to-door services for both passengers and freight. The increase in urban population and the popularity of e-commerce further highlights the need for action. In this regard, crowd-shipping is often perceived as an efficient, cost-effective, and sustainable alternative (or complement) to the management of urban freight mobility through efficient utilization of current transportation capacities. In this framework, inspired by the concept of MaaS (Mobility as a Service) in integrating various forms of transport and transport-related services into a single on-demand mobility service, this paper proposes a car-sharing-based service for the combined mobility of passengers and freight. In doing so, one-way car-sharing and crowd-shipping concepts are integrated in order to serve part of the existing freight demand in a sustainable and cost-efficient way for users, societies, and the environment. An optimization model is proposed to optimally plan the activation of one-way car-sharing and crowd-shipping services and to determine the optimal number of vehicles to assign to them. Such decisions are aimed at minimizing the total imbalance by serving passenger and freight demand during different time periods. In doing so, the willingness of users to carry freight in their vehicles is also taken into consideration. The capability of the proposed approach is evaluated through representative numerical examples aimed at showing the impact of the model parameters on the solution.","crowd-shipping; integrated mobility solutions; shared mobility; sustainable mobility","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:59b02ec9-5c03-4b86-b8b8-9e886a7a4e2c","http://resolver.tudelft.nl/uuid:59b02ec9-5c03-4b86-b8b8-9e886a7a4e2c","2D and 3D Modelling Strategies to Reproduce the Response of Historical Masonry Buildings Subjected to Settlements","Prosperi, A. (TU Delft Applied Mechanics); Longo, M. (TU Delft Applied Mechanics); Korswagen, Paul A. (TU Delft Applied Mechanics); Korff, M. (TU Delft Geo-engineering); Rots, J.G. (TU Delft Applied Mechanics)","","2024","In this study, 2D and 3D modelling strategies are used to represent the behaviour of historical masonry buildings on strip foundations undergoing settlements. The application focuses on a two-story building, typical of the Dutch architectural heritage. An improved 2D modelling is presented: It includes the effect of the lateral walls to replicate the response of the detailed 3D models. The masonry strip foundation is modelled and supported by a no-tension interface, which represents the soil-foundation interaction. Two settlement configurations, hogging and sagging, are applied to the models, and their intensity is characterized using their angular distortion. The improved 2D model that includes the stiffness and weight of the lateral walls agrees in terms of displacements, stress and damage with the detailed 3D models. Conversely, the simplified 2D façade models without lateral walls exhibit different cracking, and damage from 2 to 7 times lower at an applied angular distortion of 2‰ (1/500). The improved 2D model requires less computational and modelling burden, resulting in analyses from 9 to 40 times faster than the 3D models. The results prove the importance of identifying and including the 3D effects that affect the response of structures subjected to settlements.","damage; masonry structures; numerical modelling; settlements","en","journal article","","","","","","","","","","","Applied Mechanics","","",""
"uuid:9a9ddc99-8f12-46d0-9065-125126230efc","http://resolver.tudelft.nl/uuid:9a9ddc99-8f12-46d0-9065-125126230efc","Transformatie naar Woningen","","Remøy, H.T. (editor); van Bortel, G.A. (editor); Heurkens, E.W.T.M. (editor); van Venrooij, R.G.A.M. (editor)","2024","Om het woningtekort op te lossen moeten er jaarlijks zo’n 90.000 nieuwbouwwoningen aan het woningbestand worden toegevoegd. Transformatie van leegstaande gebouwen en herbestemming naar woningen draagt bij aan de oplossing hiervoor. Transformatie werd tot rond 2014 gezien als een oplossing voor de leegstand op de kantorenmarkt, die in de periode 2001–2013 hoog opliep, landelijk van rond 5% in 2001 tot bijna 15% in 2013. De hoge leegstand was mede het gevolg van de dotcom-crisis en de financiële crisis, die samen met technologische ontwikkelingen en een toename in flexwerken leidden tot minder benodigde vierkante meters kantoren, terwijl er juist een overschot aan kantoren werd ontwikkeld en gebouwd.
Rond 2014 is een kantelpunt zichtbaar geworden. Transformatie werd steeds vaker gemotiveerd door de vraagzijde van de woningmarkt en niet meer door de aanbodzijde van de kantorenmarkt. De aandacht voor duurzaam bouwen en het verduurzamen van de bestaande gebouwenvoorraad nam toe. In het boek Transformatie van kantoorgebouwen uit 2007 werd duurzaamheid nauwelijks genoemd. Hooguit werd transformatie vermeld als intrinsiek duurzaam, omdat het gebouw werd hergebruikt. Door toegenomen energiekosten en kosten van bouwmaterialen, en door de klimaatcrisis zijn duurzaamheidsaspecten zoals energie-efficiënt, circulair en aanpasbaar bouwen echter veel belangrijker geworden, hoewel ze nog niet overal worden toegepast.
Nederland kent een grote vraag naar woningen, maar daarnaast staan veel gebouwen leeg. Op veel plekken in de Randstad staan verouderde kantoorgebouwen waar geen vraag meer naar is. In het hele land komen kerken leeg te staan door vergrijzing en ontkerkelijking. Door verplaatsing van industrie uit de binnensteden naar beter bereikbare locaties of naar het buitenland verliest industrieel vastgoed zijn functie. Schoolgebouwen komen leeg te staan door vergrijzing van de buurt. Ziekenhuizen komen leeg te staan door de invoering van nieuwe zorgconcepten en schaalvergroting. Veel winkels komen leeg te staan door centralisatie en online winkelen. Gebouwen oorspronkelijk gebouwd voor deze (en andere) functies kunnen worden getransformeerd naar woningen.
Versmelting van oud en nieuw draagt bij aan behoud van identiteit. Wanneer ook nog eens sprake is van architectonisch interessante gebouwen draagt transformatie bij aan behoud van het cultureel erfgoed. Transformatie kan bijdragen aan verduurzaming van de gebouwenvoorraad en het reduceren van grondstoffengebruik en sloopafval. Transformatie zou jaarlijks 10-15% van de woningproductie kunnen bijdragen.","Housing; Transformation","nl","book","Bouwkunde TU Delft","978-94-6366-838-5","","","","","","","","","Real Estate Management","","",""
"uuid:a57b67f9-9dee-413b-a358-20f8393529eb","http://resolver.tudelft.nl/uuid:a57b67f9-9dee-413b-a358-20f8393529eb","Projecten","Remøy, H.T. (TU Delft Real Estate Management); van Venrooij, R.G.A.M. (TU Delft Support Management in the Built Environment); Snoek, T.E. (TU Delft Support Management in the Built Environment)","Remøy, Hilde (editor); van Bortel, Gerard (editor); Heurkens, Erwin (editor); van Venrooij, Roeli (editor)","2024","Om het woningtekort op te lossen moeten er jaarlijks zo’n 90.000 nieuwbouwwoningen aan het woningbestand worden toegevoegd. Transformatie van leegstaande gebouwen en herbestemming naar woningen draagt bij aan de oplossing hiervoor. De maatschappij stelt hogere eisen aan duurzaamheid dan voorheen, en stelt randvoorwaarden zoals lager energieverbruik, beter omgaan met bouwmaterialen en minder afval genereren. Transformatie voldoet aan deze duurzaamheidseisen. In de transitie naar een circulaire economie is er meer aandacht voor hergebruik van bouwcomponenten en materialen. Dit is iets wat steeds vaker gebeurt, maar wat nog niet algemeen is. Voor de financiële crisis van 2008 kwam transformatie minder vaak voor. Leegstaande gebouwen werden vaak gesloopt in plaats van getransformeerd. Tegenwoordig zijn volledige nieuwbouwprojecten eerder uitzondering dan regel, en de verschuiving naar herbestemming weerspiegelt dan ook de veranderde maatschappelijke eisen.","","nl","book chapter","Bouwkunde TU Delft","","","","","","","","","","Real Estate Management","","",""
"uuid:71253e50-4533-4855-a284-793ddac88c00","http://resolver.tudelft.nl/uuid:71253e50-4533-4855-a284-793ddac88c00","Six smart guidelines for high-tech manufacture on low-tech 3D printers: the case of the 3Flex","Trauzettel, F. (TU Delft Medical Instruments & Bio-Inspired Technology; Katholieke Universiteit Leuven); Vander Poorten, Emmanuel (Katholieke Universiteit Leuven); Ourak, Mouloud (Katholieke Universiteit Leuven); Dankelman, J. (TU Delft Medical Instruments & Bio-Inspired Technology); Breedveld, P. (TU Delft Medical Instruments & Bio-Inspired Technology)","","2024","While articulated surgical instruments have enabled the proliferation of minimally invasive interventions, procedures such as laparo-endoscopic single-site surgery are waning in popularity. One potential reason for this decline is a lack of sufficiently dexterous instruments. Although multi-steerable instruments exist, these are often complex and therefore expensive assemblies. Even when 3D printing was used to simplify the design of these instruments, the requirement for high-performance 3D printers limited the reduction in manufacturing costs. To tackle this issue, we propose six guidelines for converting a 3D printed compliant medical instrument from printing on a Digital Light Processing (DLP) printer to a Fused Filament Fabrication (FFF) printer. These guidelines provide a framework to manage and compensate for differences in the two processes to achieve comparable results at a reduced cost. The proposed guidelines were evaluated by assembling a FFF 3D printed prototype that shows equivalent performance to its DLP 3D printed counterpart.","3D printing; Hyper-redundant; medical devices; snake-like; surgical instruments","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:f466d058-abc3-4d3f-b916-61ad5afc8b2e","http://resolver.tudelft.nl/uuid:f466d058-abc3-4d3f-b916-61ad5afc8b2e","Fatigue behaviour of root crack in stiffener-to-deck plate weld at crossbeam of orthotropic bridge decks","Wu, W. (TU Delft Steel & Composite Structures); Veljkovic, M. (TU Delft Steel & Composite Structures); Kolstein, M.H. (TU Delft Steel & Composite Structures); Pijpers, Richard (TNO); Maljaars, Johan (Eindhoven University of Technology; TNO)","","2024","Steel Orthotropic Bridge Decks (OBDs) are widely used in long-span and movable bridges. Fatigue resistance analysis plays an important role in the design or assessment of OBDs. One possible fatigue failure is the crack initiating from the weld root of stiffener-to-deck plate connections at crossbeams. A full-scale experimental investigation in this study using a 20 mm thick deck plate with a dimension of 9.4 m × 5.1 m, including three crossbeams, represents the modern designed OBDs. The experiments show an arrest of crack propagation with a final crack depth of approximately 75% of the deck plate thickness. On the contrary, through thickness cracks develop in deck plates of 10 or 12 mm. Hot spot stress based fatigue detail categories (DC) using various failure criteria derived from the tests. Analysis with the effective notch stress shows that the DC has low sensitivity to the amount of weld penetration. The results of analyses with the eXtended Finite Element Method (XFEM), employed to analyse the fatigue crack propagation path and crack arrest, are in line with the experimental study.","Crack arrest; Fatigue resistance; Hot spot stress; Orthotropic bridge deck; Stiffener-to-deck plate weld at crossbeam","en","journal article","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:26c82303-0b72-4b8f-961c-40a5cfe9540a","http://resolver.tudelft.nl/uuid:26c82303-0b72-4b8f-961c-40a5cfe9540a","A quantitative comparison between the mHand Adapt passive adjustable hand prosthesis and its predecessor, the Delft Self-Grasping Hand","Krinis, S.L.L. (Student TU Delft; University of Salford); Chadwell, Alix (University of Salford); Kenney, Laurence (University of Salford); Smit, G. (TU Delft Medical Instruments & Bio-Inspired Technology)","","2024","Introduction
The Delft Self-Grasping Hand (SGH) is an adjustable passive hand prosthesis that relies on wrist flexion to adjust the aperture of its grasp. The mechanism requires engagement of the contralateral hand meaning that hand is not available for other tasks. A commercialised version of this prosthesis, known as the mHand Adapt, includes a new release mechanism, which avoids the need to press a release button, and changes to the hand shape. This study is the first of its kind to compare two passive adjustable hand prostheses on the basis of quantitative scoring and contralateral hand involvement.
Methods
10 anatomically intact participants were asked to perform the Southampton Hand Assessment Procedure (SHAP) with the mHand. Functionality and contralateral hand involvement were recorded and compared against SGH data originating from a previous trial involving a nearly identical testing regime.
Results
mHand exhibited higher functionality scores and less contralateral hand interaction time, especially during release-aiding interactions. Additionally, a wider range of tasks could be completed using the mHand than the SGH.
Discussion
Geometric changes make the mHand more capable of manipulating smaller objects. The altered locking mechanism means some tasks can be performed without any contralateral hand involvement and a higher number of tasks do not require contralateral involvement when releasing. Some participants struggled with achieving a good initial grip due to the inability to tighten the grasp once already formed.
Conclusion
The mHand offers the user higher functionality scores with less contralateral hand interaction time and the ability to perform a wider range of tasks. However, there are some design trade-offs which may make it slightly harder to learn to use.
Dit hoofdstuk introduceert een paradoxaal perspectief op de uitdagingen en tegengestelde belangen die de energietransitie blijven belemmeren. Een paradoxale benadering erkent dat deze spanningen onderling samenhangen en aanhoudend zijn. Een compromis is niet wenselijk, omdat het negeren van tegengestelde belangen tot negatieve onbedoelde gevolgen leidt.
Dit hoofdstuk geeft ten eerste een overzicht van enkele van de belangrijkste uitdagingen die relevant zijn voor het bereiken van energie-efficiëntie bij de transformatie van bestaande gebouwen. Vervolgens wordt de paradoxtheorie belicht en de daaruit voorkomende benaderingen. Een paradoxperspectief is relevant voor zowel onderzoekers en managers die moeten omgaan met concurrerende eisen, als voor ontwerpers die paradoxen kunnen gebruiken als drijvende kracht om creatieve oplossingen te vinden.","","nl","book chapter","Bouwkunde TU Delft","","","","","","","","","","Real Estate Management","","",""
"uuid:f713493f-80a6-4c58-9eac-4a6004229249","http://resolver.tudelft.nl/uuid:f713493f-80a6-4c58-9eac-4a6004229249","Financiële haalbaarheid bij transformatie naar woningen: Baat het niet, dan gaat het niet","de Jong, P. (TU Delft Design & Construction Management); Peeters, M.U.J. (TU Delft Real Estate Management)","Remøy, Hilde (editor); van Bortel, Gerard (editor); Heurkens, Erwin (editor); van Venrooij, Roeli (editor)","2024","De transformatie van kantoren naar residentiële ruimtes is een belangrijk onderwerp in de context van de huidige woningnood en stedelijke regeneratie. Deze transformatie omvat diverse financiële aspecten die zowel kansen als uitdagingen bieden voor ontwikkelaars. Wanneer er puur wordt gekeken naar de financiële haalbaarheid van een transformatieproject is er steeds een uitdaging (Geraedts & Van der Voordt 2004). De opportuniteit van de transformatie zit in het maximaal hergebruiken wat er reeds aanwezig is. Dit om zowel duurzame als circulaire doelstellingen te verwezenlijken. Echter vanuit een puur financieel perspectief is dit niet altijd even eenvoudig. Bestaande bouwstructuren leggen randvoorwaarden op aan het project die tot suboptimale eindresultaten kunnen leiden na transformatie. Wanneer er dan enkel een financiële waardebepaling wordt gemaakt, zoals deze vandaag gangbaar is op de markt, kan het project niet de gewenste rendementen halen. De sleutel zit in de waardebepaling, die zowel een financiële als een maatschappelijke component moet bevatten (Remøy & Van der Voordt 2007). Alleen een integrale afweging leidt tot een gedegen inzicht in de haalbaarheid van toekomstbestendige (transformatie)projecten.","","nl","book chapter","Bouwkunde TU Delft","","","","","","","","","","Design & Construction Management","","",""
"uuid:a0e4e2b5-a47a-4c51-a0cd-9492131d2d34","http://resolver.tudelft.nl/uuid:a0e4e2b5-a47a-4c51-a0cd-9492131d2d34","Integrated multi-proxy source-to-sink analysis of Late Barremian (Lower Cretaceous) clastic systems in the Essaouira-Agadir Basin","Roquette, Emmanuel (The University of Manchester); Lovell-Kennedy, James (The University of Manchester); Muniz Pichel, Leonardo (The University of Manchester); Schröder, Stefan (The University of Manchester); Charton, R.J.G. (TU Delft Applied Geology; The University of Manchester); Millar, Ian (British Geological Survey); Frau, Camille (The University of Manchester; Groupement d’Intérêt Paléontologique Science et Exposition); Redfern, Jonathan (The University of Manchester)","","2024","This study investigates the provenance of the continental and marine Late Barremian clastics of the Bouzergoun Formation, exposed in the Essaouira-Agadir Basin (EAB). Thin section petrography, Scanning Electron Micrography, heavy minerals analysis, and detrital zircon dating were conducted and integrated with a large dataset of published Low-Temperature Thermochronology (LTT) studies to reconstruct the associated source-to-sink system(s). The results constrain the source and size of the system, and composition of deposited clastics, and investigate the mechanism for delivery of coarse clastics into the offshore domain, a key target for hydrocarbon exploration. The homogeneity of rock composition fingerprints throughout the basin indicates a common provenance for both the northern and southern studied transects. Hinterland analysis based on LTT data identifies the Western Meseta and Massif Ancien de Marrakech (MAM) regions as the only possible source candidates exhuming during the Late Barremian, confirmed by detrital zircon geochronology. Heavy mineral populations reveal partly recycled sediment including a probable igneous source. Rock fragment populations comprise limestones, sandstones, and volcanic composition, which correlate with lithologies of the MAM. The integration of all data suggests a best-fit model for the Late Barremian of a source-to-sink system of moderate size (200–300 km long), dominantly sourced from the MAM (western High Atlas). This provided a sand-rich mix of sediment resulting from the erosion of exhuming Triassic continental basins, with associated clays from the weathering of basalts and Triassic/Jurassic mudstones. Late Barremian eustatic sea level fall, together with regional uplift in the hinterland, is interpreted to have resulted in a forced regression that allowed the system to prograde towards the slope margin, offering enhanced potential for sand delivery into the deep offshore domain. Seismic imaging offshore provides tentative interpretation of synchronous high reflectivity deepwater channels located in structural lows controlled by diapiric salt movement. The Mesetian domain was likely undergoing denudation at the same time and shedding clastic-rich sediments to the northern part of the EAB, beyond the studied region. Sediment supply from the MAM may be mixed with the Mesetian sands to the northern part of the EAB and tentatively in the offshore Essaouira.","Barremian; Bouzergoun formation; Detrital zircon geochronology; Essaouira agadir basin; Hinterland evolution; Low-temperature thermochronology; Lower cretaceous; Morocco; Paleogeology modelling; Provenance; Provenance analysis; Source-to-sink","en","journal article","","","","","","","","","","","Applied Geology","","",""
"uuid:da47e20a-dbd0-40d2-bf14-a31af0bf8b93","http://resolver.tudelft.nl/uuid:da47e20a-dbd0-40d2-bf14-a31af0bf8b93","3D magnetic seed localization for augmented reality in surgery","Ambrosini, P. (TU Delft Computer Graphics and Visualisation; Erasmus MC); Azizian Amiri, S. (TU Delft Medical Instruments & Bio-Inspired Technology); Zeestraten, Eliane (Amphia Hospital); van Ginhoven, Tessa (Erasmus MC); Marroquim, Ricardo (TU Delft Computer Graphics and Visualisation); van Walsum, T. (Erasmus MC)","","2024","Purpose: For tumor resection, surgeons need to localize the tumor. For this purpose, a magnetic seed can be inserted into the tumor by a radiologist and, during surgery, a magnetic detection probe informs the distance to the seed for localization. In this case, the surgeon still needs to mentally reconstruct the position of the tumor from the probe’s information. The purpose of this study is to develop and assess a method for 3D localization and visualization of the seed, facilitating the localization of the tumor. Methods: We propose a method for 3D localization of the magnetic seed by extending the magnetic detection probe with a tracking-based localization. We attach a position sensor (QR-code or optical marker) to the probe in order to track its 3D pose (respectively, using a head-mounted display with a camera or optical tracker). Following an acquisition protocol, the 3D probe tip and seed position are subsequently obtained by solving a system of equations based on the distances and the 3D probe poses. Results: The method was evaluated with an optical tracking system. An experimental setup using QR-code tracking (resp. using an optical marker) achieves an average of 1.6 mm (resp. 0.8 mm) 3D distance between the localized seed and the ground truth. Using a breast phantom setup, the average 3D distance is 4.7 mm with a QR-code and 2.1 mm with an optical marker. Conclusion: Tracking the magnetic detection probe allows 3D localization of a magnetic seed, which opens doors for augmented reality target visualization during surgery. Such an approach should enhance the perception of the localized region of interest during the intervention, especially for breast tumor resection where magnetic seeds can already be used in the protocol.","3D localization; Magnetic seed; Mixed-reality; Surgery","en","journal article","","","","","","","","","","","Computer Graphics and Visualisation","","",""
"uuid:8ffa054d-e277-477a-82db-33ae46d4fec2","http://resolver.tudelft.nl/uuid:8ffa054d-e277-477a-82db-33ae46d4fec2","Damage history in composite laminates: Matrix cracks leading to delaminations","Romarís Villanueva, Javier (Student TU Delft); Kassapoglou, C. (TU Delft Group De Breuker)","","2024","Strain energy release rate calculations for various cases of delaminations emanating from matrix cracks are developed and used to predict the onset of delaminations and their growth size as a function of applied tension and shear loads in composite laminates. The method determines the matrix crack spacing, the delamination onset load, the delamination size at onset and, through the use of a newly proposed delamination resistance curve, the size of delaminations as they grow under load. The method can be applied to any symmetric laminate. Comparisons to test results in the literature for a variety of layups and materials shows very good agreement with the exception of cases where significant edge delaminations appear before delaminations caused by matrix cracks.","delamination onset; delaminations; Matrix cracks; resistance curve","en","journal article","","","","","","","","","","","Group De Breuker","","",""
"uuid:7726703f-cd0f-4d9d-8ead-c13d37e5c391","http://resolver.tudelft.nl/uuid:7726703f-cd0f-4d9d-8ead-c13d37e5c391","A discrete framework for the interpolation of Banach spaces","Lindemulder, N. (TU Delft Analysis; Karlsruhe Institut für Technologie); Lorist, E. (TU Delft Analysis)","","2024","We develop a discrete framework for the interpolation of Banach spaces, which contains the well-known real and complex interpolation methods, but also more recent methods like the Rademacher, γ- and ℓq-interpolation methods. Our framework is based on a sequential structure imposed on a Banach space, which allows us to deduce properties of interpolation methods from properties of sequential structures. Our framework has a formulation modelled after both the real and the complex interpolation methods. This enables us to extend various results, previously known only for either the real or the complex interpolation method, to all interpolation methods that fit into our framework. As applications, we prove an interpolation result for analytic operator families and an interpolation result for intersections.","Analytic operator family; Interpolation theory; Reiteration; Sequence structure","en","journal article","","","","","","","","","","","Analysis","","",""
"uuid:4a3ca713-2f5c-4934-8db0-d4b81efdc354","http://resolver.tudelft.nl/uuid:4a3ca713-2f5c-4934-8db0-d4b81efdc354","Microscopic fracture toughness of notched porous sintered Cu micro-cantilevers for power electronics packaging","Hu, D. (TU Delft Electronic Components, Technology and Materials); Du, L. (TU Delft Electronic Components, Technology and Materials); Alfreider, Markus (Montan University of Leoben); Fan, J. (TU Delft Electronic Components, Technology and Materials; Shanghai Engineering Technology Research Center for SiC Power Device; Fudan University); Kiener, Daniel (Montan University of Leoben); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2024","To fulfill the high-temperature application requirement of high-power electronics packaging, Cu nanoparticle sintering technology, with benefits in low-temperature processing and high-melting point, has attracted considerable attention as a promising candidate for the die-attach interconnect. Comprehensive mechanical characterization of the sintered layer at a microscale is necessary to deepen the understanding of the fracture behavior and improve the reliable design of materials. In this study, microscale cantilevers with different notch depths were fabricated in a 20 MPa sintered interconnect layer. Continuous dynamical fracture testing of the microcantilevers was conducted in situ in a scanning electron microscope to detail the failure characteristic of the porous sintered structure. The microscopic fracture toughness of different notched specimens was obtained from the J-integral in the frame of elastic-plastic fracture mechanics. Specimens with deeper notches presented higher resistance to crack extension, while geometry factors of notch-to-width ratio between 0.20 and 0.37 exhibited a relatively stable microscopic fracture toughness ranging from 3.2 ± 0.3 to 3.6 ± 0.1 MPa m1/2.","Continuous stiffness testing; Cu nanoparticles sintering; Elastic-plastic fracture mechanics; Microscopic fracture toughness","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:7732ecfc-1cca-4323-aa38-f49247654ac3","http://resolver.tudelft.nl/uuid:7732ecfc-1cca-4323-aa38-f49247654ac3","Fabrication of Living Entangled Network Composites Enabled by Mycelium","Wang, H. (TU Delft Aerospace Manufacturing Technologies; City University of Hong Kong); Tao, Jie (Nanjing University of Aeronautics and Astronautics); Wu, Zhangyu (Southeast University); Weiland, K.M. (TU Delft Group Masania); Wang, Zuankai (The Hong Kong Polytechnic University); Masania, K. (TU Delft Group Masania); Wang, B. (TU Delft Geo-engineering; City University of Hong Kong)","","2024","Organic polymer-based composite materials with favorable mechanical performance and functionalities are keystones to various modern industries; however, the environmental pollution stemming from their processing poses a great challenge. In this study, by finding an autonomous phase separating ability of fungal mycelium, a new material fabrication approach is introduced that leverages such biological metabolism-driven, mycelial growth-induced phase separation to bypass high-energy cost and labor-intensive synthetic methods. The resulting self-regenerative composites, featuring an entangled network structure of mycelium and assembled organic polymers, exhibit remarkable self-healing properties, being capable of reversing complete separation and restoring ≈90% of the original strength. These composites further show exceptional mechanical strength, with a high specific strength of 8.15 MPa g.cm−3, and low water absorption properties (≈33% after 15 days of immersion). This approach spearheads the development of state-of-the-art living composites, which directly utilize bioactive materials to “self-grow” into materials endowed with exceptional mechanical and functional properties.","living composites; mechanical properties; mycelium; phase separation","en","journal article","","","","","","","","","","","Aerospace Manufacturing Technologies","","",""
"uuid:f01cdc5a-a57a-466f-a5bc-a9f7fa796f07","http://resolver.tudelft.nl/uuid:f01cdc5a-a57a-466f-a5bc-a9f7fa796f07","Comparative assessment of generative models for transformer- and consumer-level load profiles generation","Xia, W. (TU Delft Intelligent Electrical Power Grids); Huang, Hanyue (Technische Universität München); Duque, Edgar Mauricio Salazar (Eindhoven University of Technology); Shengren, H. (TU Delft Intelligent Electrical Power Grids); Palensky, P. (TU Delft Electrical Sustainable Energy); Vergara Barrios, P.P. (TU Delft Intelligent Electrical Power Grids)","","2024","Residential load profiles (RLPs) play an increasingly important role in the optimal operation and planning of distribution systems, particularly with the rising integration of low-carbon energy resources such as PV systems, electric vehicles, small-scale batteries, etc. Despite the prevalence of various data-driven models for generating consumption profiles, there is a lack of clear conclusions about their relative strengths and weaknesses. This study undertakes a comprehensive comparison of frequently used data-driven models in recent research, including Generative Adversarial Networks (GANs), Variational Autoencoders (VAE), Wasserstein GANs (WGAN), WGANs with Gradient Penalty (WGANGP), Gaussian Mixture Models (GMMs), and Gaussian Mixture Copulas (GMC). The presented comparison explores the effectiveness of the above-mentioned models on transformer- and consumer-level consumption profiles, as well as for different time resolutions (15-min, 30-min, and 60-min). The objective of this research is to elucidate the respective advantages and drawbacks of these models, thereby providing valuable insights for subsequent research in this field.","Consumption profiles; Distribution network; Generative adversarial networks; Generative models","en","journal article","","","","","","","","","","Electrical Sustainable Energy","Intelligent Electrical Power Grids","","",""
"uuid:39b1298f-64f9-4a1a-89ba-b2e62a405f9f","http://resolver.tudelft.nl/uuid:39b1298f-64f9-4a1a-89ba-b2e62a405f9f","Repeated failures of the giant Beshkiol Landslide and their impact on the long-term Naryn Basin floodings, Kyrgyz Tien Shan","Losen, J. (Aix Marseille Université); Rizza, M. (Université du Québec à Montréal; Aix Marseille Université); Nutz, A. (Aix Marseille Université); Henriquet, M. (TU Delft Astrodynamics & Space Missions; Aix Marseille Université); Schuster, M.S. (TU Delft Control & Simulation; Institut Terre et Environnement de Strasbourg (ITES)); Rakhmedinov, E. (National Academy of Sciences of the Kyrgyz Republic); Baikulov, S. (National Academy of Sciences of the Kyrgyz Republic); Abdrakhmatov, K. (National Academy of Sciences of the Kyrgyz Republic); Fleury, J. (Aix Marseille Université); Siame, L. (Aix Marseille Université)","","2024","Landslides are major hazards that lead to cataclysmic changes in regional physiography. Their consequences are particularly significant when they affect a river system, forming dammed-lake upstream that represents a high flood threat for the downstream region. The Naryn River is the largest river in the Kyrgyz Tien Shan and is of great economic importance. The Beshkiol Landslide, the largest one in Central Asia but of unknown age, has most likely blocked the Naryn River in the past during the Late Pleistocene, with evidence of thick lacustrine deposits as well as numerous paleo-shorelines preserved upstream. In this study, a detailed geomorphological and sedimentological analysis combined with luminescence and 14C dating provides a strong chronological framework to refine the dynamics between the Beshkiol landslides and dammed-lakes in the Naryn Basin. We propose that the Beshkiol Landslide was first triggered 51.9 ± 4.4 kyrs ago, with a 410 m-high dam that blocked the Naryn River. A first lake with a total volume of 121 ± 50 km3 lasted for >37.0 ± 5.1 kyrs, one of the longest landslide-dammed lake residence time ever documented in the world. Our sedimentological observations highlight a catastrophic lake outburst flood between 15.6 and 14.1 kyrs cal BP, likely related to a landslide dam breach. A short-lived phase of fluvial erosion impacted the whole Naryn Basin followed by a second landslide activation (280 m- high dam) and subsequent flooding by a second lake of 27 ± 10 km3. This second lake had a minimum residence time of 7.7 ± 1.3 kyrs before its final gradual drainage that was followed by a fluvial erosional phase still active today in the Naryn Basin. We also suggest that the distal unconsolidated part of the Beshkiol Landslide could be remobilized in the event of an earthquake and/or extreme rain episode, causing a potentially dam of the Naryn River, which would have strong regional economic impacts.","Dammed-lake; Kyrgyzstan; Landslide; Quaternary dating","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-09","","","Astrodynamics & Space Missions","","",""
"uuid:07c3a293-bac3-4cdd-80dc-17daf12fde2d","http://resolver.tudelft.nl/uuid:07c3a293-bac3-4cdd-80dc-17daf12fde2d","Multiplayer boycotts in convex games","Fokkink, R.J. (TU Delft Applied Probability); de Munnik, J.C. (TU Delft Delft Institute of Applied Mathematics; TU Delft Electrical Engineering, Mathematics and Computer Science)","","2024","We extend the notion of boycotts between players in cooperative games to boycotts between coalitions. We prove that convex games offer a proper setting for studying these games. Boycotts have a heterogeneous effect. Individual players that are targeted by many-on-one boycotts suffer most, while non-participating players may actually benefit from a boycott.","Cooperative games; Impact of boycotts; Shapley value","en","journal article","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","","Applied Probability","","",""
"uuid:0b54ffe2-f0a7-44d6-87da-5cbefcbc428f","http://resolver.tudelft.nl/uuid:0b54ffe2-f0a7-44d6-87da-5cbefcbc428f","The modulus of a vector measure","de Pagter, B. (TU Delft Analysis); Ricker, Werner J. (Katholische Universität Eichstätt - Ingolstadt)","","2024","It is known that if L is a Dedekind complete Riesz space and (Ω, Σ) is a measurable space, then the partially ordered linear space of all L-valued, finitely additive and order bounded vector measures m on Σ is also a Dedekind complete Riesz space (for the natural operations). In particular, the modulus |m|o of m exists in this space of measures and |m|o is given by a well known formula. Some 20 years ago L. Drewnowski and W. Wnuk asked the question (for L not Dedekind complete) if there is an m for which |m|o exists but, |m|o is not given by the usual formula? We show that such a measure m does indeed exist.","finitely additive; modulus; order bounded; Vector measure","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-09","","","Analysis","","",""
"uuid:2c383e2a-2ac6-4a8b-95ba-41ef163c9382","http://resolver.tudelft.nl/uuid:2c383e2a-2ac6-4a8b-95ba-41ef163c9382","Circular economy as crisis response: A primer","Hartley, Kris (City University of Hong Kong); Baldassarre, B.R. (TU Delft Responsible Marketing and Consumer Behavior; Maastricht University School of Business and Economics; Roskilde University); Kirchherr, Julian (Universiteit Utrecht; Roskilde University; Cambridge University Press)","","2024","The early 2020s have been characterized by multiple convergent crises, including the Covid-19 pandemic and economic fallout of mitigation measures, Russia's invasion of Ukraine, and the ongoing sustainability and climate change crisis. This article discusses how the concept of the circular economy can inform responses to such crises by addressing four elements of a socio-economic system: technological innovation, supply chains and markets, public policy, and consumer behaviour. Synthesizing emerging insights from the scholarly and policymaking arenas, the article identifies the following ways that the circular economy concept can be effectively framed as crisis response: focusing on circularity in a more holistic way, adopting global value chains as the primary unit of analysis, pinpointing specific circularity aspects like drivers and barriers in value chains and business models, and extending the prevailing focus on technical aspects and material flows to often overlooked trade and geopolitical considerations. This discussion aims to articulate lessons for industry, policymakers, and scholars in leveraging a circularity approach to address the world's most pressing issues.","Circular economy; Climate change; Covid-19; Crisis management; Sustainability; Ukraine invasion","en","review","","","","","","","","","","","Responsible Marketing and Consumer Behavior","","",""
"uuid:d9329626-99a0-4612-9bdd-03c73c6662f1","http://resolver.tudelft.nl/uuid:d9329626-99a0-4612-9bdd-03c73c6662f1","Tinkering with Technology: How Experiential Engineering Ethics Pedagogy Can Accommodate Neurodivergent Students and Expose Ableist Assumptions","van Grunsven, J.B. (TU Delft Ethics & Philosophy of Technology); Franssen, T.M. (TU Delft Ethics & Philosophy of Technology); Gammon, A.R. (TU Delft Ethics & Philosophy of Technology); Marin, L. (TU Delft Ethics & Philosophy of Technology)","Hildt, Elisabeth (editor); Laas, Kelly (editor); Brey, Eric M. (editor); Miller, Christine Z. (editor)","2024","The guiding premise of this chapter is that we, as teachers in higher education, must consider how the content and form of our teaching can foster inclusivity through a responsiveness to neurodiverse learning styles. A narrow pedagogical focus on lectures, textual engagement, and essay-writing threatens to exclude neurodivergent students whose ways of learning and making sense of the world may not be best supported through these traditional forms of pedagogy. As we discuss in this chapter, we, as engineering ethics educators, designed and implemented a new engineering ethics exercise with which we aimed to promote inclusivity at the levels of form and content. At the content level, students were invited to critically engage with inclusivity-undermining ableist assumptions in technology development. This took shape, at the form level, through a hands-on ‘material tinkering’ workshop in which students collaboratively and creatively altered (or ‘hacked’) artifacts used in contexts of disability and healthcare, so as to operationalize values of inclusivity and accessibility. Our hunch was that this hands-on tinkering workshop would simultaneously encourage a meaningful way of engagement with these ethical issues and values, while also enacting a more inclusive learning environment by enriching the range of pedagogical activities and learning formats available to our students.
As we aim to show in this chapter, we believe this hunch largely panned out – though there are clear areas for future improvement pertaining to the pilot exercise itself and the research we conducted on the exercise. We begin by offering a description of our tinkering exercise. We discuss the exercise’s source of inspiration (Sect. 16.2.1) and its implementation (Sect. 16.2.2), which is visually captured via photographic documentation. We then discuss (Sect. 16.3) how we utilized a triangulated research method to assess the pedagogical value of the exercise. After we discuss our findings, we conclude by identifying areas for future improvement (Sect. 16.4).","Neurodiversity; Ableism; Engineering ethics education; Tinkering; Inclusivity","en","book chapter","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-23","","","Ethics & Philosophy of Technology","","",""
"uuid:ffe920c6-f930-4248-94ca-cbc3415e9d82","http://resolver.tudelft.nl/uuid:ffe920c6-f930-4248-94ca-cbc3415e9d82","Fluid Soils: (Co)Designing for the Wadden Sea Landscapes","","Cipriani, L. (editor)","2024","The relationship between soil and water is at the center of interest now more than ever. Despite being a UNESCO World Heritage Site of extraordinary environmental value and beauty, the Wadden Sea, its territories, and its people now face an uncertain future while wrestling with latent climatic, economic-productive, and social crises. Subsidence increased by gas extraction and peat oxidation, soil erosion, saltwater intrusion, eutrophication, and agricultural water pollution testify to a territory in the throes of long-term repossession by the sea.
Can we (co)design the Wadden Sea landscapes? How can we transform these emergencies into opportunities? Based upon applied research work in regional scenario-making and local design projects, the book attempts to imagine the present and future of the Wadden Sea and its hinterland adopting (co)designing approaches. Peatlands, agriculture, energy, and heritage all intersect to encourage economies and social inclusion projects where the landscapes of soil and water become the driving force to overcome the crises.","Wadden Sea Landscapes; Water and soil; Landscape co-design; Rural landscapes; Climate change landscapes","en","book","TU Delft OPEN","978-94-6366-823-1","","","","","","","","","Landscape Architecture","","",""
"uuid:9a8d0ef5-3ea1-41c8-abac-ee1241579348","http://resolver.tudelft.nl/uuid:9a8d0ef5-3ea1-41c8-abac-ee1241579348","A Parametric Modelling Approach for Energy Retrofitting Heritage Buildings: The Case of Amsterdam City Centre","Dang, M.K. (TU Delft Environmental & Climate Design; TU Delft Amsterdam Institute for Advanced Metropolitan Solutions); van den Dobbelsteen, A.A.J.F. (TU Delft Environmental & Climate Design; TU Delft Amsterdam Institute for Advanced Metropolitan Solutions); Voskuilen, P.C. (TU Delft Amsterdam Institute for Advanced Metropolitan Solutions)","","2024","The city of Amsterdam has ambitious goals to achieve a 95% reduction in carbon emissions by 2050 and to phase out natural gas by 2040. Disconnecting the building stock from natural gas requires well-ventilated and well-insulated buildings and a switch to renewable energy sources, making optimal use of heat pumps and sustainable heating solutions available locally. Most buildings in the historical city centre are protected and often insufficiently insulated, leading to increased energy use and a poor thermal environment. Standard retrofitting interventions may be restricted, requiring new approaches to balancing the need for energy efficiency and the preservation of heritage significance. With the case of the Amsterdam City Centre, the goal of this research is to present a parametric modelling approach for energy retrofitting heritage buildings and to identify minimum requirements for preparing the residential stock to lower temperature heat (LTH). Using parametric design and bottom-up energy modelling, the research estimates that a 69.1% of natural gas reduction could be achieved when upgrading the buildings to lower temperature (LT). Results of this paper also demonstrate how the applied approach can be used to guide decisions on the improvement in energy performance of the historic built environment.","built heritage; energy retrofitting; parametric modelling; simulation; low-temperature heating","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:9f4bd225-420d-4992-8ca5-ce02144a738b","http://resolver.tudelft.nl/uuid:9f4bd225-420d-4992-8ca5-ce02144a738b","Decentralized multi-agent path finding framework and strategies based on automated negotiation","Keskin, M. Onur (Özyeğin University); Cantürk, Furkan (Özyeğin University); Eran, Cihan (Özyeğin University); Aydoğan, Reyhan (TU Delft Interactive Intelligence; Özyeğin University)","","2024","This paper introduces a negotiation framework to solve the Multi-Agent Path Finding (MAPF) Problem for self-interested agents in a decentralized fashion. The framework aims to achieve a good trade-off between the privacy of the agents and the effectiveness of solutions. Accordingly, a token-based bilateral negotiation protocol and two negotiation strategies are presented. The experimental results over four different settings of the MAPF problem show that the proposed approach could find conflict-free path solutions albeit suboptimally, especially when the search space is large and high-density. In contrast, Explicit Estimation Conflict-Based Search (EECBS) struggles to find optimal solutions. Besides, deploying a sophisticated negotiation strategy that utilizes information about local density for generating alternative paths can yield remarkably better solution performance in this negotiation framework.","Decentralized coordination; Multi-agent path finding; Negotiation","en","journal article","","","","","","","","","","","Interactive Intelligence","","",""
"uuid:96b17a56-bc51-47f5-aece-4d1774482d5c","http://resolver.tudelft.nl/uuid:96b17a56-bc51-47f5-aece-4d1774482d5c","Towards reusable building blocks for agent-based modelling and theory development","Berger, Uta (Technische Universität Dresden); Bell, Andrew (Boston University); Barton, C. Michael (Arizona State University); Chappin, E.J.L. (TU Delft Energie and Industrie); Dreßler, Gunnar (Helmholtz Centre for Environmental Research - UFZ); Filatova, T. (TU Delft Policy Analysis); Fronville, Thibault; Lee, Allen (Arizona State University); van Loon, Emiel (Universiteit van Amsterdam); Lorscheid, Iris; Meyer, Matthias (Hamburg University of Technology); Müller, Birgit (Helmholtz Centre for Environmental Research - UFZ; BTU Cottbus-Senftenberg); Piou, Cyril (CIRAD); Radchuk, Viktoriia (Leibniz Institute for Zoo and Wildlife Research); Roxburgh, Nicholas (The James Hutton Institute); Schüler, Lennart (Helmholtz Centre for Environmental Research - UFZ); Troost, Christian (University of Hohenheim); Wijermans, Nanda (Stockholm University); Williams, Tim G. (Vrije Universiteit Amsterdam); Wimmler, Marie Christin (Technische Universität Dresden); Grimm, Volker (Helmholtz Centre for Environmental Research - UFZ)","","2024","Despite the increasing use of standards for documenting and testing agent-based models (ABMs) and sharing of open access code, most ABMs are still developed from scratch. This is not only inefficient, but also leads to ad hoc and often inconsistent implementations of the same theories in computational code and delays progress in the exploration of the functioning of complex social-ecological systems (SES). We argue that reusable building blocks (RBBs) known from professional software development can mitigate these issues. An RBB is a submodel that represents a particular mechanism or process that is relevant across many ABMs in an application domain, such as plant competition in vegetation models, or reinforcement learning in a behavioural model. RBBs need to be distinguished from modules, which represent entire subsystems and include more than one mechanism and process. While linking modules faces the same challenges as integrating different models in general, RBBs are “atomic” enough to be more easily re-used in different contexts. We describe and provide examples from different domains for how and why building blocks are used in software development, and the benefits of doing so for the ABM community and to individual modellers. We propose a template to guide the development and publication of RBBs and provide example RBBs that use this template. Most importantly, we propose and initiate a strategy for community-based development, sharing and use of RBBs. Individual modellers can have a much greater impact in their field with an RBB than with a single paper, while the community will benefit from increased coherence, facilitating the development of theory for both the behaviour of agents and the systems they form. We invite peers to upload and share their RBBs via our website - preferably referenced by a DOI (digital object identifier obtained e.g. via Zenodo). After a critical mass of candidate RBBs has accumulated, feedback and discussion can take place and both the template and the scope of the envisioned platform can be improved.","Best practices; Complex adaptive systems; Individual-based modelling; Software engineering; Theory development","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:373b104d-01b8-4a4f-99f5-b432aa23add6","http://resolver.tudelft.nl/uuid:373b104d-01b8-4a4f-99f5-b432aa23add6","Do network management and trust matter for network outcomes? A meta-analysis and research agenda","George, Bert (City University of Hong Kong); Klijn, Erik Hans (Erasmus Universiteit Rotterdam); Ropes, Emma (Erasmus Universiteit Rotterdam); Sattlegger, A.S. (TU Delft Information and Communication Technology)","","2024","Collaborative and network governance assume that network management and trust matter for network outcomes. We test this assumption by conducting a meta-analysis of public administration studies investigating the correlation between network management and network outcomes (50 effect sizes), and trust and network outcomes (28 effect sizes). While both matter for achieving network outcomes across countries, trust matters most. Trust is particularly important for achieving process outcomes and multiple network management strategies combined are more effective than separate single strategies. A research agenda centred on complex modelling, comparative research and using mixed, multisource, experimental and longitudinal data is stipulated in conclusion.","meta-analysis; Network management; network outcomes; network performance; network trust","en","journal article","","","","","","","","","","","Information and Communication Technology","","",""
"uuid:e6f5e9f2-75f1-487b-b993-03b266bfae32","http://resolver.tudelft.nl/uuid:e6f5e9f2-75f1-487b-b993-03b266bfae32","Long distance accessibility by air transportation: a literature review","Yoo, Sihyun (TU Delft Transport and Logistics); van Wee, G.P. (TU Delft Transport and Logistics); Molin, E.J.E. (TU Delft Transport and Logistics)","","2024","Air transportation is a mode of transport developed specifically to provide access to long-distance destinations that may otherwise be infeasible or at least more difficult to reach. Accordingly, there are distinct aspects of air travel that require consideration when assessing accessibility by air transportation, which are not addressed in general local accessibility studies. This paper reviews studies of accessibility by air transportation. The studies in question were published in academic journals, and we analysed them using the broad theoretical framework of accessibility of Geurs and van Wee [Accessibility evaluation of land-use and transport strategies: Review and research directions. Journal of Transport Geography, 12(2), 127–140]. We discuss the characteristics of accessibility by air transportation to consider when designing research, as well as trends and knowledge gaps in the existing literature. An important gap is that, while there is a growing interest towards perceived accessibility by air transportation, accessibility measures based on this perspective have not yet been applied yet. Additionally, the literature is largely focused on the transport and land-use components of accessibility as opposed to the individual and temporal components. We finish by discussing the benefits and drawbacks of directly applying conventional accessibility measures for research on accessibility by air transportation, as well as options to modify the temporal component of conventional person-based accessibility measures for better applicability in the air travel context.","Accessibility; accessibility review; air transportation; literature review; long distance accessibility","en","journal article","","","","","","","","","","","Transport and Logistics","","",""
"uuid:95eddc8d-202b-4355-81ed-44cde9ed6d7b","http://resolver.tudelft.nl/uuid:95eddc8d-202b-4355-81ed-44cde9ed6d7b","Deep plumbing model of the Cenozoic Manzaz / Atakor intraplate volcanic system, Central Hoggar, Northwest Africa, based on electrical resistivity models","Boukhalfa, Zakaria (Centre de Recherche en Astonomie, Astrophysique et Géophysique; Université des Sciences et Technologie Houari Boumedien); Comeau, M.J. (TU Delft Applied Geophysics and Petrophysics); Benhallou, Amel (Centre de Recherche en Astonomie, Astrophysique et Géophysique); Bouzid, Abderrezak (Centre de Recherche en Astonomie, Astrophysique et Géophysique); Bendaoud, Abderrahmane (Université des Sciences et Technologie Houari Boumedien)","","2024","Continental intraplate volcanic systems, with their location far from plate tectonic boundaries, are not well understood: the crustal and lithospheric mantle structure of these systems remain enigmatic and there is no consensus on the mechanisms that cause melt generation and ascent. The Cenozoic saw the development of numerous volcanic provinces on the African plate. This includes the Hoggar volcanic province, located in Northwest Africa, part of the Tuareg shield. It is composed of several massifs with contrasting ages and eruptive styles. The magmatic activity began at around 34 Ma and continued throughout the Neogene-Quaternary. Phonolite and trachyte domes as well as scoria cones and necks are found in the Manzaz and Atakor volcanic districts. In order to image the crustal and lithospheric mantle structure of this region, and to understand the origins and potential mechanisms of the continental intraplate volcanic activity in the Central Hoggar and specifically the Atakor/Manzaz area, we acquired magnetotelluric (MT) measurements from 40 locations and generated a 3-D electrical resistivity model. The model covers an area of about 100 km by 200 km. Images of the subsurface architecture, in terms of electrical resistivity, from the near-surface to the lithospheric mantle, allow us image the deep plumbing system of the volcanic system. Low resistivity features (i.e., conductors) in the crust that are narrow, linear structures trending approximately north-south, are revealed along the two boundaries of the Azrou N’Fad terrane, in the Manzaz area. They likely reflect the Pan-African mega-shear zones, which were reactivated throughout the tectonic evolution of the region. The model reveals that these faults are lithospheric-scale. In addition, the low-resistivity features likely represent the signatures of past fluid flow. The location of the recent Cenozoic volcanic activity was likely influenced by the pre-existing structure. A deep feature of moderate conductivity is located in the upper lithospheric mantle directly beneath the Manzaz and Atakor Volcanic Districts. It may represent the origin of the overlying anomalies and may suggest metasomatism of the sub-continental lithospheric mantle.","intraplate; Hoggar; alkaline volcanism; magnetotelluric; electrical resistivity","en","abstract","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:b30543c0-7c0e-4cb2-8a3f-5c784032da32","http://resolver.tudelft.nl/uuid:b30543c0-7c0e-4cb2-8a3f-5c784032da32","Introduction: Shock chains and parallel shocks: Towards a social science of the recovery society","Bryson, John R. (University of Birmingham); Andres, Lauren (University College London (UCL)); Ersoy, A. (TU Delft Urban Development Management); Reardon, Louise (University of Birmingham)","Andres, Lauren (editor); Bryson, John R. (editor); Ersoy, Aksel (editor); Reardon, Louise (editor)","2024","Any one shock is never isolated from other shocks and any one recovery process will be complicated by further related and unrelated shocks and their related recovery processes. This chapter highlights the interactions that occur between shocks that are experienced in parallel or simultaneously and those that occur linearly and take the form of shock chains. These shock processes suggest that there needs to be further social science research on the complexity of shock and related recovery processes, to contribute to academic debate, but also to inform practice, policy development, and implementation. There needs to be a new social science research agenda on characterizing the features of the recovery society. A key issue is that there are many alternative recovery pathways and that each emerges through a set of iterative relationships between people, place, organisations, institutions, and governance processes. These alternatives reflect path dependency and previous decisions and related investments but are complicated by place-based intersectionality that compounds the ways in which parallel shocks and shock chains, and related recovery processes, interact with one another forming highly contextualised shock-related impacts and which then mediate the impacts of recovery processes in practice.","shocks; recovery processes; shock chains; parallel shocks; recovery society; social order","en","book chapter","Edward Elgar Publishing","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-12","","","Urban Development Management","","",""
"uuid:ff27c9ae-ac49-458f-a738-976217c6d3db","http://resolver.tudelft.nl/uuid:ff27c9ae-ac49-458f-a738-976217c6d3db","Understanding the embeddedness of individuals within the larger system to support energy transition","Biely, Katharina (Wageningen University & Research); Sareen, Siddharth (University of Stavanger; University of Bergen); de Vries, G. (TU Delft Organisation & Governance); Chappin, E.J.L. (TU Delft Energie and Industrie); Bauwens, Thomas (Erasmus Universiteit Rotterdam); Montagnino, Fabio Maria (The Cyprus Insitute)","","2024","CO2 emissions need to be reduced drastically to fight climate change and minimise the further increase of average global temperatures. The decarbonisation of the energy system aims at reducing CO2 emissions and is thus urgently needed. This transition is facilitated by inter alia switching to renewable energy sources and more efficient technologies. In the past, the energy transition has mostly focused on supply-side measures. However, at least since the publication of the 6th IPCC assessment report, demand-side measures have gained attention. Thereby, the roles individuals play in achieving this transition is recognised as important. This Special Feature is dedicated to exploring the roles of individuals within the energy transition. The nine thematically featured articles provide insights on this topic using different foci and angles, such as the information to guide individuals' behaviour, the influence of media in framing roles, and technology acceptance. To contextualise and synthesise these diverse contributions, this editorial introduction outlines three different, complementary clusters of roles: technology adoption, lifestyle choices, and political action. By theorising users as participants in transitions through diverse practices, we widen the basis for future research to address and incorporate the roles users play in engaging with and shaping these transitions.","Agency; Energy citizenship; Energy transition; Low-carbon lifestyle; Technology adoption","en","contribution to periodical","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-13","","","Organisation & Governance","","",""
"uuid:14f95d54-4113-4225-a040-79c35dbc0714","http://resolver.tudelft.nl/uuid:14f95d54-4113-4225-a040-79c35dbc0714","Longitudinal analysis of interorganizational collaborative networks of cross-laminated timber (CLT) construction","Said, Hisham (Santa Clara University); Rajagopalan, Aswathy (Santa Clara University); Hall, Daniel M. (TU Delft Design & Construction Management)","","2024","Purpose
Cross-laminated timber (CLT) is an innovative construction material that provides a balanced mix of structural stiffness, fabrication flexibility and sustainability. CLT development and innovation diffusion require close collaborations between its supply chain architectural, engineering, construction and manufacturing (AECM) stakeholders. As such, the purpose of this study is to provide a preliminary understanding of the knowledge diffusion and innovation process of CLT construction.
Design/methodology/approach
The study implemented a longitudinal social network analysis of the AECM companies involved in 100 CLT projects in the UK. The project data were acquired from an industry publication and decoded in the form of a multimode project-company network, which was projected into a single-mode company collaborative network. This complete network was filtered into a four-phase network to allow the longitudinal analysis of the CLT collaborations over time. A set of network and node social network analysis metrics was used to characterize the topology patters of the network and the centrality of the companies.
Findings
The study highlighted the scale-free structure of the CLT collaborative network that depends on the influential hubs of timber manufacturers, engineers and contractors to accelerate the innovation diffusion. However, such CLT supply collaborative network structure is more vulnerable to disruptions due to its dependence on these few prominent hubs. Also, the industry collaborative network’s decreased modularity confirms the maturity of the CLT technology and the formation of cohesive clusters of innovation partners. The macro analysis approach of the study highlighted the critical role of supply chain upstream stakeholders due to their higher centralities in the collaborative network. Stronger collaborations were found between the supply chain upstream stakeholders (timber manufacturers) and downstream stakeholders (architects and main contractors).
Originality/value
The study contributes to the field of industrialized and CLT construction by characterizing the collaborative networks between CLT supply chain stakeholders that are critical to propose governmental policies and industry initiatives to advance this sustainable construction material.","knowledge management; innovation; social network analysis; supply chain management; organizational learning; construction technology","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-11","","","Design & Construction Management","","",""
"uuid:858857a1-0023-404a-89ab-92cd337667e6","http://resolver.tudelft.nl/uuid:858857a1-0023-404a-89ab-92cd337667e6","Correction to: Ballistic Majorana nanowire devices (Nature Nanotechnology, (2018), 13, 3, (192-197), 10.1038/s41565-017-0032-8)","Gül, Önder (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Zhang, H. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Bommer, J.D.S. (TU Delft BUS/Quantum Delft; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); de Moor, M.W.A. (TU Delft BUS/Quantum Delft; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Car, Diana (Eindhoven University of Technology); Plissard, Sébastien R. (Eindhoven University of Technology; Université de Toulouse); Bakkers, E.P.A.M. (TU Delft QN/Bakkers Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft; Eindhoven University of Technology); Geresdi, A. (TU Delft QRD/Geresdi Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Kouwenhoven, Leo P. (TU Delft QN/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft; Microsoft Quantum Lab Delft)","","2024","Correction to: Nature Nanotechnologyhttps://doi.org/10.1038/s41565-017-0032-8, published online 15 January 2018. The Letter reports Majorana signatures in hybrid InSb semiconductor nanowire–NbTiN superconductor devices. The devices exhibit a conductance plateau near the conductance quantum 2e2/h at bias voltages above the superconducting gap (normal conductance), accompanied by an enhanced Andreev conductance at bias voltages below the superconducting gap (subgap conductance). We have attributed these experimental observations to ballistic transport as supported by a theoretical analysis1, finding mean free paths on the order of or larger than the effective wire segment (the segment covered by the superconducting electrode). Here, we correct errors discovered on reanalysis of the original data2, following concerns raised by readers. Due to the age of the paper, it cannot be corrected directly in the original publication, thus the updates are provided via this amendment. We provide additional discussion on the claim of ballistic transport so as to avoid misinterpretations. External peer review of the reanalysis concluded that the claims in the Letter remain. An extended public repository including data obtained from nanowire devices that were not included in the publication can be found in ref. 2. We note the lack of a series of flat and precisely quantized conductance plateaus (a staircase), a clear ballistic transport characteristic (see the two newly included Supplementary Figs. 1 and 7 showing larger voltage ranges of Fig. 1 and the original Supplementary Fig. 5). Our earlier studies on ballistic transport in nanowire devices3,4 indicate that vapour–liquid–solid nanowires do not have the proper geometry for observing a conductance staircase without the application of a magnetic field perpendicular to the wire axis, which requires ideal (Landauer) reservoirs interfacing the ballistic region, absorbing charge carriers with near-unit probability. Similar to our earlier studies, ohmic contacts in the present nanowire devices do not satisfy the conditions of Landauer reservoirs. However, the transport in the effective wire segment can nevertheless be ballistic whose characteristic is a plateau feature near 2e2/h in normal conductance together with an enhanced Andreev conductance. Importantly, precise quantization is not realistic, prevented by the two-terminal device geometry, inevitably decreasing the conductance. In summary, a plateau feature with an enhanced Andreev conductance together with our theoretical analyses indicate that a large fraction of transport is ballistic over distances of the order of our device length. We add a discussion to the main text of the Letter as follows: “… followed by a dip in conductance due to channel mixing20 [ref. 1 below]. We do not observe higher plateaus (Supplementary Figs. 1 and 7), which we attribute to the contacts not satisfying the conditions of Landauer reservoirs, resulting in residual scattering more effective at larger conductance. This is in line with our earlier studies25,39 [refs. 4,5 below] which indicated that vapour–liquid–solid nanowires do not have the proper geometry for observing a conductance staircase without the application of a perpendicular magnetic field. From the absence of quantum dots, the observed induced gap …”. The following text should also have been included in the abstract: “… exhibiting clear ballistic transport properties manifested by a conductance plateau with an Andreev enhancement, albeit lacking a quantized conductance staircase hindered by the device geometry.” The conductance values reported in the publication are ~8% lower (near 2e2/h) than the actual value (corrected Fig. 1). This deviation is due to a drop in the gain of the current-to-voltage amplifier at an ac excitation frequency of 67 Hz5. As a result, there is a slight change in the Andreev conductance enhancement factor and the superconducting contact transparency extracted from the enhancement (a comparison between the values quoted in the publication and the corrected ones is given below in B). The general conclusions do not rely on the exact value of the conductance as precise quantization is not expected due to the two-terminal device geometry. The subtracted series resistance of 3 kΩ in the original Fig. 1 was an overestimation (see corrected Fig. 1 in the Supplementary Data file). The subtraction of 3 kΩ was not mentioned in the original publication. A comparison of the original and corrected Fig. 1 is presented in a Supplementary Data file accompanying this correction. For all the figures in the original publication except Fig. 1, we either subtracted a contact resistance value of 0.5 kΩ, which is an underestimation1, or no resistance at all. We note that in tunneling measurements the overall resistance is significantly higher than the normal metal contact resistance whose contribution can therefore be neglected. Figure 1, however, was used to estimate the superconducting contact transparency and Andreev enhancement in the high conductance regime, requiring a realistic exclusion of the contact resistance. Following our previous paper4, which found normal metal contact resistance values between 1.5–3.25 kΩ per contact and was based on fitting the measured conductance using theory (single mode interfacing a superconductor), which provided reasonable agreement after excluding 3 kΩ, we subtracted 3 kΩ to exclude the resistance of the normal metal contact. During our reanalysis, we have discovered that the minimum resistance of this device at the largest applied gate voltages is 2.9 kΩ, a value providing an upper bound on the contact resistance. Here, 2.9 kΩ would be the contact resistance under the assumption that the nanowire itself has zero resistance at largest gate voltages. The contact resistance can be estimated with an alternative method by subtracting a series resistance to match the observed conductance plateau at bias voltages above the superconducting gap to the expected quantized value, a procedure not done in the original publication. By taking the conductance averaged at positive and negative |V| ~ 1.7 mV (around the largest bias voltages available for this analysis) we find that the quantized value is reached for a contact resistance of 0.77 kΩ. (Considering only the positive bias and separately only the negative bias results in a range of 0–2.13 kΩ for the contact resistance.) In our corrected estimate of the contact resistance, we have applied the calibration procedure5 that corrects for ac circuit effects, uses calibrated values for the series resistance of the setup where Fig. 1 was measured and directly corrects the error listed in A above. Upon reanalysis we estimate the following contact resistance values, enhancement factors and transparencies: (Table presented.) Contact resistance Enhancement factor Transparency Lower bound 0 kΩ 1.26 0.88 Conservative estimation1 (used in corrected Fig. 1) 0.5 kΩ 1.32 0.90 Current best estimate 0.77 kΩ 1.36 0.90 Original estimate in paper 3 kΩ >1.5 >0.93 The corrected superconducting contact transparency value of 0.9 does not affect the claim of high transparency. The claim of ballistic transport does not rest on the exact value of the conductance plateau and hence is also unaffected. The original Methods section omits the indication of subtracted series resistances which account for the normal metal contact resistance in each figure. The following is included here for the corrected Methods: The original Methods section omits the indication of subtracted series resistances which account for the normal metal contact resistance in each figure. The following is included here for the corrected Methods: “Contact resistance treatment. A fixed-value series resistance of 0.5 kΩ has been subtracted in Figs. 1 and 4, Supplementary Figs. 1, 2b,c and 4–9 to account for the contact resistance of the normal metal lead. This value is smaller than the lowest contact resistance we have obtained for InSb nanowire devices25 (ref. 4 below), which makes the interface transparency estimated from Fig. 1 a lower bound. For the remaining figures, no series resistance has been subtracted to account for the normal metal contact resistance.” In the original Supplementary Fig. 5 (now Supplementary Fig. 6), a charge jump was corrected by removal of 12 line traces (corresponding to +0.15 V to +0.04 V in gate voltage in the measured data) and offset of the gate voltage axis by 0.12 V after the charge jump (–1 V to +0.03 V) to maintain continuity of the axis. This processing was not mentioned in the original publication. The corrected Supplementary Fig. 6 excludes this processing and represents the data as measured. In the original Supplementary Fig. 5 (now Supplementary Fig. 6), a charge jump was corrected by removal of 12 line traces (corresponding to +0.15 V to +0.04 V in gate voltage in the measured data) and offset of the gate voltage axis by 0.12 V after the charge jump (–1 V to +0.03 V) to maintain continuity of the axis. This processing was not mentioned in the original publication. The corrected Supplementary Fig. 6 excludes this processing and represents the data as measured. A comparison of the original and corrected Fig. SI5 (now Fig. SI6) is presented in a Supplementary Data file accompanying this correction. Original Supplementary Fig. 1f (now Supplementary Fig. 2f): The offset mentioned in the caption is erroneously given as 0.006 × 2e2/h but is 0.01 × 2e2/h. Original Supplementary Fig. 4a,b (now Supplementary Fig. 5a,b) were indicated to present data from Fig. 2a (or original Supplementary Fig. 1a). This is incorrect. The data used are from the original Supplementary Fig. 1b (now Supplementary Fig. 2b) which has the same measurement settings as in Fig. 2a except the barrier gate is –1.5 V (the barrier gate is –1.4 V in Fig. 2a or original Supplementary Fig. 1a). In the original panels c–e of Supplementary Fig. 7 (now Supplementary Fig. 9c–e) the bias polarity is mistakenly inverted.","","en","journal article","","","","","","Corrigendum DOI 10.1038/s41565-0170032-8 Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-11","","","QRD/Kouwenhoven Lab","","",""
"uuid:888a8ad0-fd2f-4d82-86ed-dfb7f0397d2a","http://resolver.tudelft.nl/uuid:888a8ad0-fd2f-4d82-86ed-dfb7f0397d2a","Challenges and opportunities for process intensification in Europe from a process systems engineering perspective","Li, Q. (TU Delft ChemE/Process Systems Engineering); Somoza Tornos, A. (TU Delft ChemE/Process Systems Engineering); Grievink, J. (TU Delft ChemE/Product and Process Engineering); Kiss, A.A. (TU Delft ChemE/Process Systems Engineering)","","2024","Process Intensification (PI) is an effective way to enhance process efficiency and sustainability at affordable costs and efforts, attracting particular interest in the European area, as one of the most important chemical production areas in the world. PI primarily contributes by developing and testing new processing technologies that once integrated within a process improve the overall process performance substantially but as a result, it may alter the overall process (flowsheet) structure and its dynamic behavior. As such PI plays a key role in improving energy efficiency, optimizing resource allocation, and reducing environmental impact of industrial processes, and thereby leading to a cost-effective, eco-efficient, low-carbon and sustainable industry. However, along with opportunities, the PI new technologies have challenges related to failures in longer-term performance. In this respect, Process Systems Engineering (PSE) stance is more on integration aspects of new PI technologies into processes by making process (re)designs, doing operability studies, and performance optimizations within a supply chain setting. PSE contributes to overcoming the challenges by providing systematic approaches for the design and optimization of PI technologies. This perspective paper is a lightly referenced scholarly opinion piece about the status and directions of process intensification field from a PSE viewpoint. Primarily, it focuses on PSE perspectives towards sustainable lower energy usage process systems and provides a brief overview of the current situation in Europe. It also emphasizes the key challenges and opportunities for (new) PI technologies considering their integration in a process in terms of process synthesis and design, process flowsheet optimization, process and plantwide control, (green) electrification, sustainability improvements. Potential research directions on these aspects are given from an industrial and academic perspective of the authors.","ecoefficiency; energy efficiency; fluid separation; process intensification; process systems engineering","en","journal article","","","","","","","","","","","ChemE/Process Systems Engineering","","",""
"uuid:3150902c-b135-4343-b3aa-57b585946d34","http://resolver.tudelft.nl/uuid:3150902c-b135-4343-b3aa-57b585946d34","Norming and dense sets of extreme points of the unit ball in spaces of bounded Lipschitz functions","Hille, Sander C. (Universiteit Leiden); Theewis, E.S. (TU Delft Analysis)","","2024","On spaces of finite signed Borel measures on a metric space one has introduced the Fortet-Mourier and Dudley norms, by embedding the measures into the dual space of the Banach space of bounded Lipschitz functions, equipped with different – but equivalent – norms: the FM-norm and the BL-norm, respectively. The norm of such a measure is then obtained by maximising the value of the measure when applied by integration to extremal functions of the unit ball. We introduce Lipschitz extension operators, essentially based on those defined by McShane, and investigate their properties. A remarkable one is that non-trivial extreme points are mapped to non-trivial extreme points of FM- and BL-norm unit balls. Using these extension operators, we define suitable ‘small’ subsets of extremal functions that are weak-star dense in the full set of extreme points of the unit ball, for any underlying metric space. For connected metric spaces, we additionally find a larger set of extremal functions for the BL-norm, similar to such a set that was defined previously by J. Johnson for the FM-norm. This set is then also weak-star dense in the extremal functions. These results may open an avenue to obtaining computational approaches for the Dudley norm on signed Borel measures.","Extreme points; Unit ball; Lipschitz functions; Norming sets; Metric analysis","en","journal article","","","","","","","","","","","Analysis","","",""
"uuid:75b47724-a226-4fe9-82ca-4b5824df1810","http://resolver.tudelft.nl/uuid:75b47724-a226-4fe9-82ca-4b5824df1810","Structural and magnetic properties of YNi4-xCoxSi alloys","Gai, H. (TU Delft RST/Fundamental Aspects of Materials and Energy); van Dijk, N.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); Brück, E.H. (TU Delft RST/Fundamental Aspects of Materials and Energy)","","2024","The transition-metal based alloy system YNi 4-xCo xSi shows a second-order ferromagnetic-to-paramagnetic transition near room temperature. Here, the magnetic structure, the magnetocaloric properties and the magnetic anisotropy of YNi 4-xCo xSi (x = 0–4) are investigated. For x = 3.5, 3.75 and 4.0 a Curie temperature near room temperature is observed with T C = 250, 283 and 310 K, respectively. In orientated YNi 4-xCo xSi powder samples the c axis of the hexagonal crystal structure is found to be the easy magnetic axis, with a large dominant K 2 anisotropy constant (K 2 > K 1 > 0). The magnetic structure and the preferred atomic position for Ni are demonstrated by neutron diffraction measurements. We have found a dramatic decrease in the magnetic moment at the 3 g site in the CaCu 5-type structure (space group P6/mmm), the saturation magnetization and the Curie temperature with increasing Ni concentration.","","en","journal article","","","","","","","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:99e01587-21a2-4d19-87ec-366f3d3b9b8d","http://resolver.tudelft.nl/uuid:99e01587-21a2-4d19-87ec-366f3d3b9b8d","Designing lithium halide solid electrolytes","Wang, Q. (TU Delft RST/Storage of Electrochemical Energy); Zhou, Yunan (Tsinghua University); Wang, Xuelong (Brookhaven National Laboratory); Guo, Hao (China Institute of Atomic Energy); Gong, Shuiping (Shanghai Jiao Tong University); Yao, Zhenpeng (Shanghai Jiao Tong University); Ganapathy, S. (TU Delft RST/Storage of Electrochemical Energy; TU Delft RID/TS/Instrumenten groep); Zhao, C. (TU Delft RST/Storage of Electrochemical Energy); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy)","","2024","All-solid-state lithium batteries have attracted widespread attention for next-generation energy storage, potentially providing enhanced safety and cycling stability. The performance of such batteries relies on solid electrolyte materials; hence many structures/phases are being investigated with increasing compositional complexity. Among the various solid electrolytes, lithium halides show promising ionic conductivity and cathode compatibility, however, there are no effective guidelines when moving toward complex compositions that go beyond ab-initio modeling. Here, we show that ionic potential, the ratio of charge number and ion radius, can effectively capture the key interactions within halide materials, making it possible to guide the design of the representative crystal structures. This is demonstrated by the preparation of a family of complex layered halides that combine an enhanced conductivity with a favorable isometric morphology, induced by the high configurational entropy. This work provides insights into the characteristics of complex halide phases and presents a methodology for designing solid materials.","","en","journal article","","","","","","","","","","","RST/Storage of Electrochemical Energy","","",""
"uuid:e43792be-e3d8-4f44-a780-a1b1c40370af","http://resolver.tudelft.nl/uuid:e43792be-e3d8-4f44-a780-a1b1c40370af","Near-infrared light-driven asymmetric photolytic reduction of ketone using inorganic-enzyme hybrid biocatalyst","Qiao, Li (Hangzhou Normal University); Zhang, Jing (Hangzhou Normal University); Jiang, Yongjian (Hangzhou Normal University); Ma, Bianqin (Hangzhou Normal University); Chen, Haomin (Hangzhou Normal University); Gao, Peng (Hangzhou Normal University); Zhang, Pengfei (Hangzhou Normal University); Wang, Anming (Hangzhou Normal University); Sheldon, R.A. (TU Delft BT/Biocatalysis; University of Witwatersrand)","","2024","Effective photolytic regeneration of the NAD(P)H cofactor in enzymatic reductions is an important and elusive goal in biocatalysis. It can, in principle, be achieved using a near-infrared light (NIR) driven artificial photosynthesis system employing H2O as the sacrificial reductant. To this end we utilized TiO2/reduced graphene quantum dots (r-GQDs), combined with a novel rhodium electron mediator, to continuously supply NADPH in situ for aldo-keto reductase (AKR) mediated asymmetric reductions under NIR irradiation. This upconversion system, in which the Ti-O-C bonds formed between r-GQDs and TiO2 enabled efficient interfacial charge transfer, was able to regenerate NADPH efficiently in 64 % yield in 105 min. Based on this, the pharmaceutical intermediate (R)-1-(3,5-bis(trifluoromethyl)phenyl)ethan-1-ol was obtained, in 84 % yield and 99.98 % ee, by reduction of the corresponding ketone. The photo-enzymatic system is recyclable with a polymeric electron mediator, which maintained 66 % of its original catalytic efficiency and excellent enantioselectivity (99.9 % ee) after 6 cycles.","Aldo-ketone reductase; Cofactor regeneration; Ketone reduction; Photo-enzymatic reduction; TiO/r-GQDs nanocomposite; Upconversion","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-04","","","BT/Biocatalysis","","",""
"uuid:9da35f86-c7b1-4ac1-8efd-adcd4c8b730a","http://resolver.tudelft.nl/uuid:9da35f86-c7b1-4ac1-8efd-adcd4c8b730a","Miniaturized therapeutic systems for ultrasound-modulated drug delivery to the central and peripheral nervous system","Zhu, P. (TU Delft ChemE/Product and Process Engineering; Nanjing University of Aeronautics and Astronautics; The Hong Kong Polytechnic University); Simón Grau, I. (TU Delft ChemE/Product and Process Engineering); Kokalari, I. (TU Delft ChemE/Product and Process Engineering); Kohane, Daniel S. (Harvard Medical School); Rwei, A.Y. (TU Delft ChemE/Product and Process Engineering)","","2024","Ultrasound is a promising technology to address challenges in drug delivery, including limited drug penetration across physiological barriers and ineffective targeting. Here we provide an overview of the significant advances made in recent years in overcoming technical and pharmacological barriers using ultrasound-assisted drug delivery to the central and peripheral nervous system. We commence by exploring the fundamental principles of ultrasound physics and its interaction with tissue. The mechanisms of ultrasonic-enhanced drug delivery are examined, as well as the relevant tissue barriers. We highlight drug transport through such tissue barriers utilizing insonation alone, in combination with ultrasound contrast agents (e.g., microbubbles), and through innovative particulate drug delivery systems. Furthermore, we review advances in systems and devices for providing therapeutic ultrasound, as their practicality and accessibility are crucial for clinical application.","Cavitation; Implantable device; Microbubble; Sonochemistry; Sonosensitizer; Ultrasound transducer; Wearable device","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-03","","","ChemE/Product and Process Engineering","","",""
"uuid:95828fcd-3ab5-4d1b-85b5-a5e209d9ca4f","http://resolver.tudelft.nl/uuid:95828fcd-3ab5-4d1b-85b5-a5e209d9ca4f","Failure probability estimation of natural gas pipelines due to hydrogen embrittlement using an improved fuzzy fault tree approach","Qin, Guojin (Southwest Petroleum University; Shanghai Jiao Tong University); Li, Ruiling (Southwest Petroleum University); Yang, M. (TU Delft Safety and Security Science; Universiti Teknologi Malaysia; University of Tasmania); Wang, Bohong (Zhejiang Ocean University); Ni, Pingan (Xi'an University of Architecture and Technology); Wang, Yihuan (Southwest Petroleum University; Shanghai Jiao Tong University)","","2024","The estimation of failure probability is challenging in hydrogen embrittlement in steel pipelines due to the complexity of the synergistic effect of multiple factors. The present study proposed a hybrid methodology to estimate the failure probability of steel pipelines due to hydrogen embrittlement. The methodology integrates the fault tree analysis with a fuzzy comprehensive evaluation. Fault tree analysis captures the logical relationships between influencing indicators to develop a new assessment model of hydrogen embrittlement in steel pipelines. An improved fuzzy fault tree analysis method was proposed to process aleatoric and epistemic uncertainties to estimate the probability of each basic event due to the difficulty in obtaining the actual probabilities. The failure probability of blended hydrogen natural gas pipelines was estimated by considering the correlation of events. A case study demonstrated the applicability of the proposed method. Maintenance measures can be implemented according to the evaluation results to ensure pipeline safety.","Blended hydrogen natural gas pipelines; Failure probability estimation; Fuzzy fault tree analysis; Hydrogen blistering; Hydrogen embrittlement; Hydrogen-induced cracking","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-05","","","Safety and Security Science","","",""
"uuid:706447a5-6e75-4751-8bcc-4ab593c53bfd","http://resolver.tudelft.nl/uuid:706447a5-6e75-4751-8bcc-4ab593c53bfd","Elucidating the role of water in collagen self-assembly by isotopically modulating collagen hydration","Giubertoni, Giulia (Universiteit van Amsterdam); Feng, Liru (Universiteit van Amsterdam); Klein, Kevin (Institute of Science and Technology Austria; University College London (UCL)); Giannetti, Guido (Universiteit van Amsterdam); Rutten, Luco (Radboud University Medical Center); van der Net, J.J.P. (TU Delft BN/Gijsje Koenderink Lab; Kavli institute of nanoscience Delft); Castro Linares, G. (TU Delft BN/Gijsje Koenderink Lab; Kavli institute of nanoscience Delft); Koenderink, G.H. (TU Delft BN/Gijsje Koenderink Lab; Kavli institute of nanoscience Delft); Woutersen, Sander (Universiteit van Amsterdam)","","2024","Water is known to play an important role in collagen self-assembly, but it is still largely unclear how water-collagen interactions influence the assembly process and determine the fibril network properties. Here, we use the H[Formula: see text]O/D[Formula: see text]O isotope effect on the hydrogen-bond strength in water to investigate the role of hydration in collagen self-assembly. We dissolve collagen in H[Formula: see text]O and D[Formula: see text]O and compare the growth kinetics and the structure of the collagen assemblies formed in these water isotopomers. Surprisingly, collagen assembly occurs ten times faster in D[Formula: see text]O than in H[Formula: see text]O, and collagen in D[Formula: see text]O self-assembles into much thinner fibrils, that form a more inhomogeneous and softer network, with a fourfold reduction in elastic modulus when compared to H[Formula: see text]O. Combining spectroscopic measurements with atomistic simulations, we show that collagen in D[Formula: see text]O is less hydrated than in H[Formula: see text]O. This partial dehydration lowers the enthalpic penalty for water removal and reorganization at the collagen-water interface, increasing the self-assembly rate and the number of nucleation centers, leading to thinner fibrils and a softer network. Coarse-grained simulations show that the acceleration in the initial nucleation rate can be reproduced by the enhancement of electrostatic interactions. These results show that water acts as a mediator between collagen monomers, by modulating their interactions so as to optimize the assembly process and, thus, the final network properties. We believe that isotopically modulating the hydration of proteins can be a valuable method to investigate the role of water in protein structural dynamics and protein self-assembly.","collagen tissue; hydration; mechanics; molecular structure","en","journal article","","","","","","","","","","","BN/Gijsje Koenderink Lab","","",""
"uuid:f9b11417-4373-477e-8f97-baf65c9db7a1","http://resolver.tudelft.nl/uuid:f9b11417-4373-477e-8f97-baf65c9db7a1","Green photocatalytic mixed matrix membranes for simultaneous arsenic photo-oxidation and water recovery via membrane distillation","Santoro, Sergio (University of Calabria); Occhiuzzi, Jessica (University of L'Aquila); Aquino, Marco (University of Calabria); Politano, Antonio (University of L'Aquila); Straface, Salvatore (University of Calabria); D'Andrea, Giuseppe (University of Calabria); Carrillo, Cristobal (Universidad de Zaragoza); Mallada, Reyes (Universidad de Zaragoza); Garcia, Andreina (Universidad de Chile); Estay, Humberto (Universidad de Chile); Xevgenos, Dimitris (TU Delft Energie and Industrie); Argurio, Pietro (University of Calabria); Curcio, Efrem (University of Calabria)","","2024","This work proposes an innovative integration of Membrane Distillation (MD) and photo-oxidation for a continuous recovery of water from arsenic (As) contaminated solutions coupled with the oxidation of arsenite (As(III)) into arsenate (As(V)). Polyvinylidene fluoride (PVDF) mixed matrix membranes (MMMs) containing titanium dioxide nanoparticles (TiO2 NPs) as photocatalyst were developed. A systematic study elucidated the effect of TiO2 NPs on membranes’ morphology prepared via non-solvent-induced phase separation (NIPS) using triethyl phosphate (TEP) as a green solvent for PVDF solubilization. Vacuum membrane distillation (VMD) tests carried out by irradiating the MMMs with ultraviolet (UV) radiation demonstrated the possibility of recovering up to 80 % of the water from As-contaminated synthetic and real multi-ions aqueous solutions from Sila Massif (Italy). The distillate was recovered at a rate of 6.9–7.2 kg·m−2·h−1 (feed inlet temperature of 60 °C), while the presence of 7 wt% of TiO2 in PVDF membranes enabled the photo-oxidation of 95 % of the As(III) to As(V) at a first order kinetic constant of 0.0106 min−1. After 5 cycles of As-remediation experiments, post-hoc mechanical testing on the membrane suggested the emergence of polymer embrittlement induced by UV radiation (total irradiation time of 7.5 h), highlighting the urgent need for developing photocatalytic membranes with long-term stability. Overall, this study elucidates at laboratory scale the performance of a coupled and continuous Membrane Distillation (MD) and photo-oxidation system for arsenic (As) remediation, employing microporous hydrophobic green membranes doped with a photocatalyst.","Arsenic; Green Solvent; Membrane Distillation; Photo-oxidation; Photocatalytic Membranes","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:c2d86979-2727-438e-9604-1cbdb42bc621","http://resolver.tudelft.nl/uuid:c2d86979-2727-438e-9604-1cbdb42bc621","Caste, mistrust and municipal inaction: The interwoven barriers for the integration of waste pickers in India","Juárez Pastor, Lidia (Universiteit Leiden); Subramanian, Vrishali (Universiteit Leiden); Cucurachi, S. (Universiteit Leiden); Ghorbani, Amineh (TU Delft System Engineering)","","2024","Solid waste management in low- and middle-income countries like India faces significant challenges due to the increasing waste generation that surpasses the current capacity. Therefore, the informal waste sector (IWS) is more vital than ever in handling consumer waste alongside municipal solid waste management (SWM) systems. However, the integration of the IWS into formal waste management systems remains unresolved due to adverse social and economic conditions. This study focuses on identifying the root causes that hinder the integration of the IWS in India's waste management system, using the city of Chennai as a case study. Adopting an institutional perspective, we analyse the institutional landscape of the waste management system, considering both formal rules (in policy documents) and informal rules (i.e., social norms and routines). The institutional network analysis reveals a significant misalignment in perceptions among governance levels concerning the integration of the IWS. The study shows a considerable gap between rules-in-form and rules-in-use, leading to 1) Preclusion of waste pickers in collecting door-to-door source-segregated waste (i.e., recyclables). 2) Unfair pricing in transactions with small aggregators. 3) Lack of ID cards for waste pickers. These barriers are ultimately rooted in caste discrimination, misalignment between governance levels, and the exclusion of waste pickers in the policymaking process. In conclusion, understanding and rectifying the institutional gaps and discriminatory practices are essential steps towards effectively integrating the IWS in India's waste management system, promoting a more inclusive and sustainable approach to waste management.","Informal waste sector; Institutional grammar; Institutional network analysis; Solid waste management; Waste pickers","en","journal article","","","","","","","","","","","System Engineering","","",""
"uuid:6123c331-fc12-4762-979c-6e37763836d1","http://resolver.tudelft.nl/uuid:6123c331-fc12-4762-979c-6e37763836d1","Adapting a systems perspective for sectoral coordination: Approaching flood resilience in Houston and Accra","Ersoy, A. (TU Delft Urban Development Management); Brand, A.D. (TU Delft Research Support & Innovation); van Bueren, Ellen (TU Delft Management in the Built Environment)","","2024","Increasing resilience to flooding is a complex process that requires horizontal and vertical coordination between institutions in policy making and implementation. This paper explores the effect of institutional coordination on managing flood risk in two cities plagued by flooding. Our results show that efforts on building urban flood resilience can be undermined by lack of proper coordination between urban development, water management and land use planning. We find that this complexity is magnified by the emergence of the concept of resilience as an urban development goal that is increasingly pursued by various authorities, but that is inherently contested in practice.","flood resilience; systems approach; coordination; Houston; Accra","en","journal article","","","","","","","","","","Management in the Built Environment","Urban Development Management","","",""
"uuid:c7f2ab48-896c-4d09-adc3-afcc12b64c1b","http://resolver.tudelft.nl/uuid:c7f2ab48-896c-4d09-adc3-afcc12b64c1b","What is conceptual disruption?","Marchiori, S. (TU Delft Ethics & Philosophy of Technology); Scharp, Kevin (University of Illinois at Urbana-Champaign; University of Twente)","","2024","Recent work on philosophy of technology emphasises the ways in which technology can disrupt our concepts and conceptual schemes. We analyse and challenge existing accounts of conceptual disruption, criticising views according to which conceptual disruption can be understood in terms of uncertainty for conceptual application, as well as views assuming all instances of conceptual disruption occur at the same level. We proceed to provide our own account of conceptual disruption as an interruption in the normal functioning of concepts and conceptual schemes. Moreover, we offer a multilevel taxonomy thereof, where we distinguish between instances of conceptual disruptions occurring at different levels (conceptual scheme, conceptual clusters, and individual concepts), taking on different forms (conceptual gaps and conceptual conflicts), and leading to different degrees of severity (extending from mild to severe). We also provide detailed accounts through historical examples of how conceptual gaps and conceptual conflicts can occur at different times in the very same process of conceptual disruption. Finally, we make the case that different kinds of conceptual engineering can provide meaningful ways to assess and overcome distinct types of conceptual disruption.","Conceptual disruption; Conceptual engineering; Socially disruptive technologies; Conceptual gap; Conceptual conflict; Conceptual overlap; Conceptual change; Philosophy of technology","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:f515445b-f3a8-491e-9cf1-8cd3425455a8","http://resolver.tudelft.nl/uuid:f515445b-f3a8-491e-9cf1-8cd3425455a8","A DfT Strategy for Guaranteeing ReRAM’s Quality after Manufacturing","Copetti, T. S. (Rheinisch-Westfälische Technische Hochschule); Fieback, M. (TU Delft Computer Engineering); Gemmeke, T. (Rheinisch-Westfälische Technische Hochschule); Hamdioui, S. (TU Delft Computer Engineering); Poehls, L. M.Bolzani (Rheinisch-Westfälische Technische Hochschule)","","2024","Memristive devices have become promising candidates to complement the CMOS technology, due to their CMOS manufacturing process compatibility, zero standby power consumption, high scalability, as well as their capability to implement high-density memories and new computing paradigms. Despite these advantages, memristive devices are susceptible to manufacturing defects that may cause faulty behaviors not observed in CMOS technology, significantly increasing the challenge of testing these novel devices after manufacturing. This work proposes an optimized Design-for-Testability (DfT) strategy based on the introduction of a DfT circuitry that measures the current consumption of Resistive Random Access Memory (ReRAM) cells to detect not only traditional but also unique faults. The new DfT circuitry was validated using a case study composed of a 3x3 word-based ReRAM with peripheral circuitry implemented based on a 130 nm Predictive Technology Model (PTM) library. The obtained results demonstrate the fault detection capability of the proposed strategy with respect to traditional and unique faults. In addition, this paper evaluates the impact related to the DfT circuitry’s introduced overheads as well as the impact of process variation on the resolution of the proposed DfT circuitry.","DfT Circuitry; Manufacturing test; ReRAMs; Unique faults","en","journal article","","","","","","","","","","","Computer Engineering","","",""
"uuid:c2bea36a-b7ff-4ce9-91ca-65206cffe596","http://resolver.tudelft.nl/uuid:c2bea36a-b7ff-4ce9-91ca-65206cffe596","Dynamic wind farm flow control using free-vortex wake models","van den Broek, M.J. (TU Delft Team Jan-Willem van Wingerden); Becker, M. (TU Delft Team Jan-Willem van Wingerden); Sanderse, Benjamin (Centrum Wiskunde & Informatica (CWI)); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2024","A novel dynamic economic model-predictive control strategy is presented that improves wind farm power production and reduces the additional demands of wake steering on yaw actuation when compared to an industry state-of-the-art reference controller. The novel controller takes a distributed approach to yaw control optimisation using a free-vortex wake model. An actuator-disc representation of the wind turbine is employed and adapted to the wind farm scale by modelling secondary effects of wake steering and connecting individual turbines through a directed graph network. The economic model-predictive control problem is solved on a receding horizon using gradient-based optimisation, demonstrating sufficient performance for realising real-time control. The novel controller is tested in a large-eddy simulation environment and compared against a state-of-the-art look-up table approach based on steady-state model optimisation and an extension with wind direction preview. Under realistic variations in wind direction and wind speed, the preview-enabled look-up table controller yielded the largest gains in power production. The novel controller based on the free-vortex wake produced smaller gains in these conditions while yielding more power under large changes in wind direction. Additionally, the novel controller demonstrated potential for a substantial reduction in yaw actuator usage.","","en","journal article","","","","","","","","","","","Team Jan-Willem van Wingerden","","",""
"uuid:3ca0a48c-43a3-4b8b-ba00-c28403fc19fd","http://resolver.tudelft.nl/uuid:3ca0a48c-43a3-4b8b-ba00-c28403fc19fd","3D printer-driven design of a non-assembly titanium surgical instrument using compliant lattice flexures","Lussenburg, K.M. (TU Delft Medical Instruments & Bio-Inspired Technology); van Starkenburg, R.I.B. (TU Delft EMSD EEMCS Project technicians); Sakes, A. (TU Delft Medical Instruments & Bio-Inspired Technology); Breedveld, P. (TU Delft Medical Instruments & Bio-Inspired Technology)","","2024","Metal additive manufacturing is a promising technology for the production of functional medical products, due to its high shape complexity and resolution, and ability to withstand sterilization temperatures. This study explores the possibility of designing a completely non-assembly steerable surgical instrument using Selective Laser Melting. Despite its advantages for medical devices, the rough surface quality of unfinished parts can be problematic for non-assembly designs, leading to increased friction and wear in rigid body mechanisms and tendon-actuated mechanisms. We investigated printing of rolling contact joints with crossed flexures as low-friction joints, adjusted for printing in titanium for the design of the instrument. Grid-based lattice structures were incorporated as miniature flexures, and we explored the influence of various grid sizes on the flexibility and bending stiffness of the lattices. Based on this exploration, we altered the rolling joint configuration from two crossed flexures to a single straight flexure for our design. The resulting steerable surgical instrument design is completely non-assembly, including its actuation, facilitates easy removal of support structures, and requires no surface finishing steps. It has a diameter of less than 20 mm, facilitates opening and closing of a grasper, and steering of the grasper by 20 degrees.","Compliant; Lattice; Non-assembly; Selective laser melting; Surgical instrument","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:951e4e7e-6dd0-4836-918f-c2a2f620b32f","http://resolver.tudelft.nl/uuid:951e4e7e-6dd0-4836-918f-c2a2f620b32f","Design of railway transition zones: A novel energy-based criterion","Jain, A. (TU Delft Dynamics of Structures); Metrikine, A. (TU Delft Engineering Structures); Steenbergen, M.J.M.M. (TU Delft Mechanics and Physics of Structures); van Dalen, K.N. (TU Delft Dynamics of Structures)","","2024","Railway transition zones (RTZs) experience higher rates of degradation compared to open tracks, which leads to increased maintenance costs and reduced availability. Despite existing literature on railway track assessment and maintenance, effective design solutions for RTZs are still limited. Therefore, a robust design criterion is required to develop effective solutions. This paper presents a two-step approach for the formulation of a preliminary-design criterion to delay the onset of processes leading to uneven track geometry in RTZs. Firstly, a systematic analysis of each track component in a RTZ is performed by examining spatial and temporal variations in kinematic responses, stresses and energies using a finite element model of an embankment-bridge transition. Secondly, the study proposes an energy-based criterion to be assessed using a model with linear elastic material behavior and states that an amplification in the total train energy in the proximity of the transition interface is an indicator of increased (and thus non-uniform) degradation in RTZs compared to the open tracks. The correlation between the total strain energy (assessed in the model with linear material behaviour) and the permanent irreversible deformations is demonstrated using a model with non-linear elastoplastic material behavior of the ballast layer. In the end, it is claimed that minimising the magnitude of total strain energy will lead to reduced degradation and a uniform distribution of total strain energy in each trackbed layer along the longitudinal direction of the track will ensure uniformity in the track geometry.","Railway transition zones; Track degradation; Finite element model; Energy analysis; Design criterion; Strain energy","en","journal article","","","","","","","","","","Engineering Structures","Dynamics of Structures","","",""
"uuid:0ab8d7f0-fa49-45fc-95a6-8c6a5d5432a4","http://resolver.tudelft.nl/uuid:0ab8d7f0-fa49-45fc-95a6-8c6a5d5432a4","Design capability when visioning for transitions: A case study of a new food system","Goss, H. (TU Delft Design Aesthetics); Tromp, N. (TU Delft Design Aesthetics); Schifferstein, Hendrik N.J. (TU Delft Design Aesthetics)","","2024","In recent years, more designers have been engaging in transitions, for which design expertise is used to develop visions of long-term desirable futures. However, little is known about how design expertise is positioned in transition visioning processes. In this case study, we follow a design agency in envisioning a future food system for a consortium working on the food transition. Based on our findings, we unpack several tensions that emerge between the transition context and design expertise. Such as the tension for designers to explore alternative futures that challenge the current system yet support stakeholders in seeing their place in the future. We conclude by reflecting on avenues for methodological development to optimally position design expertise for visioning in transitions.","visioning; transition design; design expertise; design practice; case study","en","journal article","","","","","","","","","","","Design Aesthetics","","",""
"uuid:3e45705e-6af5-42ea-8beb-adbce382ea6e","http://resolver.tudelft.nl/uuid:3e45705e-6af5-42ea-8beb-adbce382ea6e","Design Thinking Tools to Catalyse Sustainable Circular Innovation","Bocken, Nancy (Universiteit Maastricht); Baldassarre, Brian (Universiteit Maastricht; Roskilde University); Keskin, Duygu (Eindhoven University of Technology); Diehl, J.C. (TU Delft Design for Sustainability)","Lehtimäki, Hanna (editor); Aarikka-Stenroos, Leena (editor); Jokinen, Ari (editor); Jokinen, Pekka (editor)","2024","Design thinking is an innovation approach for organisations aiming to solve complex and open-ended problems, including those arising in the transition from a linear to a circular economic system. Although the importance has been recognised in business and academia, to date, insight is lacking on how design thinking can be applied within circular innovation specifically. We investigate the following research question: How can design thinking tools catalyse sustainable circular innovation? Based on the literature, we first create a framework that characterises design thinking principles, criteria and phases that could support circular innovation. The design thinking phases are ideate and design, implement and test, and evaluate and improve. The criteria include desirability, feasibility, viability and sustainability, and circularity. Finally, we identify the following principles that make design thinking suitable to tackle complex circular innovation challenges: human-centred, future-oriented, holistic, co-creative, and experimental. Consequently, against this framework, we map 11 tools that are suitable to catalyse circular innovation theory into practice through design thinking. Finally, we reflect on the future of research and practice around this subject.","","en","book chapter","Routledge - Taylor & Francis Group","","","","","The publication was funded by the University of Eastern Finland, Tampere University, LUT University, and the University of Turku.","","","","","Design for Sustainability","","",""
"uuid:5801ae5f-f603-4e16-9ec0-1bca9c0f06f1","http://resolver.tudelft.nl/uuid:5801ae5f-f603-4e16-9ec0-1bca9c0f06f1","Whitepaper: De onzekerheden bij de aanleg van een warmtenet met datathermie in transformatiegebied","Franchimon, Francesco (Amsterdam Institute for Advanced Metropolitan Solutions (AMS)); Koning, Kyra (Amsterdam Institute for Advanced Metropolitan Solutions (AMS)); van der Ham, Jonas (Amsterdam Institute for Advanced Metropolitan Solutions (AMS)); Voskuilen, Paul (Amsterdam Institute for Advanced Metropolitan Solutions (AMS)); Correljé, A. (TU Delft Economics of Technology and Innovation); Ersoy, A. (TU Delft Urban Development Management)","","2024","Voor dit onderzoek is data verzameld door middel van sleuteldocumenten, interviews en enquêtes. Alle stakeholders zijn individueel geïnterviewd in november 2022 middels een semigestructureerd interview. Hierbij zijn sleuteldocumenten gebruikt om de positie van een bepaalde stakeholdersgroep inzake warmtenetten te kennen, zoals positionpapers van brancheverenigingen of beleidsdocumenten.
Uit de interviews met de stakeholders zijn onzekerheden geïdentificeerd. Een samenvatting van de geïdentificeerde onzekerheden is ter verificatie aangeboden aan de geïnterviewde stakeholders. Vanuit alle geïdentificeerde onzekerheden heeft het onderzoeksteam een inschatting gemaakt welke onzekerheden van toepassing konden zijn voor een bepaalde stakeholdersgroep. Hierbij zijn de volgende stakeholdergroepen gedefinieerd: gemeente, warmtebedrijven, warmteproducent en projectontwikkelaars.
Vervolgens hebben stakeholders per enquête geverifieerd of de stakeholdersgroep bloot wordt gesteld aan de voorgelegde onzekerheden. Daarbij wordt er van uitgegaan dat als, bijvoorbeeld, één projectontwikkelaar aangaf dat zij aan een onzekerheid wordt blootgesteld, die onzekerheid van toepassing is op de gehele groep van projectontwikkelaars.
De primaire doelgroep voor dit whitepaper zijn gemeenten, maar ook voor andere stakeholders die betrokken zijn bij de ontwikkeling van een warmtenet zijn er zinvolle lessen te trekken.","beleid; innovatie; data","nl","report","AMS Institute","","","","","","","","","","Urban Development Management","","",""
"uuid:e0a6d2e3-6ec6-4edc-8e6a-5ae931d7dffb","http://resolver.tudelft.nl/uuid:e0a6d2e3-6ec6-4edc-8e6a-5ae931d7dffb","Computation-in-memory from application-specific to programmable designs based on memristor devices","Zahedi, M.Z. (TU Delft Computer Engineering)","Hamdioui, S. (promotor); Wong, J.S.S.M. (promotor); Delft University of Technology (degree granting institution)","2023","Computation-in-Memory (CIM) is a promising alternative to traditional computing systems where the storage is conceptually separated fromthe computing units. Instead, the CIM paradigm aims to perform the computation where the data resides, alleviating the memory bottleneck and ultimately leading to higher energy efficiency and performance. From the memory technology perspective, memristors, emerging non-volatile memory devices, demonstrate various beneficial characteristics. Although the concept of CIM, in combination with these emerging memory technologies, is in the infancy stage, it shows great potential as a future of computing systems. To further understand and quantify the potential of CIM, more development is required at each abstraction level. In this thesis, we first explore the main potentials for memristor-based computation-in-memory. Then, we study different applications from the CIM perspective to understand different behaviors and patterns of applications and use this knowledge to develop architectural solutions for CIM. Based on that, we study the realization of CIM as a generic and flexible platformat amicro-architecture level.","Computation-in-Memory; Memristor; Computer Architecture; Hardware Design; Instruction Set Architecture","en","doctoral thesis","","978-94-6366-789-0","","","","","","","","","Computer Engineering","","",""
"uuid:117ba361-fc12-4746-a108-3d554fbc5bab","http://resolver.tudelft.nl/uuid:117ba361-fc12-4746-a108-3d554fbc5bab","The Intelligent Built-Environment as Cyber-Physical System","Liu Cheng, Alexander (TU Delft Architectural Technology)","Eisemann, E. (promotor); Delft University of Technology (degree granting institution)","2023","Discussions of intelligence in the built-environment began in the late 1960s and early 1970s [1]–[7]. They belonged to a broader technical and technological discourse, engaged across a variety of domains and disciplines, to explore potential opportunities entailed by the Information Age. During this nascent period, and partly due to the novelty of the exploration as well as to the rudimentary state and forbidding costs of Information and Communication Technologies (ICTs), said discussions were principally theoretical and/or hypothetical in nature and impartial to defined fields of inquiry. Two main branches developed, one Technical—stemming from Information Sciences and Engineering fields—and another Architectural.
In the Technical branch, Ambient Intelligence (AmI) was coined in the late 90s to describe a cohesive vision of a future digital living room, a built-environment whose computing hardware and software technology imbued its dwelling space with serviceable intelligence to the benefit of its occupant(s) [8]. Also salient in this branch was Ambient Assisted Living—or Active and Assisted Living—(AAL), which framed its inquiry around the promotion of quality of life as well as the prolongation of independence with respect to Activities of Daily Living (ADLs) [9] among the elderly via technical assistance [10].
In the Architectural branch, Cedric Price’s pioneering Generator Project and corresponding programs by John and Julia Frazer [11] in the late 70s, explored notions of interaction between human and non-human agents in the built-environment. In Price’s project, architecture was conceived as a set of interchangeable sub-systems integrated into a unifying computer system, which enabled a reconfigurability sensitive to function. Price and the Frazers intended for the system to suggest its own reconfigurations, denoting non-human agency.
The promise of solutions yielded by both AmI/AAL and IA/AA is limited by the rigid and increasingly outdated assumptions in their approaches. It is not possible, as they are and as they are currently developing, to combine AmI/AAL and IA/AA to yield a unified and cohesive approach. This is because the sophistication of a system will depend on that of its mutually complementing subsystems; and two or more subsystems may not mutually complement, sustain, and/or support one another properly if their levels of development and sophistication do not correspond [12]. That is: at present, the architectural does not correspond to the technically predominant AmI/AAL, while the technical does not correspond to the architecturally predominant IA/AA. Consequently, a different design-approach is required in order to enable comprehensively and cohesively intelligent built-environments with corresponding levels of technical and architectural sophistication. What could such an approach look like?
In this thesis, an alternative approach that conceives of the intelligent built-environment as a Cyber-Physical System (CPS) is presented and demonstrated. Under this approach, ICTs and Architectural considerations in conjunction instantiate intelligence fundamentally—i.e., unlike existing AmI/AAL or IA/AA approaches, the present approach subsumes enabling technologies into the very core of the built-environment, where a solution does not exist as such without either of its informational and physical constituents deliberately conceived for each other (if not formally, at least conceptually and operationally with respect to instantiated services).
In this thesis, the general potential and promise of the presented approach is illustrated via its application to a constrained use-case—i.e., that of intelligent built-environments for elderly assistance and care (also informally referred to as smart homes or environments). Twelve proof-of-concept demonstrators (see Chapter 5), each showcasing an intelligent product and/or a service—or combinations and sets thereof—integrated into the built-environment and/or its ecosystem, are developed. Eight established parameters (see Section 3.2)—four pertaining to Indoor Environmental Quality (IEQ) and four Quality of Life (QoL)—define the purpose and inform the design of each demonstrator’s setup and development within four types of demo environments (see Chapter 4)—two Physical (Hyperbody and Robotic Building) and two Virtual (Digital Twin and Non-descript). Each demonstrator, while presented as a discrete proof-of-concept, builds on the same core System Architecture, and are intended to be viewed as a collection of systems and services expressed within a same hypothetical environment. That is to say, all come together to represent the intelligent built-environment as CPS.
All demonstrators are functionally and physically developed and involve human participation to test and to validate both the feasibility and success of the concept. Success is determined if the developed products and services indeed provide added value to a user and/or occupant of the space—i.e., if they promote and contribute to well-being by assisting, facilitating, or enhancing. Accordingly, the tangible nature of the process and results promote—albeit in a limited scope—the presented approach in very real terms, and—hopefully—situate it as an alternative to existing modes of imbuing intelligence in the built-environment.
Through operational analysis of the pilot plant, it has been determined that replacing half of the primary raw material with galvanized steel scrap as a secondary source in the HIsarna process is feasible. This substitution would result in a significant reduction in the injection of fine iron ore. Another advantage is the continuous evaporation of zinc from the scrap surface, accumulating in the off-gas dust, which can later be separated and recovered. In contrast to the blast furnace route, the zinc element does not form a circulating loop inside the reactor but is converted to the oxidized/ferrite form, ultimately ending up in the dust bag and filters.
However, plant measurements and laboratory analysis of the HIsarna dust reveal that the evaporated zinc primarily reacts with available oxygen and iron oxides to form zinc ferrite. This necessitates additional pre-processing steps before feeding into the zinc smelting unit, incurring extra costs. Consequently, the formation of ferrite is deemed undesirable.
In a nutshell, this thesis focuses on developing a precise computational fluid dynamic (CFD) model to predict the behaviour of the HIsarna off-gas system. This model is crucial for predicting temperature and composition profiles within the off-gas system, particularly in zones where data are not measured at the pilot plant. The possibility of zinc ferrite formation reduction and off-gas system is investigated using plant measurements, CFD data analysis, and thermodynamic calculations. Furthermore, the developed CFD model is utilized to propose modification/optimization of the process, reducing iron ore dust escaping the system, reducing post-combustion oxygen consumption, optimizing post-combustion lance, and off-gas system scale-up.
Chapter 1 of the thesis is dedicated to a brief history of ironmaking and introduces the HIsarna process in detail, as well as the research focus and thesis structure. Chapter 2 focuses on establishing and validating a CFD model and offers a detailed description. Chapter 3 provides an extensive discussion of the model selection and sensitivity analysis. This chapter primarily delves into critical insights regarding the reasons behind the choice of sub-models within the CFD model. Flow analysis of the off-gas system is presented in Chapter 4, and in Chapter 5, the behaviour of the escaped ore entering the off-gas system is investigated, and potential solutions to mitigate injected ore losses from the off-gas system are discussed. The modified geometry introduced in Chapter 5 is subjected to analysis using the same validated CFD model, ensuring its effective operation within the entire off-gas system. These findings are discussed in Chapter 6 of the thesis. In Chapter 7, the formation of zinc oxide and zinc ferrite are investigated in the original and modified geometry of the off-gas system, and possible solutions to reduce the ferrite formation are proposed. In Chapter 8, a modification to the oxygen lance is proposed to enhance the combustion of the CO-H2 mixture. This modification involves using a fluidic oscillator instead of injecting oxygen through a conventional nozzle. The results demonstrate an improvement in CO-H2 combustion in the reflux chamber. The proposed geometry is constructed and implemented in the reflux chamber for further evaluation and is discussed in detail.
In Chapter 9 (Part 3), the CFD model developed for the pilot plant is employed to conduct a CFD-based scale-up of the off-gas system to the industrial scale. Within this chapter, the optimized geometry and recommended operating conditions are presented. Conclusions, remarks, and recommendations are presented in the final chapter of the thesis (Chapter 10).","Computational Fluid Dynamics; Discrete Element Method; Finite Element Method; Discrete Phase Model (DPM); HIsarna Iron Making; Particle flow modelling; CFD-assisted scale up; Zinc ferrite formation; Thermodynamic analysis; Post combustion chamber; Combustion; Fluidic oscillator","en","doctoral thesis","","978-94-6384-517-5","","","","","","","","","Team Yongxiang Yang","","",""
"uuid:7d3c6107-812e-458e-bd11-04f5c1e5931a","http://resolver.tudelft.nl/uuid:7d3c6107-812e-458e-bd11-04f5c1e5931a","Driver and Pedestrian Mutual Awareness for Path Prediction in Intelligent Vehicles","Roth, M. (TU Delft Intelligent Vehicles)","Gavrila, D. (promotor); Kooij, J.F.P. (copromotor); Delft University of Technology (degree granting institution)","2023","This thesis addresses the sensor-based perception of driver and pedestrian to improve joint path prediction of ego-vehicle and pedestrian based on mutual awareness in the domain of intelligent vehicles.
According to the World Health Organization (WHO), more than half of global traffic deaths are among Vulnerable Road Users (VRUs), such as pedestrians and riders, and human error is still a major cause of accidents. This motivates paying special attention to pedestrians and drivers while they are interacting in traffic. For the foreseeable future, the reality on the road (and the accident numbers) will largely be determined by Advanced Driver-assistance Systems (ADAS) where the driver is still required to keep the eyes on the road. To that end, the scope of this thesis resides within ADAS and driving automation up to (including) autonomy level 3 as defined by the Society of Automotive Engineers (SAE). While current ADAS consider pedestrians and the driver individually, their mutual awareness has not been leveraged to improve path prediction and thereby road safety. This thesis presents a framework that estimates driver head pose from driver camera images, estimates pedestrian location and orientation from exterior camera images and lidar point clouds, uses this information over time to reason about driver and pedestrian mutual awareness, and performs joint probabilistic path prediction of ego-vehicle and pedestrian to assess collision risk.
Deep neural networks demand a large training set to tune the vast amount of parameters. This thesis introduces DD-Pose, the Daimler TU Delft Driver Head Pose Benchmark, a large-scale and diverse benchmark for image-based head pose estimation and driver analysis. It contains 330k measurements from multiple cameras acquired by an in-car setup during naturalistic drives. Large out-of-plane head rotations and occlusions are induced by complex driving scenarios. Precise head pose annotations are obtained by a motion capture sensor and a novel calibration device. The new dataset offers a broad distribution of head poses, comprising an order of magnitude more samples of rare poses than a comparable dataset.
Utilizing the dataset, this thesis presents intrApose, a novel method for continuous 6 degrees of freedom (DOF) head pose estimation from a single camera image without prior detection or landmark localization. intrApose uses camera intrinsics consistently within the deep neural network and is crop-aware and scale-aware: poses estimated from bounding boxes within the overall image are converted to a consistent pose within the camera frame. It employs a continuous, differentiable rotation representation that simplifies the overall architecture compared to existing methods. Experiments show that leveraging camera intrinsics and a continuous rotation representation (SVDO+) results in improved pose estimation compared to intrinsics agnostic variants and variants with discontinuous rotation representations. Driver head pose of naturalistic driving is biased towards close-to-frontal orientations. Training with an unbiased data distribution, i.e., a more uniform distribution of head poses, further reduces rotation error, specifically for extreme orientations and occlusions.
In addition to considering the inside of the vehicle, this thesis also focuses on the outside environment and presents a method for 3D person detection from a pair of camera image and lidar point cloud in automotive scenes. The method comprises a deep neural network that estimates the 3D location, spatial extent, and yaw orientation of persons present in the scene. 3D anchor proposals are refined in two stages: a region proposal network and a subsequent detection network. For both input modalities high-level feature representations are learned from raw sensor data instead of being manually designed. To that end, the method uses Voxel Feature Encoders to obtain point cloud features instead of widely used projection-based point cloud representations. Experiments are conducted on the KITTI 3D object detection benchmark, a commonly used dataset in the automotive domain.
Eventually, the output provided by the methods of the former chapters, namely, driver head pose and 3D person locations, are leveraged by a novel method for vehicle-pedestrian path prediction that takes into account the awareness of the driver and the pedestrian of each other’s presence. The method jointly models the paths of ego-vehicle and a pedestrian within a single Dynamic Bayesian Network (DBN). In this DBN, subgraphs model the environment and entity-specific context cues of the vehicle and pedestrian (incl. awareness), which affect their future motion. These sub-graphs share a latent state which models whether the vehicle and pedestrian are on collision course. The method is validated with real-world data obtained by on-board vehicle sensing, spanning various awareness conditions and dynamic characteristics of the participants. Results show that at a prediction horizon of 1.5 s, context-aware models outperform context-agnostic models in path prediction for scenarios with a dynamics change while performing similarly otherwise. Results further indicate that driver attention-aware models improve collision risk estimation compared to driver-agnostic models. This illustrates that driver contextual cues can support a more anticipatory collision warning and vehicle control strategy.
The main conclusions and findings of this thesis are: using a measurement device with a per-subject calibration procedure simplifies the data acquisition process to obtain a broad distribution of head poses. Using an intrinsics-aware head pose estimation method with a continuous rotation representations allows for a simple architecture that yields robust head pose estimates across a broad spectrum of head poses. Modeling of both driver and pedestrian mutual awareness in a unified DBN improves joint probabilistic path prediction compared to driver-agnostic models. Additionally, it provides explainability for model parameters and interpretability of the internal decision making process. Further research can be conducted to understand the behavior of humans inside and outside an intelligent vehicle. Two major trends go towards integrating uncertainties into the components and combining them to a system that can be trained end-to-end from raw sensor data to predicted paths. Future work would greatly benefit from representative, worldwide, naturalistic, multi-sensor, temporal data which cover the outside environment as well as the inside of the vehicle - ideally shared across research institutions and companies.","Head pose estimation; Head pose dataset; Person detection; Ego-vehicle path prediction; Pedestrian path prediction; Intelligent vehicles; Automated driving","en","doctoral thesis","","978-94-6384-502-1","","","","","","2023-12-20","","","Intelligent Vehicles","","",""
"uuid:a68190dd-6e9c-426b-bbcb-4ea1f5910c82","http://resolver.tudelft.nl/uuid:a68190dd-6e9c-426b-bbcb-4ea1f5910c82","Exploring the use of Extended Reality for user experience design in product-service systems","Li, M. (TU Delft Applied Ergonomics and Design)","van Eijk, D.J. (promotor); Albayrak, A. (copromotor); Delft University of Technology (degree granting institution)","2023","This dissertation aims to explore the use of extended reality (XR) as an approach to developing user experience (UX) for product-service systems. It included eight chapters to explore the research question: “How can designers use extended reality to develop the user experience for product-service systems?”
Chapter 1 introduces three immersive experiences in user experience studies as examples and explains three relevant research topics - Product-service systems, User Experience, and Extended Reality. By reviewing the XR applications in both in design practices and in literature, the author proposed e the aim, the research question, and six sub-questions of this dissertation, followed by the explanation on theoretical backgrounds and research methodologies.
Chapter 2 answers sub-question 1 about the essence of immersive experience from users’ and designers’ viewpoints, thus proposes a user-centered model of immersive experience from literature and case analysis; then the author maps currently available XR platforms concerning the categories of experiences.
Chapter 3 firstly answers the sub-question 2 by reviewing state-of-the-art XR technologies for UX studies; then the author proposes a process to prototype experiences via XR to develop positive experiences for product-service systems.
Chapter 4 investigates three case studies to understand how to ideate concepts via
XR at the early design stage, specifically in conceptualization. In addition, the studies also compare the influence of different viewpoints and ways of interaction on the perception of “being comfortable”.
Chapter 5 examines how to assess experiences via XR across user groups and
concentrates on competence-related experiences. This chapter contains three case studies in the context of true-to-life surgical training where a successful surgery depends both on proficient psycho-motor skills and mature self-management of surgeons. In addition, these studies also observe the influences of proficiency, cultural backgrounds, and technology familiarity on the perception of competencies.
Chapter 6 scrutinizes how to facilitate remote collaboration via XR. This chapter
covers two studies in the context of remote teamwork. Given relatedness as a universal need, these studies focus on the influences of different interfaces, either immersive or non-immersive, on the perception of the co-location, as well as task loads, usability, and presence.
Chapter 7 first reviews the lessons learned from the case studies and then probes how design teams integrate immersive experiences into their practices. Hence, four co-creation studies were developed which are in line with the conceptual process in Chapter 3. Section 7.2 to Section 7.5 focus on designer's intention, designerly thinking, prototyping, and co-design via XR respectively.
Chapter 8 reflects on each sub-question from an overarching perspective, and then summarizes three sets of recommendations for design stakeholders who are interested in integrating immersive experiences in their work. This chapter then envisions a concept of a co-design community via immersion - ‘Design Metaverse’. At the end, the limitations of this work are discussed, as well as future research directions.","extended reality (XR); user experience design; Product-Service Systems (PSS)","en","doctoral thesis","","978-94-93353-48-0","","","","","","","","","Applied Ergonomics and Design","","",""
"uuid:7b9881a9-8dc7-43f5-b0c5-2700b07c0b09","http://resolver.tudelft.nl/uuid:7b9881a9-8dc7-43f5-b0c5-2700b07c0b09","The Impact of Public Transport Disruptors on Travel Behaviour","Geržinič, N. (TU Delft Transport and Planning)","Hoogendoorn, S.P. (promotor); Cats, O. (promotor); van Oort, N. (promotor); Delft University of Technology (degree granting institution)","2023","Public transport systems have been and continue to be shaped by disruptive forces, impacting individuals’ travel behaviour and how they interact with public transport. This thesis analyses the impact of disruptors on travel behaviour, the perception and use of public transport, enabling operators and policymakers to design appropriate measures and policies in order to improve the quality of service, the sustainability of transport and the liveability of our environment.","","en","doctoral thesis","","978-90-5584-339-8","","","","","","","","","Transport and Planning","","",""
"uuid:19232f14-6765-417e-8bb6-198f91a4a8a6","http://resolver.tudelft.nl/uuid:19232f14-6765-417e-8bb6-198f91a4a8a6","Using Biomass-derived Carbon Catalysts for Electrochemical CO2 Reduction","Fu, S. (TU Delft Large Scale Energy Storage)","de Jong, W. (promotor); Kortlever, R. (copromotor); Delft University of Technology (degree granting institution)","2023","This dissertation explores the integration of clean energy and electrochemical CO2 reduction to address environmental issues. Metal-free nitrogen-doped carbon materials, derived from renewable biomass, emerge as efficient catalysts for CO2 reduction, offering sustainability and cost-effectiveness. Chapters delve into methods of N-doped biochar production, activation strategies, structure-performance relationships, catalyst performance in the presence of impurities, and the use of N-doped biochar as a carbon support for Ni-N-C catalyst synthesis. Results highlight the importance of physicochemical properties in enhancing CO2 reduction performance. The catalysts demonstrate resilience to SO2 impurities, outperforming benchmark electrodes, and showcase promise for sustainable CO2 reduction.","Electrochemical CO2 Reduction; Electrocatalyst; N-doped carbon; Biomass","en","doctoral thesis","","978-94-6384-515-1","","","","","","","","","Large Scale Energy Storage","","",""
"uuid:db745835-aead-40c0-9b54-0ed2f2c6e7cc","http://resolver.tudelft.nl/uuid:db745835-aead-40c0-9b54-0ed2f2c6e7cc","Target-oriented seismic imaging and inversion with marchenko redatuming and double-focusing","Shoja, Aydin (TU Delft Applied Geophysics and Petrophysics)","Wapenaar, C.P.A. (promotor); Slob, E.C. (promotor); Delft University of Technology (degree granting institution)","2023","Reflection seismology aims to estimate the Earth's subsurface elastic parameters for further investigation by geologists and engineers. This involves generating elastic waves using seismic sources and recording the Earth's response with receivers. The subsurface model is typically considered a combination of a background model and a short-wavelength reflectivity model. There are two main paths to estimate these parameters: non-linear waveform inversion to directly compute the elastic parameters or depth migration to estimate a structural image or reflectivity of the subsurface.
Reverse-Time Migration (RTM) is a common depth migration technique that migrates recorded wavefields from the space-time domain to the space-depth domain. It utilizes the Born approximation and the adjoint of the Born operator to produce an RTM image. However, RTM can suffer from errors, such as noise, temporal and spatial limitations, and multiple reflections.
Least-Squares Reverse-Time Migration (LSRTM) is used to overcome some of these errors. LSRTM involves resolving the reflectivity model by least-squares inversion, which is computationally expensive. Gradient-based optimization algorithms are often employed to reduce the computational burden, but they still require solving the wave equation and its adjoint for a large model in multiple iterations. One way to reduce the computational cost is by limiting the computational domain to a target region of interest.
Target-oriented LSRTM, known as TOLSRTM, focuses on the wavefield just above the target by bypassing the overburden. This approach proves beneficial when the overburden generates strong internal multiple reflections that obscure the reflections from the target area. However, a redatuming method is required to predict all orders of multiples. Marchenko redatuming is a data-driven technique that predicts the Green's functions at the boundary of the target region, incorporating all orders of internal multiples. It allows for double-sided redatuming, considering both the source and receiver perspectives. By combining the LSRTM algorithm and Marchenko double-focusing, a target-oriented LSRTM algorithm is devised that can predict interactions between the target and overburden and remove the effects of the overburden in the image. Predicting these interactions results in an artifact-free image, a better convergence rate, and a high-resolution image of the target.
Target-oriented migration algorithms typically consider only the upper horizontal boundary of the region of interest (ROI), neglecting wavefields entering the ROI from the medium beneath the lower boundary. To address this, a target-enclosed LSRTM algorithm is proposed, including both the ROI's upper and lower boundaries. Including the lower boundary provides transmission information and can improve inversion convergence. In addition, this algorithm is adopted for virtual receivers created by Marchenko redatuming. In the case of physical receivers at the boundaries of the target zone, the target-enclosed algorithm can incorporate the transmission information emanating from the lower boundary to the upper one. Consequently, when the initial model is far from the actual model, the resulting image partly recovers the long wavelength part of the model in agreement with the Born approximation criteria. Moreover, when an initial model closer to the actual model is used, the algorithm can partially recover the vertical interfaces of the perturbation. In the case of virtual receivers at the boundaries of the target zone, since the Marchenko redatuming is performed in the initial background model, the redatumed wavefields at the lower boundary suffer from kinematic errors. Therefore, the algorithm can not recover the long wavelength part of the model.
The thesis concludes with a discussion of the results obtained from applying the algorithms to marine datasets. The images resulting from the Marchenko double-focusing based target-oriented LSRTM algorithm show improvements in both resolution and artifact reduction by suppressing the overburden generated internal multiple effects. Moreover, the double-focusing enables the user to reduce the computational costs of the LSRTM algorithm and choose finer spatial sampling for the image.
An appendix proposes a formulation for integrating the target-oriented algorithms with non-linear inversion like Full Waveform Inversion (FWI). The results of this proposed algorithm show its effectiveness by reducing the internal multiple related artifacts and increasing resolution and faster convergence.","Marchenko method; Redatuming; Target-oriented; Least-squares migration; Seismic imaging","en","doctoral thesis","","978-94-6366-785-2","","","","Dr. ir. J.R. van der Neut of Delft University of Technology has contributed greatly to the preparation of this dissertation.","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:be41d02b-a120-4191-96c6-1fb06e88e7c2","http://resolver.tudelft.nl/uuid:be41d02b-a120-4191-96c6-1fb06e88e7c2","Towards a circular building industry through digitalisation: Exploring how digital technologies can help narrow, slow, close, and regenerate the loops in social housing practice","Çetin, Sultan (TU Delft Real Estate Management)","Gruis, V.H. (promotor); Straub, A. (promotor); Delft University of Technology (degree granting institution)","2023","The concept of Circular Economy (CE) has emerged as a promising alternative to the current linear economy, decoupling economic activity from the depletion of natural resources and promoting a restorative and regenerative system. The transition of the building industry to a circular one can be achieved through four core resource principles: Narrow (minimising the use of primary resources), slow (extending the lifetime of buildings and products), close (regaining post-use and construction waste through reuse or recycling), and regenerate (minimising toxic substances and maximising the use of renewable resources). These principles provide a framework for exploring the role of digitalisation in the transition of social housing organisations (SHOs) toward circular housing practices, with a focus on European SHOs, particularly those in the Netherlands. This thesis follows a structured format comprising six chapters, with four of them encapsulating the author’s published articles. Chapter 1 serves as the introduction, providing a contextual foundation for the research. It outlines the overarching theme of the thesis, which revolves around the intersection of CE, digitalisation, and the built environment, with a specific focus on SHOs. The chapter sets the stage by identifying the gaps in existing literature, emphasising the need for a comprehensive conceptualisation of this emerging research field. It further delves into essential methodological aspects, the problem statement, and the broader significance of the research. In Chapter 2, the research delves into an exploration of the current state of CE implementation in Dutch SHOs and provides insights into the pressing barriers, and potential enablers. A Delphi study conducted with 21 social housing professionals reveals that, as of 2020, SHOs were in an experimental phase, incorporating circular construction techniques in pilot projects. Barriers encompass organisational priorities, operating within a linear system, and a lack of awareness. Also, financial challenges related to the costs of circular materials also emerge as significant hurdles. Chapter 3 develops a framework, the Circular Digital Built Environment Framework, in an exploratory qualitative research approach. This conceptual model integrates CE principles with digital technologies to provide an understanding of their potential applications within the built environment. The framework is constructed through expert workshops, literature reviews, and evaluations of current research and practices, resulting in the identification of over ten key digital technologies. These technologies encompass a broad spectrum, including big data analytics, blockchain technology, and material passports. The framework not only informs subsequent empirical studies but also serves as a valuable guide for scholars and industry practitioners navigating the intersection of digitalisation and circularity in the building industry. Chapter 4 presents an analysis of how enabling digital technologies, identified in Chapter 3, are practically employed in real-life practices, specifically within circular new build, renovation, maintenance, and demolition projects of forerunner Dutch SHOs. Employing a multiple-case study approach, the chapter gathers empirical evidence from three large-scale SHOs through semi-structured interviews, desk research, and extensive data analysis. The within-case and cross-case analyses reveal insights into the types of digital technologies being deployed, their impact on circular practices, and the challenges encountered in their adoption. By examining the real-world examples, Chapter 4 contributes to the evolving domain of digitalisation for a circular building industry. Chapter 5 addresses the challenges associated with data (identified in Chapter 4), with a specific focus on material passports as a crucial tool for circularity in existing housing stock. Employing a multiphase mixed-method research design, the chapter utilises the SCOPIS method (Supply Chain-Oriented Process to Identify Stakeholders) for user and data mapping. This approach results in a data template outlining the requirements of users for material passports. Subsequently, the study tests this template through a case study, identifying critical data gaps and proposing a material passports framework to address these gaps. By leveraging both digital technologies and human expertise, Chapter 5 offers solutions to enhance data management in the pursuit of circularity within the building industry. The findings contribute to ongoing industry and policy initiatives. Chapter 6, the concluding chapter, consolidates the exploration conducted throughout the thesis. It presents the overarching contributions of the research, offering a summary of the scientific and practice contributions and recommendations derived from the entire study.","cicular economy; building; digitalisation; material passports; circular buildings; social housing","en","doctoral thesis","A+BE | Architecture and the Built Environment","978-94-6366-786-9","","","","","","","","","Real Estate Management","","",""
"uuid:9d3d7180-d021-4067-b14c-05bec9bf5756","http://resolver.tudelft.nl/uuid:9d3d7180-d021-4067-b14c-05bec9bf5756","Deformation Prediction and Autonomous Path Planning for Robot-Assisted Endovascular Interventions","Li, Z. (TU Delft Medical Instruments & Bio-Inspired Technology)","Dankelman, J. (promotor); De Momi, Elena (promotor); Delft University of Technology (degree granting institution)","2023","Endovascular interventions, as emerging medical therapies, utilize blood vessels as conduits to access anatomically challenging regions deep within the body. Within endovascular interventions, one of the prominent challenges involves maneuvering the instrument tip by coordinating insertion, retraction, and torque actions at the proximal end of the instrument. This intricate task is hindered by the presence of a complex mapping between input actions and resulting motion, rendering precise control and accurate targeting of the desired area difficult. Thanks to the introduction of robotic assistance and the steerability of robotic catheters, the complexity of endovascular interventions has been mitigated.
The integration of steerable catheters and navigation guidance has the potential to reduce the level of expertise required for endovascular interventions. By leveraging autonomous navigation, path-related complications, such as perforation, embolization, and dissection, arising from excessive interaction forces between interventional tools and the vessels, can be effectively addressed and potentially reduced. Within the context of robotic catheters navigating through narrow, delicate, and deformable vessels, path planning presents significant challenges, particularly under complex operating conditions, stringent safety constraints, and the inherent limitations on catheter steering capability. Furthermore, the intricate interplay between the steerable catheter and vessel walls, coupled with the deformable nature of the vessels, intensifies the complexity of achieving reliable and real-time path planning, rendering it a hard problem to solve.
This dissertation aims to develop a safe, accurate, and efficient path planner for steerable robotic catheters. Firstly, this dissertation provides a systematic literature analysis of path planning techniques, collating the findings from the most significant research contributions in the field employing the PRISMA method. In the first part of this dissertation, a novel path planning approach named BFS-GA is proposed, which effectively adheres to the robot curvature constraint while keeping the catheter's path as close to the vasculature's centerline as possible. This path planner is capable of swiftly calculating obstacle-free trajectories that conform to the patient's vasculature, while incorporating the inherent limitations of the catheter such as maximum curvature.
A major challenge during autonomous navigation in endovascular interventions is the complexity of operating in a deformable but constrained workspace with an instrument. To address this, two methods are proposed in the second part of this dissertation to provide a realistic and dynamic environment for path planning. Specifically, a realistic, auto-adaptive, and visually plausible simulator is developed. This simulator has the capability to accurately predict the interplay between catheters and vessel walls. Additionally, it accounts for the deformable nature of the vessels induced by the cyclic heartbeat motion. In addition, a novel deformable model-to-image registration framework is designed to reconstruct comprehensive intra-operative vessel structures from medical imaging data, while accurately accounting for deformations.
Given the dynamic vascular environments generated as above, a robust path planner named C-GAIL for steerable catheters is proposed in the third part of this dissertation. This path planner ensures higher precision and robustness by accounting for both the deformable properties of vessels and the catheter's steering capabilities. The in-vitro experiments demonstrate that the path generated by the proposed C-GAIL path planner aligns better with the actual steering capability of robotic catheters. Thereafter, the dissertation presents an in-depth exploration of path planning assistance utilizing various interactive modalities based on augmented reality. Three interactive control modalities for steering robotic catheters are introduced, and their impact on human-in-the-loop robot-assisted cardiac catheterization is investigated. The path guidance is facilitated by the previously discussed C-GAIL path planning method. A user study is conducted, which demonstrates the feasibility of harnessing the capabilities of a gaming joystick for catheter teleoperation and the practicality of utilizing a head-mounted display to receive 3D visual feedback.","Path planning; Medical robotics; Augmented reality; Simulator development","en","doctoral thesis","","978-94-6384-520-5","","","","","","2024-11-30","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:d848c617-2eae-491d-aae1-d524495e9e65","http://resolver.tudelft.nl/uuid:d848c617-2eae-491d-aae1-d524495e9e65","Hydrogenated nanocrystalline silicon-based layers for silicon heterojunction and perovskite/c-Si tandem solar cells","Zhao, Y. (TU Delft Photovoltaic Materials and Devices)","Weeber, A.W. (promotor); Isabella, O. (promotor); Zeman, M. (promotor); Delft University of Technology (degree granting institution)","2023","Large-scale deployment of photovoltaic (PV) technology is imperative for realizing a future sustainable and electrified energy system. Over the past decades, technological advancements that enhance the efficiency of PV technologies have been one of the crucial aspects for significantly reducing the cost of PV-generated electricity. Among various crystalline silicon (c-Si) PV technologies, silicon heterojunction (SHJ) solar cells, which have achieved the highest efficiency of single-junction c-Si solar cells, hold great promise for advancing the energy transition facilitated by PV technologies even further. Moreover, notable efficiency enhancements, which are well beyond the theoretical efficiency limit of single-junction c-Si solar cells, have been experimentally demonstrated by combining SHJ solar cells with semi-transparent perovskite solar cells in tandem configurations. This thesis focuses on addressing the challenges of efficient deployments of doped hydrogenated nanocrystalline silicon-based (nc-Si:H-based) layers for high-efficiency front/back-contacted (FBC) SHJ solar cells and applications of FBC-SHJ bottom-cells in two-terminal (2T) and four-terminal (4T) tandem devices with perovskite top-cells, supported by advanced opto-electrical simulations.","","en","doctoral thesis","","978-94-6473-313-6","","","","","","","","","Photovoltaic Materials and Devices","","",""
"uuid:a482f922-4c23-4b0e-bdbf-594b0c2b6142","http://resolver.tudelft.nl/uuid:a482f922-4c23-4b0e-bdbf-594b0c2b6142","Characterization and Modeling of Time-Varying Networks","Ceria, A. (TU Delft Multimedia Computing)","Hanjalic, A. (promotor); Wang, H. (copromotor); Delft University of Technology (degree granting institution)","2023","The interconnected nature of our daily lives, both virtually and physically, highlights the importance of understanding temporal networks in the context of epidemic and information spread. This dissertation aims to address this challenge by proposing characterization methods for temporal networks. In Chapter 2, the analysis reveals that close temporal contacts are generally close in topology, with virtual contacts showing a stronger correlation, suggesting the potential for social contagion. However, a limitation is acknowledged, as the methodologies assume interactions only occur between pairs of nodes.
Chapter 3 extends the focus to characterize temporal higher-order networks involving groups of nodes larger than pairs. Findings demonstrate differences between collaboration and physical interaction networks, with physical contacts exhibiting strong correlation between topological distance and temporal delay. In contrast, collaboration networks show weak or absent correlation.
Considering temporal networks as spreading processes, Chapter 4 introduces a methodology to identify underlying spreading processes among nodes, specifically exploring the congestion contagion of airports in the U.S. air transportation network. The proposed heterogeneous Susceptible-Infected-Susceptible (SIS) spreading process effectively reproduces nodal vulnerability and outperforms a homogeneous model.
The dissertation concludes with reflections on the insights gained and suggests future research directions in the field of temporal network characterization.","Temporal Networks; Higher-Order Networks; Network Models; Network CharacterizationMethods","en","doctoral thesis","","978-94-6469-703-2","","","","","","","","","Multimedia Computing","","",""
"uuid:72116acd-c5aa-4b3b-8fc7-52f1b2fa9958","http://resolver.tudelft.nl/uuid:72116acd-c5aa-4b3b-8fc7-52f1b2fa9958","Towards data-driven turbulence modeling for wind turbine wakes","Steiner, J. (TU Delft Wind Energy)","Viré, A.C. (promotor); Watson, S.J. (promotor); Dwight, R.P. (copromotor); Delft University of Technology (degree granting institution)","2023","The Dutch energy strategy expects renewable energy sources like wind and solar to provide around 70% of the yearly electricity by 2030. In order to achieve these targets, models that efficiently and accurately capture the flow around wind turbines would be immensely helpful for both planning and operation of wind farms.
For wind turbine wake interaction, computationally cheap and simple engineering models fail to capture the more complex flow physics, whereas LES based models do very well but are computationally too expensive. An alternative is to use Reynolds-Averaged Navier-Stokes (RANS) solvers which lie somewhere between LES and engineering models. However, these models have structural shortcomings for many applications and development of better models has stalled in the past decades.
More recently, data-driven techniques have been used to try and derive better, application-specific models. In this work, a combined methodology between a baseline RANS model and a data-driven correction is presented. The resulting models give significantly better predictions than the baseline model for both velocity and turbulent kinetic energy. Similar to traditional Nonlinear Eddy Viscosity Models, the models initially showed numerical instability, but a pragmatic solution was found for this.
The novelty of the results presented in this thesis lie in the application of the methodology to higher Reynolds numbers and 3D test cases. However, there still remains much to be done before data-driven models can be useful in industrial practice. This would require larger datasets and more efficient algorithms for both training and testing of the data-driven corrections to the baseline turbulence model.
Four crucial hydraulic quantities are involved in the core-annular flow study, namely: pressure drop, hold up ratio, watercut, and total flow rate. The pressure drop can be non-dimensioned to a Fanning friction factor. From the Fanning friction factor, the lubrication strength of CAF is shown, since the value of the Fanning friction factor is comparable to water only pipe flow under the same mixturebased Reynolds number. The holdup ratio indicates the apparent slip effect between the oil and water; its value is between 1 and 2, because water somewhat accumulates in the core-annular flow. In both the numerical simulations and lab experiment, two parameters are set as input and two parameters appear as output. Understanding the correlation between the four parameters can help to properly design the pipe flow system. The study of the correlation between these four parameters will be presented in Chapter 3 and in the Appendix.
The effect of gravity on the CAF depends on the inclination of the pipe. For horizontal pipe flow, gravity acts perpendicular to the pipe wall and introduces a buoyancy force on the oil core. Our simulation starts with concentric oil-water CAF with a flat interface. This flow configuration is unstable for a horizontal pipe and will finally develop into an eccentric oil core with a wavy interface. The waves create a downward force to balance the buoyancy force. Due to the movement of the oil core, a secondary flow will appear in the water layer. From our simulation results, we found how the inertia effect redistributes the pressure on the interface, creating a net downward pressure force that balances the buoyancy force, and prevents the oil core to touch the upper pipe wall. This part will be illustrated in Chapters 2 and 3. For the vertical pipe, gravity acts in the streamwise direction. Detailed DNS simulations were presented by Kim & Choi (2018). In Chapter 5, we repeat the work of Kim & Choi by using RANS, and find a rather good agreement for the Fanning friction factor and holdup ratio between RANS and DNS. Different is that the waves in the RANS simulations are more regular and that RANS predicts higher turbulence than DNS...
Within different research methods, the Discrete Element Method (DEM) is utilized to analyse behaviour of granular materials on particle level, making it suitable for railway ballast-related research. A DEM model allows for the description of interaction between particle on a mesoscopic level, while presenting the overall performance of the assembly on a macroscopic level. However, the large number of elements in a model along with the complex algorithm lead to high computational efforts, resulting in low efficiency of the DEM models. This problem limits the number of elements acceptable in a model, which means that only a limited amount of materials in a limited scale is possible to be generated and analysed. Considering the calculation time, the accepted number of elements in a mode depends on various simulated particle sizes (e.g., soil, sub-ballast, ballast) and simulated model sizes (e.g., box model, full-scale model). Additionally, it also affects the simulated loading condition, e.g. static loading or cyclic loading....","","en","doctoral thesis","","","","","","","","","","","Railway Engineering","","",""
"uuid:f910c01b-6a03-42ba-b967-6a0e4dc3480f","http://resolver.tudelft.nl/uuid:f910c01b-6a03-42ba-b967-6a0e4dc3480f","Safety Risk Assessment of Unmanned Aircraft System Operations for Urban Air Mobility","Jiang, C. (TU Delft Air Transport & Operations)","Blom, H.A.P. (promotor); Sharpanskykh, Alexei (copromotor); Delft University of Technology (degree granting institution)","2023","Technology developments has enabled Unmanned Aircraft System (UAS) to be adopted for various applications, including Urban Air Mobility (UAM) – an air transportation system for passengers and cargo in and around urban environments. The operations of UAS in urban environment inevitably raises concerns about the safety impact of UAS.
The operational characteristic of UAS is largely different from the conventional commercial aviation. which brings novel safety issues for which the safety learning process has just started. To address these novel safety issues of UAS operations, it is essential to systematically study them within a formal setting of safety risk assessment.
Safety risk assessment involves a process that comprises risk indicators, risk analysis and risk evaluation. In recent years, regulators and researcher have dedicated significant efforts to developing risk assessment for UAS operations. These approaches are largely adopted from safety risk assessment of commercial aviation. However, it is essential to recognize that UAS operations have large differences with commercial aviation. Therefore there remains shortcomings and improvements to be made to the risk assessment of UAS operations.
This thesis addresses the further development of risk assessment methods for UAS operations for Urban Air Mobility (UAM). The main risk posed by UAM is third party risk (TPR) posed to people on the ground. Therefore, the focus of this thesis is on improving risk assessment methods for ground TPR.
The first study focuses on the TPR indicators for UAS operations. Based on these TPR indicators of commercial aviation, novel TPR indicators and nine separate third party fatality terms are identified. Subsequently, current UAS regulations are evaluated regarding their coverage of these nine third party fatality terms. By doing so, the research provides a more comprehensive understanding of the overall third party risk posed by UAS operations.
The second study aims to develop a safety risk assessment method for the novel ground TPR indicators proposed in the first study. To achieve this, a Monte Carlo simulation based risk assessment approach is proposed and applied to a hypothetical UAS urban parcel delivery case. The results show that the proposed annual ground TPR model and indicators provide an accumulated understanding of the risk posed to people on the ground. The non-negligible level of uncertainty in the models adopted highlights the need for further development of more accurate sub models for UAS ground TPR assessment.
The third study aims to improve the accuracy of the common ground TPR model, where a key limitation lays in the assumption that the product of impact PoF and size of impact area are independent of each other. To address this, an improved characterization is developed and evaluated using dynamical simulation of MBS model of a UAS impacting a human body. The comparison of the novel approach to existing approaches shows significant advantages of the novel developed approach.
The fourth study applies the novel approach developed in the third study to an urban parcel delivery UAS, weighting 15kg, equipped with airbag and parachute. A key motivation is that existing models do not address the risk mitigating effects of equipping a UAS with a combination of airbag and parachute. For the UAS equipped with an airbag Multi Body System (MBS) and Finite Element (FE) models are developed. Subsequently, these models are used to assess ground TPR for different cases with and without airbag and parachute. This analysis show that the method developed in the third study is able to quantify the risk reducing effects of the combination parachute and airbag.
The four interrelated series of studies have developed novel insights and methods in Third Party Risk assessment of UAS operations. These novel insights and methods can provide enhanced safety feedback to a UAS design process, and can stimulate further development of UAS regulation.
This dissertation focuses on identifying and resolving three key challenges related to scheduling in modular production. The first challenge revolves around the definition and utilization of modules. Factors such as resource requirements, project sequencing influenced by module size, and project-specific variations in module usage are crucial considerations. The second challenge pertains to inventory management, where reduced production time increases the impact of long lead times, and standardized components spread inventory costs across multiple projects. The third challenge involves stochastic scheduling, leveraging the structural similarities among products in a modular production system to optimize schedules for future projects.
To address these challenges, the dissertation explores the Resource Constrained Project Scheduling Problem with a flexible Project Structure (RCPSP-PS). It introduces a Mixed Integer Linear Programming (MILP) model and a solution method, demonstrating its superiority over existing methods. Given the NP-hardness of the problem, heuristic methods, including group graphs, hybrid differential evolution, and ant colony optimization algorithms, are proposed to quickly find feasible solutions.
The scope expands to the production of a product family through the Resource Constrained Project Scheduling Problem with Modular construction and new Project arrivals (RCPSPMP). This extended problem incorporates stochastic project arrivals and inventory allocation, modeling the pre-assembly of modules. A Progressive Hedging (PH) algorithm is introduced to consider future project arrivals, ultimately aiming to create a profitable product family rather than individual products.
Finally, stochastic project arrivals are considered for the standard Resource Constrained Project Scheduling Problem (RCPSP). Simulation optimization is initially employed, but a data-assisted method using neural networks is introduced to significantly reduce computational costs while maintaining solution quality.
In conclusion, this dissertation presents comprehensive methods for scheduling in modular shipbuilding, addressing challenges related to flexible project structures, nonrenewable resources, resource allocation, and stochastic project arrivals. The versatility of these methods extends their applicability beyond shipbuilding to various industries.","Modular shipbuilding; Project scheduling; Resource constrained project scheduling problem; optimization","en","doctoral thesis","","978-94-6473-319-8","","","","","","","","","Ship Design, Production and Operations","","",""
"uuid:372c1678-f3b2-4e39-8aef-3c05bf954d76","http://resolver.tudelft.nl/uuid:372c1678-f3b2-4e39-8aef-3c05bf954d76","Structural health monitoring of the additively manufactured structures with embedded fiber optic sensors","Xiao, Y. (TU Delft Structural Integrity & Composites)","Benedictus, R. (promotor); Rans, C.D. (copromotor); Delft University of Technology (degree granting institution)","2023","Additively manufacturing can bring opportunities and risk factors to the aerospace industry. On one hand, additive manufacturing allows the manufacturing of structures with geometries that are difficult or impossible to fabricatewith conventional machining procedures. This geometry flexibility may lead to components with a greater strength-to-weight ratio, which can enhance the aircraft’s fuel efficiency. On the other hand, possible defects in the additively manufactured parts can lead to reduced strength and increased fatigue susceptibility. In addition, it is very difficult to apply traditional nondestructive testing techniques to additively manufactured specimens with complex geometry due to limited accessibility.....","Structural health monitoring; fiber optic sensor; additive manufacturing; crack detection; machine learning","en","doctoral thesis","","978-94-6384-500-7","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:a15a3a3b-79c3-4cee-add4-bdf727606d06","http://resolver.tudelft.nl/uuid:a15a3a3b-79c3-4cee-add4-bdf727606d06","Advances in PIV Uncertainty Quantification: Towards a Comprehensive Framework","Adatrao, S. (TU Delft Aerodynamics)","Scarano, F. (promotor); Sciacchitano, A. (copromotor); Delft University of Technology (degree granting institution)","2023","Particle Image Velocimetry (PIV) is a leading technique that allows flow velocity measurements in two- and three- dimensional domains. PIV is a full-field, non-intrusive and quantitative technique. However, due to the complexity of the measurement chain, PIV results are often affected by errors from various sources. It is therefore necessary to identify these errors and quantify the uncertainties. The available PIV uncertainty quantification (UQ) approaches are limited in estimating systematic uncertainties in the measurements and mostly focus on the random uncertainty. In order to exploit full benefits of PIV, the knowledge of the full uncertainty comprising both random and systematic uncertainties is necessary. The present work proposes a comprehensive PIV-UQ framework which not only quantifies the systematic uncertainties but is also universal as it can potentially be used for any measurement irrespective of the measurement setup (e.g. planar PIV, tomographic PTV, large scale PIV or microscopic PTV) or the output quantity (e.g. mean velocity or higher order statistics).","","en","doctoral thesis","","978-94-6384-510-6","","","","","","","","","Aerodynamics","","",""
"uuid:1314fbd5-794a-47d7-8bc6-ed73a84d8a6d","http://resolver.tudelft.nl/uuid:1314fbd5-794a-47d7-8bc6-ed73a84d8a6d","Realizing superconducting spin qubits","Pita-Vidal, Marta (TU Delft QRD/Kouwenhoven Lab)","Kouwenhoven, Leo P. (promotor); Andersen, C.K. (promotor); Delft University of Technology (degree granting institution)","2023","Josephson junctions implemented in semiconducting nanowires proximitized by a superconductor exhibit intricate physics arising from the interplay of electron-electron interactions, superconductivity, spin-orbit coupling, and the Zeeman effect. This thesis explores these phenomena through a series of experiments conducted using circuit quantum electrodynamics techniques.
After establishing the fundamental theoretical concepts and experimental methodologies, we introduce a crucial element for probing our devices with microwaves: magnetic field-compatible resonators. We then describe various experiments conducted over the past years in which superconducting resonators and other circuits are used to explore the physics of nanowire Josephson junctions.
In an initial experiment, we develop a magnetic-field-resilient fluxoniumcircuit that incorporates an InAs semiconducting nanowire at its core. We show that the device’s spectrum is highly dependent on both the electrostatic gate voltage and the magnetic field strength, allowing us to detect signatures of non-conventional phenomena in semiconducting Josephson junctions.
The bulk of this thesis revolves around a second set of experiments, where a quantum dot is electrostatically defined within the nanowire Josephson junction. This time, we use a transmon circuit to investigate singlet-doublet ground state transitions and their dynamics. The two spinful doublet states of the junction define a novel type of qubit with intriguing properties: a superconducting (or Andreev) spin qubit (ASQ). Thus, we then shift our focus to the doublet states and explore their magnetic field dependence with transmon spectroscopy. Subsequently,we turn to directly investigating the spin-flip transition and the coherence properties of the two spin states. We find that the intrinsic coupling between the spin state and the supercurrent through the junction enables
strong coupling between the ASQ and the transmon qubit in which it is embedded.
In a final experiment, we connect two such Andreev spin qubits in parallel and investigate their supercurrent-mediated longitudinal coupling. We find that the qubits are strongly coupled and their coupling strength can be switched on and off by adjusting the magnetic flux. Notably, given that the spins are placed micrometers apart, this mechanism enables interaction between distant spins. Building on these promising characteristics, we end by introducing a proposal that outlines our vision for scaling up ASQs. The proposed architecture, where multiple ASQs are connected in parallel, enables the selective coupling of any pair of qubits in the system, regardless of their spatial separation, through flux control.
This thesis concludes by outlining potential future experiments that could be conducted with devices and techniques similar to those investigated here.","","en","doctoral thesis","","978-90-8593-584-1","","","","","","","","","QRD/Kouwenhoven Lab","","",""
"uuid:1ff19056-6af0-4b96-b278-84907c20ec77","http://resolver.tudelft.nl/uuid:1ff19056-6af0-4b96-b278-84907c20ec77","Functional tip-sample interactions in STM","Gobeil, J. (TU Delft QN/Otte Lab)","Otte, A. F. (promotor); van der Zant, H.S.J. (promotor); Delft University of Technology (degree granting institution)","2023","","Scanning tunnelling microscopy; Tip functionalisation; Image potential states; Field emission resonance; Quantum magnetism; Frustrated magnetism","en","doctoral thesis","","978-94-6366-790-6","","","","","","","","","QN/Otte Lab","","",""
"uuid:6ea4a13b-ff8e-4c4b-866d-168cdccd880a","http://resolver.tudelft.nl/uuid:6ea4a13b-ff8e-4c4b-866d-168cdccd880a","Learning Automata for Network Behaviour Analysis","Pellegrino, G. (TU Delft Cyber Security)","van den Berg, Jan (promotor); Verwer, S.E. (promotor); Delft University of Technology (degree granting institution)","2023","","Automata Inference; Intrusion Detection; Passive Learning; Behavioral Fingerprinting","en","doctoral thesis","","978-94-6469-686-8","","","","","","","","","Cyber Security","","",""
"uuid:b0405182-6bef-47c7-9f61-84d0e29c70bc","http://resolver.tudelft.nl/uuid:b0405182-6bef-47c7-9f61-84d0e29c70bc","On Unitary Positive Energy and KMS Representations of Some Infinite-Dimensional Lie Groups","Niestijl, M. (TU Delft Analysis)","van Neerven, J.M.A.M. (promotor); Janssens, B. (copromotor); Delft University of Technology (degree granting institution)","2023","In this dissertation, we study (projective) unitary representations of possibly infinite dimensional locally convex Lie groups, in the sense of Bastiani, that either satisfy a positive energy condition, or a KMS(Kubo-Martin-Schwinger) condition. Both of these are motivated by physics. The main purpose of this thesis is to gain general understanding for these classes of representations, and more specifically to develop general tools by which they can be studied in systematic fashion. These tools are consequently applied to specific cases of interest, demonstrating that these conditions are typically extremely restrictive, that the classification of these classes of representations is feasible in various cases, and that these tools can be effectively applied towards achieving such a classification....","","en","doctoral thesis","","978-94-6473-297-9","","","","","","","","","Analysis","","",""
"uuid:71b4a574-184e-4b4d-929b-11f7c1e1b2db","http://resolver.tudelft.nl/uuid:71b4a574-184e-4b4d-929b-11f7c1e1b2db","Phenolic and petrochemical wastewater treatment in AnMBR under extreme conditions: High salinity, high temperature, and high concentration of toxic compounds","Garcia Rea, V.S. (TU Delft Sanitary Engineering)","van Lier, J.B. (promotor); Spanjers, H. (promotor); Delft University of Technology (degree granting institution)","2023","Anaerobic digestion (AD) is a biochemical process in which organic matter is converted into biogas in a series of biochemical reactions. The development of high-rate anaerobic reactors (HRAR) led to the breakthrough of full-scale applications of AD for the treatment of industrial wastewater. HRARs, such as the upflow anaerobic sludge blanket (UASB) or the expanded granular sludge bed (EGSB) reactors are characterized by long solids retention times obtained by the gravitational separation of the solids from the liquid. Enhanced biomass retention is facilitated by the formation and growth of granular methanogenic biomass in EGSB and, most commonly also, in UASB reactors treating industrial wastewaters....","Anaerobic digestion; AnMBR; salinity; phenol; phenolic compounds; acetate; thermophilic; p-cresol; syntrophic acetate oxidation; resorcinol; carbon and energy sources; bitumen fume condensate; IC50; microbial community","en","doctoral thesis","","978-94-93353-44-2","","","","","","","","","Sanitary Engineering","","",""
"uuid:c31d254c-045c-4f4d-bd82-0d24ef8d48fa","http://resolver.tudelft.nl/uuid:c31d254c-045c-4f4d-bd82-0d24ef8d48fa","Digitally Intensive Frequency Synthesis and Modulation Exploiting a Time-mode Arithmetic Unit","Gao, Z. (TU Delft Electronics)","Babaie, M. (promotor); Staszewski, R.B. (promotor); Delft University of Technology (degree granting institution)","2023","Reducing power consumption is becoming increasingly important for the sustainability of the communication industry because it is expected to consume a significant portion of the global electricity in the face of the exponentially increasing demands on the volume and rate of data transmission. As the scope narrows to the individual wireless device level, the reduced power consumption helps to extend the lifetime of battery-powered devices, thereby leading to improved user experience and enabling the development of innovative applications. The quest for the lower power consumption will profoundly shape the wireless transceiver design, i.e., each critical block in the system should constantly reduce its drained power without sacrificing the performance. With this background, the thesis focuses on the phase-locked loops (PLL) that generate RF clocks for wireless transceivers, and develops low-power techniques suppressing the fractional-spur levels when the PLL generates unmodulated carrier, and the phase modulation (PM) error when the PLL additionally serves as a two-point modulator...","time-mode arithmetic unit (TAU); digital-to-time converter (DTC); phase-locked loop (PLL); fractional spur; process voltage and temperature (PVT); spur cancelation; self-interference; synchronous interference; interference mitigation; PLL-based modulator; phase modulator; two-point modulation; non-uniform clock compensation (NUCC); phase-domain digital pre-distortion (DPD); LC-tank nonlinearity","en","doctoral thesis","","978-94-6366-779-1","","","","","","2024-12-07","","","Electronics","","",""
"uuid:300b839f-78fc-4d1b-a7d6-007d080e902e","http://resolver.tudelft.nl/uuid:300b839f-78fc-4d1b-a7d6-007d080e902e","Advancing non-rigid 3D/4D human mesh registration for ultra-personalization","Tajdari, F. (TU Delft Emerging Materials; TU Delft Mechatronic Design)","Song, Y. (promotor); Huysmans, T. (copromotor); Delft University of Technology (degree granting institution)","2023","Personalized designs bring significant added value to the products and the users. However, they also pose challenges on the product design process. For instance, for products for personalized fit ,each may differ subject to each user’s body shape and preference. Presently, there exist knowledge and methods which suppor designing personalized products/services ,with sample applications in the fields of medical products, shoes, clothing industry, etc. Meanwhile, the major steps in these methods are manual or semi-automated, thus designing Ultra Personalized Products and Services(UPPS) can be a tedious and time-consuming task. Furthermore ,the design process is usually not optimized and most applications are employing ad-hoc approaches. Designers need a systematic approach to designing UPPS...","personalized design; human body shapes; 3D mesh registration; 4D scanning; computational design framework","en","doctoral thesis","","978-94-6366-792-0","","","","","","","","","Mechatronic Design","","",""
"uuid:65a92e32-3830-4c4e-a244-ad5bbcb7af89","http://resolver.tudelft.nl/uuid:65a92e32-3830-4c4e-a244-ad5bbcb7af89","Advancements in Optical Diagnostics for Experimental Aeroelasticity: Benchmarking the cylinder-foil system","Gonzalez Saiz, G. (TU Delft Aerodynamics)","Scarano, F. (promotor); Sciacchitano, A. (copromotor); Delft University of Technology (degree granting institution)","2023","Experimental aeroelasticity has been hindered by the intrusivity of measurement equipment and complexity of the experimental setups. Advancements in hardware development have been encouraging optical tracking techniques to replace cross correlation approaches in experimental aerodynamics. However, digital image correlation (DIC) is still the standard optical technique in structural diagnostics. The first experiment of the dissertation assesses the accuracy of an established tracking technique, such as Shake-the-Box, for tracking surface markers on a moving panel, comparing it to DIC. Despite being outperformed in terms of spatial resolution by DIC, surface marker tracking resulted in the same order of accuracy, making structural tracking suitable for large-scale applications. Such results imply the feasibility of characterizing experimentally aeroelastic problems by tracking, in a simultaneous manner, flow tracers and surfacemarkers....","experimental aeroelasticty; fluid-structure interaction; unsteady aerodynamics; Lagrangian particle tracking; Collar Triangle; force estimation","en","doctoral thesis","","978-94-6366-787-6","","","","","","","","","Aerodynamics","","",""
"uuid:73271cad-d4c1-4dbb-97b6-3682b2c1c9c4","http://resolver.tudelft.nl/uuid:73271cad-d4c1-4dbb-97b6-3682b2c1c9c4","Microscopic 3D plant imaging with high-resolution optical coherence tomography","de Wit, J. (TU Delft ImPhys/Computational Imaging; TU Delft ImPhys/Kalkman group)","Kalkman, J. (promotor); Stallinga, S. (promotor); Delft University of Technology (degree granting institution)","2023","","Optical coherence tomography (OCT); plant imaging; spectral estimation; functional OCT; refocusing; aberration correction","en","doctoral thesis","","978-94-6384-509-0","","","","","","2024-04-06","","","ImPhys/Computational Imaging","","",""
"uuid:c706c198-d186-4297-8b03-32c80be1c6df","http://resolver.tudelft.nl/uuid:c706c198-d186-4297-8b03-32c80be1c6df","Aero-structural Design and Optimisation of Tethered Composite Wings: Computational Methods for Initial Design of Airborne Wind Energy Systems","Candade, A.A. (TU Delft Wind Energy)","van Bussel, G.J.W. (promotor); Schmehl, R. (promotor); Delft University of Technology (degree granting institution)","2023","Airborne wind energy (AWE) is an emerging renewable energy technology that harnesses wind energy using tethered flying systems. The extra degrees of freedom allow these systems to harvest wind resources at altitudes currently unrealisable by conventional turbines. These flying devices, often resembling kites or drones, are typically divided into two classes. The first converts kinetic energy into electricity using onboard generators and transmits it to the ground via a conductive tether. The second class transfers aerodynamic forces via the tether to the ground, where the mechanical energy is converted into electrical energy using an electrical machine.As the tether’s length constrains the system, once the flying device reaches this tether length limit, some energy must be used to retract it back to its initial position. This cycle of traction and retraction is known as a pumping cycle. Therefore, AWE systems must be designed to maximise the harvesting or traction phase while minimising the retraction phase to ensure a net positive power output.
From the AWE system landscape, this thesis is based on tethered aircraft-style fixed-wing systems. Typically, such systems utilise composite structures owing to their high stiffness-toweight ratios. Designing these composite structures demands special attention due to their anisotropic nature, which results in complex load-deflection couplings. Here, a multi-disciplinary simulation framework for tethered composite aircraft wings is developed. The research focuses on methods used during the iterative phases of initial (conceptual and preliminary) design that are commonly employed in a spiral system engineering approach. The proposed framework integrates computational methods for the design of the aerodynamic A, bridle B, and structural S domains. The bridle is a system of segments of tether and pulleys that distribute the tether forces into the wing structure. The aerodynamic and structural domains are divided into 2D and 1D models, which are then integrated to determine the 3D response of the wing. A nonlinear vortex-lattice method (VLM) is utilised for the aerodynamic domain.
For the structural domain, an anisotropic 1D finite element (FE) model is developed that is coupled with a 2D FE sectional solver. In addition, methods are proposed that enable detailed topology optimisation. For tailless swept-wings, like those used by EnerKíte, the aero-structural-bridle interactions are crucial. The developed framework is used to investigate the impacts of different wing and bridle configurations to determine the sufficient level of fidelity required at the initial design phases. Typically, such aeroelastic phenomena are captured during detailed design stages wherein full 3D structural and aerodynamic simulations are employed. However, this mandates design knowledge typically unknown at the initial design stages. This motivates a multi-fidelity modelling approach to include these coupling effects while abstracting the composite ply level details during the design exploration. This is achieved by combining geometric discretisation approaches with lamination parameters. Thus, the framework aims to provide viable design options during the initial stages while considering aero-structural-bridle couplings.","AWE; Initial Design Methods; Composite Structures; Aeroelasticity","en","doctoral thesis","","978-94-6384-508-3","","","","","","","","","Wind Energy","","",""
"uuid:efee1e53-d081-4fcb-9a3c-39650de2a13e","http://resolver.tudelft.nl/uuid:efee1e53-d081-4fcb-9a3c-39650de2a13e","Precipitation extremes around the world: Unraveling historical extremes and future changes","Gründemann, Gaby J. (TU Delft Water Resources)","van de Giesen, N.C. (promotor); van der Ent, R.J. (copromotor); Delft University of Technology (degree granting institution)","2023","Improved understanding of historical precipitation extremes is important to better explain their behavior, predict future occurrences, and inform planning and engineering design. The intensity, seasonality, and timing of these extremes have far-reaching consequences, and require a comprehensive analysis of both historical trends and projected future changes. By integrating historical observations, statistical methods, and climate model projections, this research provides valuable insights into precipitation extremes on the global domain.","extreme precipitation; extreme value distribution; climate change; seasonality","en","doctoral thesis","","978-94-6473-310-5","","","","","","","","","Water Resources","","",""
"uuid:cb598569-af98-4cef-8115-9939fa5ed256","http://resolver.tudelft.nl/uuid:cb598569-af98-4cef-8115-9939fa5ed256","Towards Safe and Just Work Environments for System Administrators: A Qualitative Sociotechnical Investigation into System Administration","Kaur, M. (TU Delft Information and Communication Technology)","Janssen, M.F.W.H.A. (promotor); Fiebig, T. (copromotor); Delft University of Technology (degree granting institution)","2023","Technological systems and infrastructures form the bedrock of modern society and it is system administrators (sysadmins) who configure, maintain and operate these infrastructures. More often than not, they do so behind the scenes. The work of system administration tends to be unseen and, consequently, not well known. After all, do you think of your IT help-desk when everything is working just fine? Usually, people reach out for help when something is not working as expected or when they need something. A lot of work and effort goes into ensuring that systems are working as expected most of the time and, paradoxically, this smooth functioning results in the invisibilization of the work and effort that went into it.
This PhD research focuses on system administration work and what that entails in day-to-day tasks. Instead of proposing technical and social solutions, we try to better understand the “problem” that these proposed solutions are meant to solve. Drawing from safety science research and feminist research approaches, we perform a qualitative exploration of sysadmins’ work. We center their experiences via an in-depth interview investigation and a focus group study. We identify and describe the coordination mechanisms and gender considerations embedded in their work. We shed light on care work as part of sysadmin work and the phenomenon of double invisibility that is experienced by sysadmins who are not cis men. The thesis wraps up with a set of recommendations for moving toward safe and equitable work environments for sysadmins.
In many cases, a statistician has a belief about the true value of the parameter before even starting the experiment. The Bayesian paradigm is an attractive method of combining the new information coming from observations with this prior belief. It gives a sound mechanism, namely the posterior distribution, to update the beliefs about the truth.","Statistics; Bayesian; Inverse Problems; Posterior contraction rate; Bernstein–von Mises theorems; Distributed methods; Asymptotics; Misspecification","en","doctoral thesis","","","","","","","","","","","Statistics","","",""
"uuid:59760454-301a-43cf-ad96-005b6484a062","http://resolver.tudelft.nl/uuid:59760454-301a-43cf-ad96-005b6484a062","Spatial approaches to a circular economy: Determining locations and scales of closing material loops using geographic data","Tsui, T.P.Y. (TU Delft Environmental & Climate Design; TU Delft Design & Construction Management)","van Timmeren, A. (promotor); Peck, David (copromotor); Wandl, Alex (copromotor); Delft University of Technology (degree granting institution)","2023","Rapid urbanization and a growing world population has exerted unsustainable pressures on the environment, exacerbating climate change through unrestrained material usage and greenhouse gas (GHG) emissions. Since the turn of the century, transitioning to a circular economy (CE) has been seen by policy makers as a potential solution for resource scarcity and climate mitigation. Cities, which possess a high density of human activities, material stock, and waste production, are major contributors to emissions. This is especially true due to the concentration of construction activities in cities – the industry is responsible for 38% of CO2 emissions and 40% energy consumption globally. On the other hand, cities can also facilitate the implementation of circular strategies, thanks to increasing availability of data on space, people, and materials in cities. While the importance of cities for the circular transition is recognized in literature, earlier studies and policy documents on “circular cities” focus on urban governance strategies. Scholars have therefore called for a deeper understanding of the spatial aspects of CE since the late 2010s, engendering the recent integration of spatial disciplines, such as urban planning, regional economics, and geography, into the study of CE. Moreover, the increasing availability of spatial data, especially on the location of material stocks and flows, provides an unprecedented opportunity to develop a data-driven understanding of where, and how far, materials should travel in a CE. This research therefore asks the question, “what determines the locations and scales of closing material loops in a circular economy?” The question was answered in 5 chapters (chs. 3-7), using both quantitative and qualitative spatial analysis methods, as well as present- and future-oriented perspectives. The research scope moves from general to specific, with earlier chapters (chs. 3-6) analysing 10 material types for the whole country of the Netherlands, and later chapters (chs. 6-7) focusing on construction materials in the city of Amsterdam and its surrounding region. Two novel data sources were used throughout the research. Waste statistics from the Dutch National Waste Registry provided current locations of waste reuse; and a prediction dataset from the Dutch Environmental Assessment Agency provided locations for future supply for construction waste and future demand for construction materials. In chapter 3, a theoretical foundation for understanding locations and scales for closing material loops was constructed by identifying the drivers, barriers, and limitations of circular urban manufacturing - processes that produce goods using local secondary resources. By conducting a literature review and interviewing experts, it was found that there were several caveats to closing material loops at a local scale. Factors that determine the locations of circular urban manufacturers were identified from three perspectives: space, people, and flow. In chapter 4, the factors affecting locations of waste reuse in the Netherlands were identified using spatial correlation. The previously identified space, people, and flow factors were translated into quantitative spatial factors that could affect the location of waste reuse. Correlations were found for flow and space-related factors, but not for people-related factors, which suggests that actors within the waste-to-resource supply chain tend to attract each other and cluster together to form agglomerations, and that locations of waste reuse are not related to attributes of the local population, such as local income, skills, or education. In chapter 5, the location and scale of waste reuse clusters in the Netherlands were then identified using spatial statistical methods. This answered the main research question from a spatial econometric perspective, identifying industrial clusters for closing material loops. It was found that all the studied materials except for glass and textiles formed statistically significant spatial clusters. To determine the scale of spatial clustering, the grid cell sizes for data aggregation were varied, to find the cell size that had the strongest spatial clustering. The best fit cell size is ~7 km for materials associated with construction and agricultural industries, and ~20–25 km for plastic and metals. In chapter 6, to answer the question from a spatial planning perspective, spatial parameters were identified for circular construction hubs - facilities that close material loops by collecting, storing, and redistributing demolition waste as secondary construction materials. Using the Netherlands as a case study, spatial parameters were extracted from two sources: Dutch governmental policy documents, and interviews with companies operating circular hubs. Four types of circular construction hubs were identified: urban mining hubs, industry hubs, local material banks, and craft centers. The spatial requirements for the four hub types were translated into a list of spatial parameters and analysis methods required to identify future locations - site selection, spatial clustering, and facility location. Finally, in chapter 7, spatial optimization was used to identify the optimal scale and location for circular timber hubs in Amsterdam and its surrounding region, answering the main research question from the perspectives of industrial ecology and logistics. The optimal scale was defined as a scale that is most cost effective, minimizing costs and maximizing emissions reductions through timber reuse. The optimal number of hubs for the study area was 29, with an average service radius of 3 km. The cost effectiveness was affected mostly by transportation and storage costs, while emissions savings had minimal effect. As an overall conclusion, five tensions were identified for determining locations and scales for closing material loops, because of the diverse and sometimes misaligned spatial perspectives. The first three tensions are conceptual, addressing contrasting perspectives for defining closing material loops - as urban manufacturing or urban mining; for their locations - as clusters or hubs; and for the factors that affect locations and scales - as spaces, people, or materials. The final two tensions are methodological, addressing contrasting approaches to time - looking at the present or the future; and to methods - quantitative or qualitative.","","en","doctoral thesis","A+BE | Architecture and the Built Environment","978-94-6366-782-1","","","","","","","","","Environmental & Climate Design","","",""
"uuid:abd28906-04fb-4faa-8b4e-aef8dd893c70","http://resolver.tudelft.nl/uuid:abd28906-04fb-4faa-8b4e-aef8dd893c70","Monitoring Dynamic Properties of Railway Tracks Using Train-borne Vibrometer Measurement","Zeng, Y. (TU Delft Railway Engineering)","Li, Z. (promotor); Nunez, Alfredo (copromotor); Delft University of Technology (degree granting institution)","2023","","Railway tracks; Structural health monitoring; Laser Doppler vibrometer; Speckle noise; Vibration measurement; Modal analysis; Transfer function","en","doctoral thesis","","978-94-6384-513-7","","","","","","2024-11-09","","","Railway Engineering","","",""
"uuid:45895388-2e1c-41de-88c3-fa06d6ab29ea","http://resolver.tudelft.nl/uuid:45895388-2e1c-41de-88c3-fa06d6ab29ea","Hardware and Protocol Optimization in Quantum-Repeater Networks","Horta Ferreira da Silva, F. (TU Delft QID/Wehner Group)","Wehner, S.D.C. (promotor); Hanson, R. (promotor); Delft University of Technology (degree granting institution)","2023","The future quantum internet promises to enable users all around the world to, among other applications, generate shared secure keys and perform distributed quantum computations. To do so, entanglement must be distributed between remote users. One way of doing this is by sending photons through optical fiber, which allows for reusing some existent classical infrastructure. However, the probability of photons being absorbed in optical fiber grows exponentially with the distance covered, rendering entanglement generation at larger-than-metropolitan scales unfeasible. One possible approach to enable distributing entanglement over larger distances is to employ quantum repeaters, devices that can in theory mitigate the effects of fiber loss by splitting the total distance to be covered into smaller segments. Despite recent advances, the required technology is still under development. In this dissertation we aim to contribute to a swifter realization of fiber-based quantum-repeater networks.
To this end, we introduce a methodology combining quantum-network simulations and genetic-algorithm-based optimizations that allows for determining hardware requirements for quantum repeaters. Using this methodology we translate quantum-network-application-derived performance metrics into specific requirements on the quantum repeaters used to implement the quantum network. This indicates not only how good hardware must be in order to enable given applications, but also in what specific ways state-of-the-art hardware must be improved to do so.
We also investigate the effects of using existing fiber infrastructure for the deployment of near-term quantum networks. Doing so would be a cost-effective way of constructing quantum networks. However, existing infrastructure also imposes constraints, namely on where quantum hardware can be placed. We quantify to what extent such constraints affect quantum-network performance, as well as how these effects can be mitigated by optimizing repeater placement.
Finally, we contribute to answering the question of how to extract the best possible performance out of imperfect hardware. For a given hardware quality, making the right choices with regards to what protocols are executed by the nodes and where nodes are placed can result in significant boosts in performance. We perform a joint hardware-protocol optimization and find that good hardware choices can significantly relax hardware requirements, as well as highlight multiple possible paths to functional quantum-repeater networks. We also provide tools for the discovery of entanglement generation protocols.
11. (b) Potential nontopological model which is closely analogous to the pseudotopological model, but with a slight variation in the DNA-SMC topology which allows particle bypass. The original Article has been corrected.","","en","journal article","","","","","","author correction DOI 10.1038/s41598-023-35359-2","","","","","BN/Cees Dekker Lab","","",""
"uuid:93dee070-5e4b-42b1-97e4-c598bcf27084","http://resolver.tudelft.nl/uuid:93dee070-5e4b-42b1-97e4-c598bcf27084","Steerable needles in prostate brachytherapy: From sketch to MDR-compliant batch","de Vries, M. (TU Delft Medical Instruments & Bio-Inspired Technology)","van den Dobbelsteen, J.J. (promotor); Dankelman, J. (promotor); Delft University of Technology (degree granting institution)","2023","Brachytherapy (BT) is a safe and effective technique to treat prostate cancer that has not spread outside the prostate gland (localised prostate cancer). Nonetheless, in current clinical practice hazards can arise in positioning the BT needles in the prostate for the purpose of irradiation. Intermediate structures can block access to the prostate and needle-tissue interactions can result in unexpected deflection of the needle inserted. These situations are undesirable because they lead to insufficient radiation of the prostate, potentially reducing treatment outcomes or resulting in patients being excluded from this treatment. Various techniques have been proposed in the literature to mitigate these hazards, of which actively steerable needles are considered very promising. However, manufacturing and cleaning such designs is often complex, while the low rigidity of the needles limits control and increases the risk of buckling when penetrating stiffer tissues such as the prostate. These factors have made implementation in BT protocols challenging.....","","en","doctoral thesis","","978-94-6469-646-2","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:f74ff729-ee27-4f82-9b13-df259df17cb3","http://resolver.tudelft.nl/uuid:f74ff729-ee27-4f82-9b13-df259df17cb3","Condition assessment of underground corroded pipelines subject to hydrogen damage and combined internal pressure and axial compression","Qin, Guojin (Southwest Petroleum University; Shanghai Jiao Tong University); Zhang, Zhenwei (Southwest Petroleum University); Hou, Xiangqin (Southwest Petroleum University); Lu, Hongfang (Southeast University); Huang, Y. (TU Delft Safety and Security Science); Wang, Yihuan (Southwest Petroleum University; Shanghai Jiao Tong University)","","2023","In this work, a 3D finite element (FE) based model was developed to assess the condition of an underground hydrogen transmission pipeline containing a corrosion defect under combined internal pressure and soil movement-induced axial compression. The use of mechanical properties of X100 pipeline steel under different hydrogen charging time models the degree of hydrogen damage in pipelines. Parameter effects, i.e., axial compressive stress, hydrogen damage, defect geometries, and pipeline diameter-to-thickness ratio, were determined. The results demonstrated that the synergistic effect of axial compression, internal pressure, corrosion, and hydrogen damage can lead to a significant decrease in the failure pressure of pipelines. The failure pressure decreased with the wall thickness reduction and increased hydrogen damage, axial compressive stress, defect length, defect depth, and pipe diameter. The competitive effect was observed between the degree of metal loss and hydrogen damage in determining the burst capacity of pipelines. In situations where the pipeline integrity was severely compromised, the failure pressure exhibited minimal reduction despite the increasing severity of hydrogen damage. The stress distribution at the defect zone was influenced by axial compressive stress but remained unaffected by hydrogen damage under normal operating conditions (i.e., an internal pressure of 10 MPa). This work is expected to help operators understand the applicability of elder and in-service pipelines for hydrogen transmission.","Condition assessment; Corrosion; Finite element modeling; Hydrogen damage; Underground pipelines","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-09","","","Safety and Security Science","","",""
"uuid:102edff8-8960-4633-830c-369aef8e279f","http://resolver.tudelft.nl/uuid:102edff8-8960-4633-830c-369aef8e279f","Amsterdam quays under pressure: Modelling and testing of historic canal walls","Hemel, M. (TU Delft Hydraulic Structures and Flood Risk)","Jonkman, Sebastiaan N. (promotor); Peters, D.J. (copromotor); Korff, M. (copromotor); Delft University of Technology (degree granting institution)","2023","Amsterdam faces the challenge of maintaining a domain of 200 km historic quay walls, which is a vital part of the city’s historical landscape. Many quays are currently in poor condition and require renovation or replacement in the near future, significantly impacting the city. The quay walls can be up to 300 years old and their structure consists of a masonry cantilever wall on top of a timber floor, which is supported by headstocks founded on multiple vertical timber pile rows. In recent years, quay walls have shown signs of damage, partial collapse, and early warnings of such events. The most recent and severe incident was the collapse of the Grimburgwal in 2020, where approximately 20 meters of quay wall suddenly collapsed, plunging into the canal within a matter of seconds. Consequently, it is important to be able to predict the resistance of these structures and understand their potential failure mechanisms. The most common and severe failure mechanism observed in Amsterdam’s city centre is the lateral failure of the pile foundation. Calculating the resistance against this mechanism with existing models, leads to estimates of insufficient strength and safety. It seems that these models are too conservative, because in reality, the majority of the existing structures that proof unsafe on paper is performing quite well in practice. The discrepancy between the models and reality arises from uncertainties in the working principles of historic quay walls, geometrical unknowns, as well as uncertainties in soil and structural properties.
This thesis provides a comprehensive understanding of the lateral failure of the pile foundation by full-scale quay wall experiments and it proposes a computational model to predict the resistance against this failure mechanism.
To gain a comprehensive understanding of the lateral failure mechanism, an unique and extensive experimental program has been conducted on an existing historic quay wall, founded on timber piles. The quay is located at Amsterdam Overamstel and dates back to 1905. Experiments have been conducted at three different system levels. At level 1, four-point bending experiments have been performed on individual piles to obtain the bending material properties. At level 2, lateral pile group experiments have been conducted on two 3x4 pile groups to study the pile-soil-pile interactions. At level 3, proof load experiments have been carried out on entire full scale quay wall sections, to study the overall behaviour of the quay. As part of the experimental program, an extensive geotechnical site investigation has been performed. The experimental approach chosen enables a stepwise validation and calibration for computational quay wall models.
Through the experimental program, it is demonstrated that among all potential failure mechanisms, the lateral failure mechanism is most likely to occur when a quay wall is subjected to large surface loading at its backside. Examples of such loads in practice are parked or moving cars, heavy vehicles or goods. The mechanism is triggered by an increase in soil stresses at the backside of the quay, which pushes the foundation towards the water. This, in turn, results in the bending of the timber piles, accompanied by the development of bending stresses. State-of-the-art models (ABAQUS, PLAXIS and spring models) were used to predict the failure surface load of the Overamstel quay, with an estimated value of approximately 20kPa. However, in reality, the quay demonstrated significantly greater strength, as failure was not observed even for loads as high as 55kPa. While part of this underprediction can be attributed to experiment-specific effects not considered in the prediction analysis, the substantial underprediction of the failure load still emphasizes the conservatism in current modelling approaches.
Clear indicators of the lateral failure mechanism include the inclined position of the top of the piles, broken piles, settlements at the backside of the quay, and lateral deflection of the foundation. These indicators can effectively be monitored, as demonstrated by the employed monitoring plan in the experiments. Elements of this plan, such as inclination sensors mounted on the pile caps, can be implemented in Amsterdam’s city centre to detect signs of lateral failure. The foundation piles experience fracture when they reach a state of full yielding, which occurs when the bending stresses in the timber surpass the modulus of rupture across the entire cross-section of the pile. Bending experiments conducted on timber piles indicate a substantial variance in both the modulus of rupture (variation coefficient of 0.26) and the modulus of elasticity (variation coefficient of 0.3). Consequently, the piles exhibit a wide range of flexural stiffnesses and bending moment capacities. These discrepancies stem from natural variability and biological degradation of the timber, which lead to the formation of a weakened outer layer or “soft shell” starting at the perimeter of the piles, going inward. The soft shell thickness is approximately 10% of the external pile diameter and it does not contribute to the structural strength of the piles.
The substantial variations in load carrying capacities within a timber pile group can be primarily attributed to the variations in pile stiffness and bending capacity. Surprisingly, typical pile group effects such as in-line, side-by-side, pile free height, and pile diameters do not have a large contribution to the variations in individual lateral pile resistances found. When multiple piles are considered together, significant variations between individual piles compensate each other, leading to a group resistance that was almost identical in the two pile group experiments. This finding is advantageous from a computational modelling and risk assessment standpoint. Within the tested pile groups at the Overamstel site, with 200-300 mm diameter piles, partial yielding starts at approximately 100 mm of group deflection. The first pile breakages are expected to initiate at 140 mm of deformation; however, due to the redistribution of lateral loads among the piles, it does not directly result in group failure. Nevertheless, when deformations exceed 200 mm, a majority of the piles will break, leading to group failure. It is vital to emphasize that the transition from the initial onset of yielding to group failure requires merely a slight additional lateral load of 15%.
An analytical quay wall model has been developed to predict the resistance against lateral failure of historic quay walls. This model comprises a framework of elastic beams embedded in an elastic foundation, which is externally loaded by a linear elastic soil model based on Flamant’s theory. The framework is made up of multiple Euler-Bernoulli beams, connected to each other by boundary and interface conditions. The stiffness of the connection between piles and headstock is described by a pile-headstock interface model. The elastic foundation is represented by a series of independent p-y springs, approximated with a bilinear elastic-perfect-plastic model. A method is developed to include the pile-soil-pile interaction and the influence of a sloping surface by adjusting the plastic branch of the p-y springs. This method has been validated through multiple experiments documented in literature in which steel piles were used, eliminating material property uncertainties. The analytical quay wall model has been validated and calibrated with the Overamstel quay wall experiments, employing the stepwise approach. In the first step, the bending properties of the timber piles were obtained from the level 1 bending experiments. Subsequently, in the second step, the model’s capability to describe laterally loaded pile groups was validated through the level 2 pile group experiments. Finally, the Flamant soil model and the model’s ability to describe a historic quay were validated using the level 3 quay experiments. As a final step, the model was compared with finite element computations, demonstrating a good agreement in displacements and forces. The analytical quay wall model accurately predicts lateral displacement, pile bending moments, and bending stresses at various depths, allowing for the assessment of pile fracture under specific surface loads. Its key advantages over state-of-the-art finite element modelling software include robustness, computational speed, feedback loops (e.g. force and displacement-dependent pile-headstock connection stiffnesses), minimal input requirements, and no numerical stability issues at large deformations. The model is highly suitable for trend analysis, sensitivity studies, and probabilistic analysis due to its short computational time in seconds, compared to complex three-dimensional FEM software that takes minutes to hours. The effectiveness and potential of the validated analytical quay wall model have been demonstrated in two “follow up” studies, described below.
In the first study, the quay model has been employed to investigate the failure of the Grimburgwal. With the model it was demonstrated that bending stresses in the timber piles exceeded the modulus of rupture as a consequence of local deepening of the canal in front of the quay. It therefore provides valuable insights for Amsterdam’s historical centre. The analyses have served as an additional validation step for the analytical quay wall model developed in this thesis, specifically for applications to the quay walls of Amsterdam’s historical centre.
In the second study the quay model has been used to effectively showcase the potential of Bayesian updating by incorporating evidence of survived loading situations and corresponding deformations. This approach enables refinement of the reliability predictions and parameter distribution uncertainties, leading to a more accurate prediction of the resistance against the lateral failure mechanism of quay wall foundation piles. Depending on the type of evidence, an a-priori reliability prediction for a quay wall that fails to meet safety standards can be updated to any of the three consequence classes (CC3, CC2, and CC1b) outlined in NEN8700. In a fictive case study, a quay wall with an a-priori reliability of β = 1.5 has been increased to β = 3.2 by including evidence of an extreme survived load of 10 kN/m2 that resulted in displacements of less than 4mm. This is a decrease in failure probability by two orders of magnitude, showing the potential impact of using observational information in combination with Bayesian updating
The main practical implication of this thesis has been the improvement in modelling accuracy, as a result of the Overamstel experiments. The revised “gain” in modelling accuracy for bending moments and deflection was 43% and 37% respectively. This improvement can be attributed to advancements in modelling techniques, such as accurately simulating pile-soil-pile interaction and modelling the pile-headstock connection, as well as utilizing precise location-specific geotechnical and structural material properties as model input. The improved modelling accuracy results in a less conservative evaluation of the quay walls, leading to a reduction in the number of unnecessarily rejected quay walls for the Amsterdam quay wall domain.
The most practical recommendations for Amsterdam are: a) to develop accurate techniques for mapping quay wall configurations, b) to implement comprehensive quay wall monitoring systems in the city centre, c) to utilize the analytical model in future studies and assessments, d) prioritize geotechnical site investigations before making model predictions, and e) perform non-destructive tests in the city centre and incorporate this information in the assessment.
The methods and insights developed in this dissertation enhance the understanding of the lateral failure of historic quay walls and enable more precise predictions of their resistance against such failures. As such, the model can be effectively used to support decisions on their safe use, remaining service life, and the need for their replacement.","Historic quay walls; Experiments; Bending tests; Overloading tests; Lateral pile group experiments; Quay wall modelling; Analytical models; Forensic engineering; Bayesian updating; Reliablity updating; Amsterdam","en","doctoral thesis","","978-94-6469-656-1","","","","Analytical quay wall model open source: https://doi.org/10.4121/4fd90d71-ffd9-4db2-a358-8576f5b19a32","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:d2e1100d-af4e-4af7-8124-41ca5fa881c1","http://resolver.tudelft.nl/uuid:d2e1100d-af4e-4af7-8124-41ca5fa881c1","Classification of Human Activities with Distributed Radar Systems","Guendel, Ronny (TU Delft Microwave Sensing, Signals & Systems)","Yarovoy, Alexander (promotor); Fioranelli, F. (promotor); Delft University of Technology (degree granting institution)","2023","This thesis introduces the relevance of radar systems in the realm of human activity recognition (HAR) in Chapter 1. The study touches upon the complex understanding of continuous human activities and the existing challenges and gaps in current methodologies, hinting at the innovative technical approaches that are to be detailed in the following chapters.
The technical foundation of the research is given in Chapter 2 by introducing distributed ultrawideband (UWB) radar systems. These systems, especially when spatially distributed, bring a depth of information by integrating data from multiple radar nodes and spatial perspectives. There is a significant emphasis on how different fusion techniques, both late and early, play a crucial role in harnessing data effectively, particularly in the context of HAR.
A critical contribution in the study is the potential to deviate from conventional radar data domains, such as microDoppler spectrograms for activity recognition. The research in Chapter 3 highlights an alternative approach, rooted in the radar phase information from a highresolution rangetime map, which bypasses the limitations of common FFTbased radar data domains. This methodology, paired with the histogram of oriented gradients (HOG) algorithm, showcases promising results that can be particularly interesting for realtime applications with computational constraints.
The research in Chapter 4 underlines the efficacy of employing a network of spatially distributed UWB radars for continuous HAR. These networks address the downsides of using a single sensor, like unfavorable aspectangle observations. The study delves into fusion methodologies and their implementation in classifying activities, particularly using recurrent neural networks. To assess these continuous recognition systems, novel evaluation metrics are proposed, offering a deeper insight into the practicality and effectiveness of such systems with temporal classification capabilities.
Indoor radar networks often face multipath challenges. The study in Chapter 5 not only identifies this challenge, but also uses the multipath components by leveraging these typically unwanted phenomena to enhance classification capabilities. Through a pipeline that isolates, determines, and analyzes different propagation pathways, there is an evident boost in the network’s perception. This novel approach showcases a significant performance upward trend, especially when employing convolutional neural networks.
Chapter 6 of the research focuses on the complexities of HAR in crowded environments. The study introduces the challenges of differentiating the activities of walking versus standing idle for multiple individuals simultaneously. The investigation shows initial promising results by using synthetic data generated from experimental recordings, by employing a regressionbased approach and leveraging diverse techniques such as LSTM, CNN, SVM, and linear regression.
In conclusion, the research offers a reflective glance at the breakthroughs achieved in the domain of radarbased HAR in Chapter 7. The significant contributions and advancements of the study are highlighted. Looking ahead, the chapter identifies research areas for exploration and further improvement.","radar signal processing; ultra wideband radar; radar sensor network; distributed radar; human activity recognition; microDoppler signatures; deep learning","en","doctoral thesis","","978-94-6366-769-2","","","","","","","","","Microwave Sensing, Signals & Systems","","",""
"uuid:d641823e-eaab-4fca-b856-fe3b0a500f88","http://resolver.tudelft.nl/uuid:d641823e-eaab-4fca-b856-fe3b0a500f88","The Septin Circus: An Unforgettable Show of Cellular Choreography","Castro Linares, G. (TU Delft BN/Gijsje Koenderink Lab)","Koenderink, G.H. (promotor); Jakobi, A. (copromotor); Delft University of Technology (degree granting institution)","2023","The world we inhabit has long been home to an immense multitude of living beings: small bacteria and other microscopic organisms that elude our vision, as well as plants, fungi, and animals. Despite these vast differences in size and appearance, we classify all of these entities as living. Scientists, including the author of this thesis, have been captivated by the mechanisms that sustain the diversity of life, all while maintaining fundamental characteristics that define all this diversity of organisms as alive. At the basis of this remarkable diversity and functionality of life are cells. From single cells existing as unicellular organisms to multiple cells interacting to form multicellular organisms, cells are the minimum living building block of life. Cells between and even within single organisms are very diverse in shape, components, functionalities, and organization. Even with these differences, they all share common traits: cells have a cell membrane that separates their interior from their exterior, possess genetic material that contains all the information needed for the cell to function, they use components from their environment to fuel and renew themselves, and they are able to divide to reproduce. In order to carry out these functions: cells generate a multitude of components, proteins, nucleic acids, lipids, sugars, and other small metabolites, that are stored inside the cell, making it a complex self-sustaining chemical reactor with tens of thousands of interconnected and sometimes redundant reactions. Understanding how the basic commonalities between cells emerge from the intricate interaction of those reactions is the key to understand what is life and how it works...","","en","doctoral thesis","","978-94-6384-491-8","","","","","","","","","BN/Gijsje Koenderink Lab","","",""
"uuid:49eaed4b-ff4b-450d-97c9-8ed5dc5e7f22","http://resolver.tudelft.nl/uuid:49eaed4b-ff4b-450d-97c9-8ed5dc5e7f22","Reach Probability Estimation of Rare Events in Stochastic Hybrid Systems","Ma, H. (TU Delft Air Transport & Operations)","Blom, H.A.P. (promotor); Santos, Bruno F. (copromotor); Delft University of Technology (degree granting institution)","2023","This thesis conducts a series of interrelated research studies on reach probability estimation of rare events for stochastic hybrid systems. Chapter 1 explains that the motivation for these studies stems from the need to assess safety and capacity of a design for a future Air Traffic Management (ATM) concept of operations (ConOps). The safety/capacity of an ATM ConOps can be expressed in terms of the amount of traffic that can be handled in such a way that the probability of rare events remains sufficiently low. Chapter 1 also explains that the dynamic and stochastic behaviours in an ATM ConOps design can be captured by a General Stochastic Hybrid System (GSHS) model, and that the rare events to be studied can be defined as events that the state of a GSHS model reaches an unsafe set. In ATM safety studies, an unsafe set often considered is the closed subset in the GSHS state space where the physical shapes of two aircraft overlap. The state of a GSHS model consists of two components: i) a Euclidean valued component, and ii) a discrete valued component. The evolution of these two components influence each other; therefore a GSHS model can capture various types of dynamic and stochastic behaviours, including Brownian motion and spontaneous jumps. In contrast to forced jumps, that happen when the GSHS state reaches a boundary in the hybrid state space, spontaneous jumps occur according to a Poisson point process. A mathematically important property of GSHS, is that a GSHS execution satisfies the strong Markov property...","Interacting Particles; Factorization; Rare event; Reach Probability; Stochastic Hybrid System","en","doctoral thesis","","978-94-6384-501-4","","","","","","","","","Air Transport & Operations","","",""
"uuid:40f2a4ef-2080-4cb1-b14a-f0981aeb0cc9","http://resolver.tudelft.nl/uuid:40f2a4ef-2080-4cb1-b14a-f0981aeb0cc9","Delocalization transitions in disordered media","Spring, H. (TU Delft QN/Akhmerov Group)","Akhmerov, A.R. (promotor); Wimmer, M.T. (copromotor); Delft University of Technology (degree granting institution)","2023","The study of crystalline solids and condensed matter physics at large concerns itself with the new behaviors and phases of matter exhibited by elementary particles, atoms, and molecules by virtue of being assembled into a structure. These phases arise from complex microscopic behaviors, which makes it is difficult to establish rigorous quantitative models. The analysis of certain phases is greatly simplified in the presence of symmetries. These symmetries can be non-spatial (time-reversal, particle-hole) or spatial (rotation, inversion, reflection). For example, topological phases ofmatter are easily characterized and classified by the symmetries of the system. Symmetries constrain the band structure of a system, and as a result produce certain quantized responses, such as surface modes on an otherwise insulating bulk. Since these surface modes are related to the symmetry of the bulk, this phenomenon is known as bulk-edge correspondence. So long as the symmetries protecting the topological phase are respected and the energy gap of the insulating bulk remains open, bulk-edge correspondence persists in the presence of disorder. This disorder can be non-structural (appliedmagnetic field), involve part of the structure (impurities) or the entire structure, such as in amorphous systems.....","disorder; topology; phase transition; condensed matter; physics","en","doctoral thesis","","978-94-6366-771-5","","","","","","","","","QN/Akhmerov Group","","",""
"uuid:d4bbe182-8021-4562-a56a-bcb7652032ef","http://resolver.tudelft.nl/uuid:d4bbe182-8021-4562-a56a-bcb7652032ef","Nonlinear coupling and dissipation in two-dimensional resonators","Keşkekler, A. (TU Delft Dynamics of Micro and Nano Systems)","Steeneken, P.G. (promotor); Alijani, F. (promotor); Delft University of Technology (degree granting institution)","2023","Micro and nanomechanical resonators are essential to the state-of-the-art communication, data processing, timekeeping, and sensing systems. The discovery of graphene and other two-dimensional (2D) materials has been a profound source of inspiration for the next generation of these devices, owing to their exceptional mechanical, electrical, and thermal properties. However, alongside their advantages, the atomically thin nature of these resonators also presents its own unique challenges, as the dynamic response of these resonators rapidly becomes nonlinear, where nonlinear coupling and dissipation processes manifest. To unleash the full potential of these resonators, a comprehensive understanding of the emerging nonlinear phenomena is crucial. In this pursuit, this thesis studies nonlinear dissipation pathways in 2D material resonators that arise from the coupling of their internal mechanical modes to each other as well as to theirmicroscopic physics. The thesis consists of six chapters.","nanomechanics; nonlinear dynamics; graphene; two-dimensional materials; internal resonance; mode coupling; nonlinear damping, frequency combs; nonlinear reduced-ordermodelling; NEMS; laser interferometry; magnetic phase transition","en","doctoral thesis","","978-94-6366-781-4","","","","","","2024-05-31","","","Dynamics of Micro and Nano Systems","","",""
"uuid:ed1d3e3b-5f21-401f-88c1-f991958baf00","http://resolver.tudelft.nl/uuid:ed1d3e3b-5f21-401f-88c1-f991958baf00","A Flexible Behavioral Framework to Model Mobility-on-Demand Service Choice Preferences","Dubey, S.K. (TU Delft Transport and Planning)","Hoogendoorn, S.P. (promotor); Cats, O. (promotor); Delft University of Technology (degree granting institution)","2023","Understanding economic decision-making is essential for impactful policy design. In the literature, two main modelling paradigms exist: compensatory and non-compensatory. In this work, we advance the field of decision theory by developing a flexible choice model capable of approximating two modelling paradigms without imposing any a-priori assumptions. Furthermore, through the use of the proposed model, we empirically identify the decision strategy involved in the choice of Mobility-on-demand (MoD) services. Finally, independent of the modelling paradigm, we propose and empirically validate a framework to model the effect of interpersonal network on choice behaviour.","Non-compensatory behaviour; Context-aware survey; Word-of-mouth effect","en","doctoral thesis","","978-90-5584-338-1","","","","","","","","","Transport and Planning","","",""
"uuid:6d51ae3a-ffd8-48f1-96ee-89358d3cb5b7","http://resolver.tudelft.nl/uuid:6d51ae3a-ffd8-48f1-96ee-89358d3cb5b7","Experimental characterisation and mechanical modelling of connection details in traditional Groningen houses","Arslan, O. (TU Delft Applied Mechanics)","Rots, J.G. (promotor); Messali, F. (copromotor); Delft University of Technology (degree granting institution)","2023","Post-earthquake structural damage shows that out-of-plane wall collapse is one of the most prevalent failure mechanisms in unreinforced masonry (URM) buildings. This issue is particularly critical in Groningen, a province located in the northern part of the Netherlands, where low-intensity ground shaking has occurred since 1991 due to gas extraction. The majority of buildings in this area are constructed using URM and were not designed to withstand earthquakes, as the area had never been affected by tectonic seismic activity before. Hence, the assessment of URM buildings in the Groningen province has become of high relevance.
Out-of-plane failure mechanisms in brick masonry structures often stem from poor wall-to-wall, wall-to-floor or wall-to-roof connections that provide insufficient restraint and boundary conditions. Therefore, studying the mechanical behaviour of such connections is of prime importance for understanding and preventing damages and collapses in URM structures. Specifically, buildings with double-leaf cavity walls constitute a large portion of the building stock in the Groningen area. The connections of the leaves in cavity walls, which consist of metallic ties, are expected to play an important role. Regarding the wall-to-floor connections, the traditional way for URM structures in Dutch construction practice is either a simple masonry pocket connection or a hook anchor as-built connection, which are expected to be vulnerable to out-of-plane excitation. However, until now, little research has been carried out to characterise the seismic behaviour of connections between structural elements in traditional Dutch construction practice.
This thesis investigates the seismic behaviour of two types of connections: wall-to-wall connections between cavity wall leaves and wall-to-floor connections between the masonry cavity wall and timber diaphragm, commonly found in traditional houses in the Groningen area. The research is divided into three phases: (1) inventory of existing buildings and connections in the Groningen area, (2) performance of experimental tests, and (3) proposal and validation of numerical and mechanical models. The thesis explores the three phases as follows:
(i) An inventory of connections within URM buildings in the Groningen area is established. The inventory includes URM buildings of Groningen based on construction material, lateral load-resisting system, floor system, number of storeys, and connection details. Specific focus is given to the wall-to-wall and wall-to-floor connections in each URM building. The thickness of cavity wall leaves, the air gap between the leaves and the size and spacing of timber joists are key aspects of the inventory.
(ii) Experimental tests are performed on the most common connection typologies identified in the inventory. This phase consists of two distinct experimental campaigns:
o The first experimental campaign took place at the laboratory of the Delft University of Technology to provide a comprehensive characterisation of the axial behaviour of traditional metal tie connections in cavity walls. The campaign included a wide range of variations, such as two embedment lengths, four pre-compression levels, two different tie geometries, and five different testing protocols, including both monotonic and cyclic loading. The experimental results showed that the capacity of the wall tie connection is strongly influenced by the embedment length and the tie geometry, whereas the applied pre-compression and the loading rate do not have a significant influence.
o The second experimental campaign has been carried out at the laboratory of the Hanze University of Applied Sciences to characterise the seismic behaviour of timber joist-masonry cavity wall connections, reproducing both as-built and strengthened conditions. Twenty-two unreinforced masonry wallets were tested, with different configurations, including two tie distributions, two pre-compression levels, two different as-built connections, and two different strengthening solutions. The experimental results highlighted the importance of cohesion and friction between joist and masonry since the type of failure mechanism (sliding of the joist or rocking failure of the masonry wallet) depends on the value of these two parameters. Additionally, the interaction between the joist and the wallet and the uplift of the latter activated due to rocking led to an arching effect that increased friction at the interface between the joist and the masonry. Consequently, the arching effect enhanced the force capacity of the connection.
(iii) Mechanical and numerical models are proposed and validated against the performed experiments or other benchmarks. Mechanical and numerical models for the cavity wall tie and mechanical models for the timber joist-masonry connections were developed and verified by the experimental results to predict the failure mode and the strength capacity of the examined connections in URM buildings.
o The mechanical model for the cavity wall tie connections considers six possible failures, namely tie failure, cone break-out failure, pull-out failure, buckling failure, piercing failure and punching failure. The mechanical model is able to capture the mean peak force and the failure mode obtained from the tests. After being calibrated against the available experiments, the proposed mechanical model is used to predict the performance of untested configurations by means of parametric analyses, including higher strength of mortar for calcium silicate brick masonry, different cavity depth, different tie embedment depth, and the use of solid bricks in place of perforated clay bricks.
o The results of the experimental campaign on cavity wall ties were also utilised to calibrate a hysteretic numerical model representing the cyclic axial response of cavity wall tie connections. The proposed model uses zero-length elements implemented in OpenSees with the Pinching4 constitutive model to account for the compression-tension cyclic behaviour of the ties. The numerical model is able to capture important aspects of the tie response, such as strength degradation, unloading stiffness degradation, and pinching behaviour. The mechanical and numerical modelling approach can be easily adopted by practitioner engineers seeking to model the wall ties more accurately when assessing URM structures against earthquakes.
o The mechanical model of timber-masonry connections examines two different failure modes: joist-sliding failure mode, including joist-to-wall interaction and rocking failure mode due to joist movement. Both mechanical models have been validated against the outcomes of the experimental campaigns conducted on the corresponding connections. The mechanical model is able to estimate each contribution of the studied mechanism. Structural engineers can use the mechanical model to predict the capacity of the connection for the studied failure modes.
This research study can contribute to a better understanding of typical Groningen houses in terms of identifying the most common connections used at wall-to-wall and wall-to-floor connections in cavity walls, characterising the identified connections and proposing mechanical models for the studied connections.","Masonry buildings; Cavity walls; Timber floors; Connections; Experimental characterization; Mechanical Modelling; Seismic retrofitting; Arching effect","en","doctoral thesis","","978-94-6473-302-0","","","","External advisor: Ihsan Engin Bal","","","","","Applied Mechanics","","",""
"uuid:7e1ad6f0-ef77-4cef-8f9b-b6fde94988b0","http://resolver.tudelft.nl/uuid:7e1ad6f0-ef77-4cef-8f9b-b6fde94988b0","Application of additive manufacturing in vascular self-healing cementitious materials","Wan, Z. (TU Delft Materials and Environment)","Šavija, B. (promotor); Schlangen, E. (promotor); Delft University of Technology (degree granting institution)","2023","Self-healing concrete has great potential to enhance the durability of concrete structures without significantly increasing the initial costs. Among the self-healing approaches, vascular self-healing cementitious composite is capable of supplying healing agents to the cracked region in a continuous way or multiple times. However, the use of brittle materials as vascular makes it difficult to create vascular networks with complicated geometry. The recent development of additive manufacturing (AM, also known as 3D printing) promotes the fabrication of complicated vascular system for vascular self-healing materials. However, the application of AM in vascular self-healing cementitious materials is relatively rare. Therefore, this study focuses on understanding the behavior of 3D-printed vascular self-healing concrete with different printing parameters or vascular configurations.....","Additive manufacturing; Self-healing; Cementitious materials; Machine learning; Optimization; Printing parameters","en","doctoral thesis","","978-94-6384-503-8","","","","","","","","","Materials and Environment","","",""
"uuid:039445ea-ce0e-445d-b07c-5e369fe7e708","http://resolver.tudelft.nl/uuid:039445ea-ce0e-445d-b07c-5e369fe7e708","Probabilistic Labeling in Radar Track-before-Detect Processing: Algorithms for tracking closely-spaced and/or interacting targets","Moreno León, C. (TU Delft Microwave Sensing, Signals & Systems)","Yarovoy, Alexander (promotor); Driessen, J.N. (copromotor); Delft University of Technology (degree granting institution)","2023","Radar-tracking of low-observable targets such as drones suffers from low detection performance. In these type of applications, it is desirable to avoid data thresholding in order to preserve the weak target signal in the raw sensor data. This thesis considers the Multiple Object Tracking (MOT) problem in the context of radar Track-before-Detect (TrBD) processing, where the raw radar data is fed into the filtering process without previous compression into a finite set of detection/plots....","Multiple target tracking; Radar Track-before-detect; Bayesian Inference; Tracking of interacting/closely-spaced/unresolved targets; non-linear filtering; detection of target anomalous behaviour; Particle filtering; data-association free tracking; Sequential Monte Carlo methods","en","doctoral thesis","","978-94-6384-512-0","","","","","","","","","Microwave Sensing, Signals & Systems","","",""
"uuid:56cadf8e-cc7f-4f7b-b6b0-696dd4ecb65d","http://resolver.tudelft.nl/uuid:56cadf8e-cc7f-4f7b-b6b0-696dd4ecb65d","Advances in actuation techniques for wind farm flow control","van der Hoek, D.C. (TU Delft Team Jan-Willem van Wingerden)","van Wingerden, J.W. (promotor); Ferreira, Carlos (promotor); Delft University of Technology (degree granting institution)","2023","Offshore wind farms suffer substantial energy losses due to the interference of wind turbine wakes, with estimates indicating losses of approximately 10%. This thesis aims to advance the state of the art of wind farm flow control techniques for maximizing wind farm power performance. Wind farm flow control can be divided into three categories: static induction control (SIC), wake steering control (WSC), and wake mixing control (WMC). With SIC, upstream turbines are derated to create higher velocity wakes. The losses that are incurred by derating are subsequently compensated by downstream turbines. WSC redirects the wake away from downstream turbines by misaligning a turbine with respect to the incoming wind direction. Using WMC, the wake mixing process is enhanced by continuously adjusting the operating conditions of the wind turbine. Generally, this is achieved through a periodic pitching motion of the blades.
This thesis covers all three categories of wind farm flow control. First, field experiments on an onshore wind farm were carried out to examine the effectiveness of SIC. Measurements indicated a 3.3% increase in power production, as well as a significant decrease in experienced turbulence intensity during favorable ambient conditions. Second, a framework was developed for improving the estimated energy for WSC with analytical steady-state wake models. Using Gaussian process regression, the framework combines the results from an analytical wake model and large eddy simulations with varying ambient conditions, resulting in a 76% increase in estimated annual energy production with respect to the analytical wake model. Finally, a set of wind tunnel experiments were carried to study the wake of a scaled wind turbine model operating with WMC using Particle Image Velocimetry (PIV). The PIV measurements showed enhanced levels of wake recovery with WMC compared to normal operation. Furthermore, a recent TU Delft innovation called ‘the helix approach’, which induces a helical velocity profile in the turbine wake, was shown to be capable of increasing the power of a two turbine array by as much as 15%.","wind farm flow control; wind farm power maximization; static induction; wake mixing; wake steering; Helix approach; wind tunnel experiment; Particle image velocimetry","en","doctoral thesis","","978-94-6366-765-4","","","","","","","","","Team Jan-Willem van Wingerden","","",""
"uuid:7d073c83-1da2-47e1-9244-06c7e26129b1","http://resolver.tudelft.nl/uuid:7d073c83-1da2-47e1-9244-06c7e26129b1","Keep the pitcher’s elbow load in the game: Biomechanical analysis of injury mechanisms in baseball pitching towards injury prevention","van Trigt, B. (TU Delft Biomechanical Engineering)","Veeger, H.E.J. (promotor); van der Helm, F.C.T. (promotor); Hoozemans, Marco J.M. (promotor); Delft University of Technology (degree granting institution)","2023","In baseball pitching, high performance is closely related to injuries. The baseball pitch is a rapid, full-body throwing motion that culminates in a ballistic motion of the throwing arm, creating high ball velocity but exposing the elbow to significant loads. As a result, injuries to the medial side of the elbow involving the Ulnar Collateral Ligament (UCL) are currently a major concern in baseball pitchers at all levels of play. UCL injuries are recently prevalent among youth pitchers and injury rates have gradually increased over the years. It is important to prevent injuries in (youth) pitchers, not only to attain healthy pitching performance but also to avoid injuries at older ages. The general aim underlying the present dissertation is to establish biomechanical injury mechanisms related to the Ulnar Collateral Ligament in baseball pitchers. Knowledge of these mechanisms can eventually be used to develop an ‘early warning system’ to safeguard baseball pitchers from UCL injuries. This dissertation is divided into three parts.","","en","doctoral thesis","","978-94-6469-687-5","","","","","","","","Biomechanical Engineering","","","",""
"uuid:bd8a8edb-3ccb-4f2f-9212-bc6e14af41bb","http://resolver.tudelft.nl/uuid:bd8a8edb-3ccb-4f2f-9212-bc6e14af41bb","High Speed Electron Microscopy: Engineering of a commercial multi-beam scanning electron microscope with transmission imaging","Zuidema, W. (TU Delft ImPhys/Hoogenboom group)","Kruit, P. (promotor); Hoogenboom, J.P. (copromotor); Delft University of Technology (degree granting institution)","2023","In this thesis, the design and engineering considerations for a multi-beam scanning electron microscope (MBSEM) are discussed. This microscope can benefit biological research in various ways. It can give new insights into the inner workings of a multitude of biological systems that were hard to get using previously existing instrumentation. For instance, a higher throughput gives the option to do statistical analysis of multiple samples instead of drawing conclusions from only one. The goal of this thesis was to get from a proof of principle to a final system that can actually be used to do the research. It is divided into 5 chapters showing a step-by-step process of getting to the final system as it is now on the market. Chapter 1 is an introduction to the subject showing the current state of the art with respect to high throughput imaging. Chapter 2 Describes a novel imaging method in scanning electron microscopes. This chapter does not focus on the multi-beam application but shows it in the context of the often-used backscatter imaging. In this method, we place the tissue section directly on top of a thin scintillator screen (thinner than 200 μm) which is coated with a conductive layer. The light signal generated by the electrons transmitted through the sample is collected by a high NA objective lens and the light is imaged onto a photon detector outside of the vacuumchamber. A noise model is created to calculate the signal-to-noise ratio and the contrast-tonoise ratio of this imaging method. It shows that the best images are generated around a landing energy of about 5keV. There are some dependencies on sample thickness, staining level, and light collection efficiency which are also explored. This method does lower the resolution in the image to some extent (by a factor of 2 at low energies and thick sections), which is shown at the end of the chapter. Chapter 3 Goes into the considerations that have to be taken into account when dealing with the imaging method from chapter 2. This chapter is applicable to a single beam SEM as much as anMBSEM. A list of possible light detectors is given from which silicon photomultipliers are selected as the best candidate for the MBSEM. Combined with the light detector, multiple options for a scintillator were discussed, from which YAG:CE is selected. Organic scintillators are discarded due to their bleaching behavior due to electron beam irradiation. The surface of the scintillator and the coating layer are shown to have a large impact on image quality. For this reason, the scintillators are ion-beam polished and coated with a Boron layer. Unexpected behavior in the form of scintillator saturation is observed which is then described by a model and connected to the noise model fromchapter 2. Chapter 4 Gives an analysis of all the hardware requirements for a MBSEM. First a measurement of the crosstalk as a function of landing energy and pitch. It is found that a crosstalk of at least 10 % is to be expected in the system. Next, an overview is given for all the parameters that are related to the stage and the light optics. These are then related to the final throughput of the system. Two imaging strategies are described, in one the beam scans in one direction and the stage in the other. In the other strategy, the beams scan like in a regular SEM and are subsequently descanned in the light-optical system. It is found that with a step and scan approach in combination with planned beamshifts, the maximum throughput that can be achieved is around 420 mpix/s. Chapter 5 Shows results from the final prototype system. Alignments are of great importance in any SEMbut even more so in theMBSEM. Therefore a large part of this chapter is dedicated to describing this alignment. This starts with the electron optical alignment of the source and the beam through the column. The grid of beams has to be optimized to show as little as possible distortions to improve system throughput. The scan and descan have to be aligned to the grid axes and the amplitude has to be precisely correct. The beams have to be perfectly aligned to the detector array. On the processing side, a description of how can be compensated for varying dark and gain levels in the detector array. In the end, a final image is shown, consisting of 400 megapixels. Chapter 6 Describes the valorization of the project and all the challenges and choices that were involved.","Electron Microscopy; High-Throughput; Multibeam,; Transmission imaging","en","doctoral thesis","","","","","","","","","","","ImPhys/Hoogenboom group","","",""
"uuid:31ea7d92-e9d9-4029-8637-e36fa0ff2d6c","http://resolver.tudelft.nl/uuid:31ea7d92-e9d9-4029-8637-e36fa0ff2d6c","Designed to fit: The use of 3D anthropometric data of children’s heads and faces in mask design","Goto, L. (TU Delft Applied Ergonomics and Design)","Goossens, R.H.M. (promotor); Molenbroek, J.F.M. (copromotor); Delft University of Technology (degree granting institution)","2023","When designing products like bicycle helmets or oxygen masks, achieving a good fit is crucial for optimal functioning, usability, safety, and comfort. Integrating anthropometric data in the development and design of products, workplaces, and environments whilst understanding the variations in anthropometric measurements amongst users will improve the usability, comfort, efficiency and interaction of products, subsequently enhancing the overall user experience.
Thus, accurate and detailed measurements of the human body shape in general and for a specific target population in particular, are essential for designing products that require a close fit. Therefore, designers should integrate relevant properties of the body, especially anthropometric dimensions in their design process to optimize the fit between the product and the relevant body part. Recent advancements in 3D imaging technologies have made it possible to collect anthropometric data faster, with higher accuracy and reproducibility. This has led to the increasing use of 3D imaging technologies in anthropometric surveys worldwide, providing detailed anthropometric information for the design of products that closely conform to the human body.
Although various anthropometric tools are available, both in 2D and 3D, designers often rely on traditional 1D anthropometric information when designing and sizing products due to familiarity, ease of use, and cost-efficiency of these tools. However, traditional anthropometric information may not provide sufficient details about the human body shape required for developing products with an optimal fit. While there are advantages to using 3D anthropometric data, there are challenges in integrating it into the design process. The complexity and large quantity of data, making it challenging to sort and analyse both quantitatively and qualitatively. Additionally, there is a lack of established procedures on how to effectively use 3D anthropometric data in product sizing, and limited research has been conducted on its application in the design process and the needs of designers themselves...","3D anthropometry; Dutch children; head and face; representative face model; parametric design; virtual fit testing; mask design","en","doctoral thesis","","978-94-6384-507-6","","","","","","","","","Applied Ergonomics and Design","","",""
"uuid:73945ebd-7e39-459f-a566-50d446b745b2","http://resolver.tudelft.nl/uuid:73945ebd-7e39-459f-a566-50d446b745b2","Biogas-Solid Oxide Fuel Cell (SOFC) Energy System for Rural Energy Supply: A field based study on the role of local materials on operation and capital system cost","Wasajja, H. (TU Delft Sanitary Engineering)","van Lier, J.B. (promotor); Lindeboom, R.E.F. (copromotor); Aravind, P.V. (copromotor); Delft University of Technology (degree granting institution)","2023","Biomass is predominantly the major source of energy in the global south. It is the readily available source of energy in global south and is used in rural energy households in the form of wood, charcoal and agricultural residues. However, biomass energy source is not utilised in the most efficient way and hence there is still a gap in achieving the SDG 7 target. The growing global population has increased the global demand of energy and other basic resources like water and food. But also, has resulted in increased need of sanitation services which are not readily provided to rural communities.....","Anaerobic digestion; Biogas impurities; Sorbent cleaning systems; Biogas-SOFCs; Dry reforming; In-situ H2S reduction; Biochar; Techno-economic analysis","en","doctoral thesis","","978-94-6384-506-9","","","","","","","","","Sanitary Engineering","","",""
"uuid:ca239097-b130-4614-b8c8-bb4d1d4d06d9","http://resolver.tudelft.nl/uuid:ca239097-b130-4614-b8c8-bb4d1d4d06d9","From Theory to Practice: Surgical Process Modeling and Technological Integration","Gholinejad, M. (TU Delft Medical Instruments & Bio-Inspired Technology)","Dankelman, J. (promotor); Loeve, A.J. (copromotor); Delft University of Technology (degree granting institution)","2023","The vital role of surgery in healthcare requires constant attention for improvement. Surgical process modelling is an innovative and rather recently introduced approach for tackling the issues in complex surgeries. The goal of this thesis is to structure the strategies in surgical process modelling and to seek the applications of surgical process models (SPMs) with computer-based technologies to address various challenges in different surgeries. These challenges include surgical training, introduction of new technology and tools, surgery planning, prediction of surgical activities and surgery outcome, and intra-operative guidance of surgeons.
This thesis is composed of two main parts. The first concerns the strategies for establishment of the process models. The second focuses on the application of the surgical process modelling techniques on surgery improvement.....","","en","doctoral thesis","","978-94-6366-760-9","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:81e5e8a8-2bee-4af5-b1bc-c7b210f9cb55","http://resolver.tudelft.nl/uuid:81e5e8a8-2bee-4af5-b1bc-c7b210f9cb55","Small Reservoirs in Northern Ghana: Monitoring, Physical Processes, and Management","Annor, F.O. (TU Delft Water Resources)","van de Giesen, N.C. (promotor); Delft University of Technology (degree granting institution)","2023","The importance of small reservoirs for the livelihoods of people in the Upper East Region of Ghana cannot be over-emphasized. They are used for many purposes which include fishing, livestock watering, construction, irrigation, recreation, drinking water, and other domestic uses. The reservoirs were built most often close to communities to support them with dry season water use since the region has a mono-modal rainfall pattern (April – October). The best time to realise the full extent or capacity of small reservoirs is therefore at the beginning of November.
This study was carried out in the Volta basin focusing on the Upper East Region as part of a larger Challenge Program for Water and Food and the EU H2020 TWIGA project. The shallowest (with a maximum depth less than 2m) reservoirs in the northern part of the Volta basin are often dry at the start of the Harmattan season (December - February) when they are most needed. The perception was that this was mainly a result of high rates of evaporation because of high temperatures (going up to 41oC) in that part of the basin in the dry season (Nov – April). Unfortunately, most of the reservoirs are ungauged making their management challenging. Remote Sensing methods have been used to monitor the reservoirs but mainly with regards to their distribution and capacities (surface areas).
In this research, we studied the filling and emptying of the reservoirs with a combination of remote sensing and in situ data, offering better insights into the components of the water balance and energy budget for small reservoirs and thereby the possibility to manage them better. Aside the usage of water in reservoirs, evaporation is considered to be the main component of the water balance of a reservoir. Accurate estimation of evaporation is required for irrigation management and water resources planning. Knowledge of hydrologic fluxes, including evaporation, is required for monitoring, and understanding hydrological and ecological processes. It is however expensive to directly measure evaporation energy fluxes in the field continuously for a long period of time using the Eddy Covariance method. Following this study, a cost effective and reliable way of measuring evaporation flux is proposed using a TAHMO-like meteorological station and the FAO-56 Penman-Monteith method in CropWat.
The main findings from the research are as follows:
• Water abstraction for irrigation, including through small reservoirs of up to 10m3/s from the Volta river, will have minimal impact on hydropower generation at Akosombo and Kpong. However, increasing irrigation and small reservoir abstraction (or storage) rates to about 38m3/s would mean that the water demand for hydropower for some years will not be fully met (about 0.1 percent shortage may be experienced). This means the one-village-one dam project might not create many problems for hydropower generation downstream if they are well-managed (gains not offset by high water losses).
• Evaporation from small reservoirs is not as high as expected. Average actual rate of evaporation is about 5mm/day instead of the reference evaporation of about 10mm/day estimated using meteorological variables from distant (> 3km) weather and climate stations.
• Even though evaporation in small reservoirs is low, the rate of evaporation is higher in shallow and smaller reservoirs. The management of the small reservoirs will therefore require better landuse planning and water allocation to make them fit for the purpose for which they have been constructed for use in the dry season.
• A combination of hydro-meteorological data from TAHMO-like stations and remote sensing offer a better way to monitor and manage the water use in small reservoirs.
• Small reservoirs are good for community water management and not as inefficient as often thought.
The focus of this thesis is on investigating the limits of quality factors in nanomechanical resonators operating at room temperature. The study revolves around four main facets, addressing limitations in fabrication techniques, and design strategies, exploring the impact of aspect ratio on quality factor enhancement, and investigating the potential for temperature sensing.
Firstly, we address the limits imposed by current fabrication techniques to realize high aspect ratio resonators, such as stiction and collapse due to interfacial forces like capillary. To overcome these challenges, we develop and characterize an SF6 plasma etching technique which enables a quick and controllable release of nanomechanical resonators. The high fidelity achieved through this approach allows the use of advanced optimization strategies to design resonators with exceptional quality factors.
In doing so, we tackle the limits of design strategies, which have primarily relied on human intuition until now. By harnessing the power of Bayesian Optimization and inspired by nature, we discover a strategy to increase the quality factor at low order mode via a torsional soft-clamping mechanism. The experimental validation of the resulting spiderweb resonators confirms quality factors surpassing 1 billion at room temperature in the kHz frequency range. Notably, these resonators contain no features smaller than 1 micrometer, ensuring a fast and cost-effective fabrication.
Expanding on these findings, the thesis explores the limits of aspect ratio in quality factor enhancement. By bridging nanomechanics and macromechanics, we create nanomechanical resonators with centimeter-scale lateral sizes. Utilizing multi-fidelity Bayesian Optimization alongside stiction-free fabrication techniques, our strategy allows to reduce the computational cost and to suspend the fragile structures with a fabrication yield approaching 100%, leading to a quality factor above 6 billion.
Finally, the thesis investigates the potential of high quality factor nanomechanical resonators for temperature sensing. We develop a primary noise thermometer to detect temperature across a wide range. The elevated quality factor enables the detection of the effect of the Brownian motion on the resonator’s motion. However, it also poses limitations on the measurement scheme due to the narrow linewidth of the resonators.
Combining all these aspects, this thesis explores and pushes the boundaries of quality factors in nanomechanical resonators at room temperature. It presents novel fabrication techniques, advanced design strategies, and sensing capabilities of high quality factor resonators. The findings offer valuable insights and open up new possibilities for applications in precision sensing, quantum mechanics, and beyond.","high Q factor; low dissipation; nanomechanical resonators; Bayesian optimizatio; spiderweb; room temperature; temperature sensing","en","doctoral thesis","","978-94-6419-985-7","","","","","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:faf12bf9-8dc5-42ae-8025-b22b6d88e97e","http://resolver.tudelft.nl/uuid:faf12bf9-8dc5-42ae-8025-b22b6d88e97e","Quantum transport in hybrid semiconductor-superconductor nanostructures","Levajac, V. (TU Delft QRD/Kouwenhoven Lab)","Kouwenhoven, Leo P. (promotor); Wimmer, M.T. (copromotor); Delft University of Technology (degree granting institution)","2023","Quantum technology is a developing field of sciencewhere devices possess novel and superior functionalities thanks to their quantum-mechanical behaviour at the nanometer scale. A typical example is a quantum computer, where information is stored in quantum states of its quantum bits. By manipulating entangled and superposition states of these qubits, quantumcomputers can achieve exponential speed-ups in calculation and therefore solve currently unsolvable problems within polynomial computational times. This powerful advantage of quantum computers is particularly difficult to achieve in practice, due to decoherence - a tendency of quantum objects to lose their quantummechanical properties when interacting with their environment. Obviously, qubit decoherence cannot be avoided because the control of a quantum computer inevitably causes couplings to the environment. To mitigate decoherence, fault-tolerant implementations of quantumcomputing need to be developed.
Topological quantum computing has been proposed to achieve fault-tolerance since its significant robustness to decoherence is inherent in the quantum-mechanical nature of topological qubits. Building units of a topological qubit are Majorana zero modes (MZMs) – zero-energy quasiparticles that possess the non-Abelian anyonic exchange statistics and are localized at the boundaries of a topological superconductor. In sufficiently large topological superconductors, MZMs exhibit no overlap and therefore can in pairs host non-local fermions. By braiding non-overlapping MZMs, the information stored in the non-local fermions is manipulated while being insensitive to local noise. In this way one can perform computation that is topologically protected against local sources of decoherence.
In 2010, III-V semiconductor nanowires proximitized by s-wave superconductorswere proposed as a suitable candidate platform for the realization of topological superconductors. Topological superconducting phase occurs in such a hybrid nanowire due to an interplay among the large spin-orbit interaction, s-wave superconductivity, controllable electron density and large Zeeman energy introduced by an externalmagnetic field. Consequently, the nanowire bulk undergoes a band inversion and two MZMs appear at the two nanowire ends. First signatures of MZMs were reported in 2012 and since then a lot of effort has been put in fully demonstrating them. Despite huge improvements in the materials and measurement techniques, conclusive evidence of MZMs in hybrid nanowires is still missing. This is because disorder in hybrid nanowires can also cause the observed signatures of MZMs and make the topological scenario indistinguishable from the trivial ones. Therefore, further improvements and more detailed studies are needed and this thesis shows some recent examples of these...
The urgency to understand the behavior of terrestrial ice shelves under environmental forcing is driven by the ongoing climate crisis. Antarctica is experiencing a rapid loss of mass, primarily due to increasing ocean-induced melting at the base of its ice shelves in response to global warming. The release of glacier meltwater into the world’s oceans contributes to arising the global sea level. However, the rate and magnitude of sea-level rise are highly uncertain and the potential ice mass-loss from Antarctica could significantly accelerate sea-level rise throughout this century due to the instability of its ice shelves. Thus, accurately projecting Antarctica’s contribution to global sea level necessitates a better understanding of the processes behind the loss of its ice shelves.
In this dissertation, I examine the thinning of Antarctic ice shelves caused by enhanced melting at their base due to warming oceans. Intrusion of ocean heat beneath the ice shelves indeed plays a crucial role in projecting their future. Through idealized ocean modeling using the Massachussetts Institute of Technology general circulation model (MITgcm), I simulate ocean dynamics under the ice, investigating the impact of fractures and ice front retreat on the sub-shelf ocean circulation. Results indicate that fractures may act as barriers, inhibiting the intrusion of warm water towards the inland sections of the ice shelves, and thereby reducing basal melt. Furthermore, I examine the impact of the separation of iceberg A-68 from the Larsen C ice shelf in July 2017 on the sub-shelf ocean dynamics. This specific retreat event leads to the redistribution of heat under the ice, resulting in enhanced melting in specific sections of the ice shelf, suggesting future destabilisation of Larsen C. These findings highlight the importance of considering updated ice-shelf coastlines to accurately project ocean circulation and its implications for ice shelf stability.
Furthermore, this dissertation explores the dynamics of specific lineament features observed on the surface of Europa, which are identified as ice fractures. Although limited observations restrict our understanding of ice fracturing events on this moon, insights from studying terrestrial ice sheets provide valuable knowledge. By extend ing an existing terrestrial-based numerical model of fracture propagation on ice shelves, I show that some lineaments on the surface of Europa exhibit a behavior that is similar to ice fractures on Antarctic ice shelves. The model depicts the evolution of these lineament features as bursts of fracture propagation events interspersed with periods of inactivity, which is a typical behavior of fractures on terrestrial ice shelves.
Overall, this dissertation shows the potential for synergy between Earth and planetary science. By leveraging advances in our understanding of physical processes on Earth, terrestrial-based models and theories contribute to expanding our knowledge of physics on other celestial bodies. This interdisciplinary approach, supported and validated by remote sensing and in-situ missions, is fundamental in order to advance our understanding of ice fractures, their interaction with the surrounding environment and their dynamics throughout the Solar System. On Earth, a better understanding of the dynamics of Antarctic ice shelves is imperative to correctly project Antarctica’s contribution to global sea level.","physical oceanography; fracture mechanics; ice-ocean interactions; ice shelves; ice rifts; icy moons","en","doctoral thesis","","978-94-6419-986-4","","","","","","","","","Physical and Space Geodesy","","",""
"uuid:f5f62ff1-28d8-4c5f-93f5-3f9d90d06d28","http://resolver.tudelft.nl/uuid:f5f62ff1-28d8-4c5f-93f5-3f9d90d06d28","Self-Supervised Neuromorphic Perception for Autonomous Flying Robots","Paredes-Vallés, Federico (TU Delft Control & Simulation)","de Croon, G.C.H.E. (promotor); de Wagter, C. (copromotor); Delft University of Technology (degree granting institution)","2023","In the ever-evolving landscape of robotics, the quest for advanced synthetic machines that seamlessly integrate with human lives and society becomes increasingly paramount. At the heart of this pursuit lies the intrinsic need for these machines to perceive, understand, and navigate their surroundings autonomously. Among the senses, vision emerges as a cornerstone of human perception, providing a wealth of information about the world we inhabit. Thus, it comes as no surprise that equipping robots with vision-based perception capabilities, or computer vision, has captivated researchers for decades. Recent breakthroughs, fueled by the advent of deep learning, have propelled computer vision to new heights. However, challenges persist in leveraging the power of deep learning, as its hunger for computational resources poses hurdles in the realm of robotics, particularly for small flying robots with their inherent limitations of payload and power consumption.
This dissertation embarks on a journey that begins at the intersection of two groundbreaking technologies with the potential to revolutionize computer vision and enhance its accessibility to small robots: event-based cameras and neuromorphic processors. These two technologies draw inspiration from the information processing mechanisms employed by biological brains. Event-based cameras output sparse events encoding pixel-level brightness changes at microsecond resolution, while neuromorphic processors leverage the power of spiking neural networks to realize a sparse and asynchronous processing pipeline.
Throughout this dissertation, comprehensive investigations have been conducted, presenting innovative solutions and advancements in the fields of computer vision and robotics. The thesis begins by presenting the winning solution of the 2019 AIRR autonomous drone racing competition, which showcases a monocular vision-based navigation approach specifically designed to address the limitations of conventional sensing and processing methods. Moreover, it explores the bridging of the gap between event-based and framebased domains, enabling the application of conventional computer vision algorithms on event-camera data. Building upon these achievements, the thesis introduces a pioneering spiking architecture that enables the estimation of event-based optical flow, with emergent selectivity to local and global motion through unsupervised learning. Additionally, the thesis presents a framework that addresses the practicality and deployability of the models by training spiking neural networks to estimate low-latency, event-based optical flow with self-supervised learning. Finally, this dissertation culminates with a demonstration of the integration of neuromorphic computing in autonomous flight. By utilizing an eventbased camera and neuromorphic processor in the control loop of a small flying robot for optical-flow-based navigation, this research showcases the practical implementation of neuromorphic systems in real-world scenarios. Overall, our studies demonstrate the benefits of incorporating neuromorphic technology into the vision-based state estimation pipeline of autonomous flying robots, paving the way for the development of more power-efficient and faster neuromorphic vision systems.","Artificial neural networks; Autonomous drone racing; Deep learning; Event-based cameras; Flying robots; Neuromorphic computing; Optical flow; Self-supervised learning; Spiking neural networks; Unsupervised learning","en","doctoral thesis","","978-94-6366-755-5","","","","","","","","","Control & Simulation","","",""
"uuid:a3070931-7512-44fa-833e-4fdc9e33da4a","http://resolver.tudelft.nl/uuid:a3070931-7512-44fa-833e-4fdc9e33da4a","AI-Assisted Design & Optimization for Predictive Maintenance: A Case Study using Deep Learning and Search Metaheuristics for Structural Health Monitoring in Aviation","Ewald, Vincentius (TU Delft Structural Integrity & Composites)","Benedictus, R. (promotor); Groves, R.M. (promotor); Delft University of Technology (degree granting institution)","2023","One of the classical solutions to maintain the aircraft structural integrity is to rely on the analysis of non-destructive testing (NDT) inspector with various inspection methods. However, it is relatively expensive in matter of time and costs to train human resources until the certification is reached. Further, in majority of the cases of aircraft scheduled and unscheduled maintenance, most of the detected damages are far below the damage tolerance limit and therefore are considered as a costly false positive because such inspections generally require additional downtime. Structural Health Monitoring (SHM) tries to reduce the wasteful resources in the maintenance, repair, and overhaul (MRO) industry by signaling such false positives during the maintenance process by becoming an integral part of the structure itself.
On the other hand, there has been an increase in using the artificial intelligence (AI) methodologies such as computational heuristics and machine learning in many areas of human civilization which includes voice and face recognition, languages translation, and automated driving. There has been a lot of interest on implementing AI to assist SHM in maintaining airworthiness while driving the cost down. Nevertheless, the maintenance of airworthiness (such as but not limited to, EASA Part 145/M and FAA CFR Part 21) is a heavily regulated area and are not easily changed.
The current state of the art was captured in the literature review. This includes recent developments of guided wave based SHM and the parameter optimization as well as recent trends and advances in artificial intelligence such as machine and deep learning. The findings from the state of the art were used as the basis to determine the research problem and to propose the solution.
The first part of the proposed solution consisted of a short review the damage growth assumption within the damage tolerance framework and the used methodology to generate and capture Lamb wave signal within Finite Element (FE) environment. This methodology is a deterministic solution that can be partially used for solving continuous optimization in deterministic sensor placement problem. It was further expanded to include a semi-stochastic approach to address nonpredictable damage location that includes some metaheuristics search such as genetic algorithm and swarm intelligence. The ultimate first part of solution was a compromise between the deterministic and semi-stochastic actuator-sensor topology.
The second part of the proposed solution was the investigation on whether deep learning can be used to treat the Lamb wave signal given the configuration obtained from the first part of the proposed solution. To do so, an assumption based on converging probability measures and generalization bound in deep learning must be taken. Then, the approach is to represent the entity of the captured Lamb wave signal in time-frequency domain either as randomly sampled spectrogram or layers of joined spectrograms. After the training, the hypothesis was validated with A/B Testing.
Then, the research was expanded to understand the scalability level of deep learning for SHM for given data size, model parameters, and restriction on physical memory. In this sense, the signal representations were trained sequentially with an example of in hybrid convolutional recurrent network. The investigation was focused on stability behavior of convoluted-recurrent modelling for variable spectrogram length and the experimental validation of the model for classification of the Lamb wave spectrogram signals.
The thesis examines active flow control techniques derived from the spanwise wall oscillation concept. The latter involves introducing a time-dependent spanwise motion to the wall over which a turbulent boundary layer is present. The current work relies on the experimental investigation using particle image velocimetry to quantify the effect of the active control techniques.....","Quantitative flow visualization; Particle image velocimetry; Skin-friction reduction; wall bounded turbulence","en","doctoral thesis","","978-94-6366-773-9","","","","","","","","","Aerodynamics","","",""
"uuid:02e43ae2-b025-45e6-8436-5b7f041f2507","http://resolver.tudelft.nl/uuid:02e43ae2-b025-45e6-8436-5b7f041f2507","High-Performance Phase-Locked Loops for Quantum Computing Applications","Gong, J. (TU Delft QCD/Babaie Lab)","Babaie, M. (promotor); Sebastiano, F. (promotor); Delft University of Technology (degree granting institution)","2023","Quantum computers have gained widespread interest from both industry and academia in the last decade as they are very promising for solving problems intractable by classical computers. However, there is a limited number of qubits in current quantum processors, which impedes the practical applications of a quantum computer. To increase the number of qubits and scale up a quantum computer, a classical electronic interface is required to control and read out the quantum processor operating at cryogenic temperatures....","phase-locked loops; jitter; phase detectors; phase noise; cryogenic electronics; low-power electronics; quantum computing; voltage-controlled oscillators; calibration; digital-analog conversion; oscillators","en","doctoral thesis","","978-90-8593-583-4","","","","","","","","","QCD/Babaie Lab","","",""
"uuid:f9c4d874-92cc-4a45-bd2f-a37f98f8fb78","http://resolver.tudelft.nl/uuid:f9c4d874-92cc-4a45-bd2f-a37f98f8fb78","Photonic topological edge states: A nanoscale investigation","Arora, S. (TU Delft QN/Kuipers Lab)","Kuipers, L. (promotor); Caviglia, A. (promotor); Delft University of Technology (degree granting institution)","2023","The aimof this thesis is to investigate the impact of symmetry on light and how it alters its characteristics. Our research centers around the examination of complex photonic crystals rooted in the concept of photonic topological insulators, which are analogs of topological insulators initially introduced in condensed matter physics. Unlike typical insulating materials, these possess a unique ability to conduct along their surface or edges. Leveraging this fundamental property, photonic topological insulators have gained attention for designing transport circuits resistant to back-reflection and scattering mechanisms....","topological photonics; optics; near-field microscopy; silicon-oninsulator","en","doctoral thesis","","978-90-8593-580-3","","","","","","","","","QN/Kuipers Lab","","",""
"uuid:97f74374-8b04-411a-a8f0-6acfd189c697","http://resolver.tudelft.nl/uuid:97f74374-8b04-411a-a8f0-6acfd189c697","Why and how we should mainstream social justice in the car-restrictive policy agenda","da Schio, Nicola (Vrije Universiteit Brussel); Van Eenoo, Eva (Vrije Universiteit Brussel); Caset, Freke (Universiteit Gent; Vrije Universiteit Brussel); Vanparys, Line (Université Catholique de Louvain); Bartiaux, Françoise (Université Catholique de Louvain); Luppari, Edoardo (MolemBIKE); Ermans, Thomas (Université Catholique de Louvain); Verbeek, T. (TU Delft Urban Studies); Boussauw, Kobe (Vrije Universiteit Brussel); Maciejewska, Monika (Vrije Universiteit Brussel); Bothof, Simon (Vrije Universiteit Brussel); te Boveldt, Geert (Vrije Universiteit Brussel); Wayens, Benjamin (Universite' Libre de Bruxelles (ULB)); Cassiers, Tim (BRAL | Stadsbeweging voor Brussel)","","2023","The authors of this BSI Position Paper argue that social justice should be an integral part of the car-restrictive policy agenda through specific and explicit claims. In this context, they propose that the distribution of the benefits and burdens related to car-restrictive policies should not reproduce – let alone exacerbate – socio-economic inequalities. First, these policies should not simply make driving or parking a car more expensive without being adapted to the financial capacities of those who pay. Second, there should be measures to ensure a fairer distribution of the spatial benefits of car-restrictive policies. Third, the capacity to shape the car-restrictive agenda should not be dependent on socio-economic status. And finally, an efficient public transport network that is accessible and affordable should be the centrepiece to provide mobility for all.","car-restrictive policy; environmental justice; social justice; urban mobility","en","report","Institut de Recherches Interdisciplinaires sur Bruxelles","","","","","","","","","","Urban Studies","","",""
"uuid:cbe936ed-32a4-4084-ae9d-a8f6a2b36480","http://resolver.tudelft.nl/uuid:cbe936ed-32a4-4084-ae9d-a8f6a2b36480","Movement of Thumb-Base Joints: In-Vivo anatomy and biomechanics to support Implant Design","Yuan, T. (TU Delft Emerging Materials)","Goossens, R.H.M. (promotor); Song, Y. (promotor); Kraan, G.A. (copromotor); Delft University of Technology (degree granting institution)","2023","The thumb finger is indispensable for an independent daily life. Implant replacement, which aims to restore joint mobility and functionality, is one of the surgical treatments for patients with osteoarthritis at the thumb-base. However, current designs and the biomechanical understanding of the thumb-base joint are inadequate. Small bone size, deep location, and high degree-of-freedom challenge the investigation on this exquisite joint. Taking advantage of 4D CT scanning, this dissertation examined bone shape, joint contact, and the active motion boundary of the thumb-base joint among participants without signs of joint degeneration. In detail, the analysis compared the joint movement between females and males for the etiology of thumb-base osteoarthritis. The deeper insights gained into the structure and mechanics of the asymptomatic thumb-base joints provide the baseline understanding of the thumb-base joints, which can help researchers and healthcare professionals improve and develop more effective treatments for patients with thumb-base osteoarthritis. Furthermore, the exploration of connecting information between the skeletal and skin systems opens up possibilities for future research perspectives.","Trapeziummetacarpal Joint (TMCJ, CMC-1); 4D CT scanning; Joint Biomechanics; Implant Design; Osteoarthritis (OA)","en","doctoral thesis","","978-94-6366-766-1","","","","","","","","","Emerging Materials","","",""
"uuid:fb0cc4b7-a67b-474b-9570-96eb054a39ec","http://resolver.tudelft.nl/uuid:fb0cc4b7-a67b-474b-9570-96eb054a39ec","WebDSL: Linguistic Abstractions for Web Programming","Groenewegen, D.M. (TU Delft Programming Languages)","van Deursen, A. (promotor); Erdweg, S.T. (promotor); Delft University of Technology (degree granting institution)","2023","Information systems store and organize data, and manage business processes concerned with that data. Information systems aim to support operations, management and decision-making in organizations. Web applications are ideal for implementing information systems. Although existing web frameworks provide abstractions for creating web applications, there are three major issues with current web frameworks. Insufficient or leaky abstraction: web programming concerns are not sufficiently covered or abstractions contain accidental complexity. Lack of static verification: application faults are not removed during development. Security flaws: web application security issues are not sufficiently addressed in the framework, web programmers are exposed to many possible security faults.
How can the benefits of web frameworks be provided for web programming while avoiding the major issues of abstraction, static verification, and security? We propose a domain-specific language (DSL) solution. The challenge is to design a language that provides abstractions for all kinds of web programming tasks with the web framework issues in mind. We designed multiple sublanguages to address web programming concerns, and integrated them to form the WebDSL web programming language. WebDSL incorporates better abstraction for web programming concepts, has static checks on the application code with accurate error reporting, and automatically addresses security concerns in the code generation and runtime.
The primary concerns in web programming are user interfaces and data handling. Which features do we need from a user interface language? These features include both the rendering of data persisted in the database, as well as providing input-handling components to enter new data and update existing data. Additionally, data invariants need to be enforced by the system. How can a DSL provide these features in an integrated way? These are language-design challenges that are investigated in this dissertation. The user interface sublanguage of WebDSL contains several unique improvements compared to existing approaches: form submits that are safe from hidden data tampering; prevention of input identifier mismatch in action handlers; safe composition of input templates; automatic enforcement of Cross-Site Request Forgery protection; expressive data validation; and partial page updates without explicit JavaScript or DOM manipulation.
Access control is essential for the security and integrity of interactive web applications. Existing solutions for access control often consist of libraries or generic implementations of fixed policies. These rarely have clear interfacing capabilities, and they require manual extension and integration with the application code, which is error-prone. WebDSL provides a declarative access control sublanguage, which is entirely integrated with other language components and automatically weaves checks into the application code. Errors related to inconsistent application of access control checks are avoided. The access control language shows that various policies can be expressed with simple constraints, allowing concise and transparent mechanisms to be constructed.
Our work on abstractions for web programming resulted in several scientific and software contributions: The design and implementation of a linguistically integrated domain-specific language for web programming that combines abstractions for web programming concerns covering transparent persistence, user interfaces, data validation, access control, and internal site search. Sublanguages for the various concerns are integrated through static verification to prevent inconsistencies, with immediate feedback in the integrated development environment (IDE) and error messages in terms of domain concepts. WebDSL is the largest programming language created with the Stratego program transformation language and the Spoofax language workbench, in which the DSL compiler and IDE have been iteratively developed. This iterative development is a recurring pattern of discovering new abstractions, domain-specific language abstraction, and reimplementation using new core abstractions tailored to the language. To validate WebDSL, we have created several real-world applications in the domain of research and education for external clients.
In our research we aim to create solutions for problems in web engineering and language engineering by developing concepts, methods, techniques, and tools. We aim to create more than just prototypes by continuing maintenance and development beyond the proof of concept. For over 10 years, we have developed WebDSL, and created and operated practical applications for external clients. For example, EvaTool is a course evaluation application that supports processes for analyzing student feedback by lecturers and other staff. WebLab is an online learning management system with a focus on programming education (students complete programming assignments in the browser), with support for lab work and digital exams, used in dozens of courses at TU Delft. Conf Researchr is a domain-specific content management system for creating and hosting integrated websites for conferences with multiple co-located events, used by all ACM SIGPLAN and SIGSOFT conferences. MyStudyPlanning is an application for composition of individual study plans by students and verification of those plans by the exam board, used by multiple faculties at TU Delft.","Programming Languages; Programming Language Design; Domain-Specific Languages; Web information systems; compilers; Information Systems; Access control; Data validation; User Interfaces; Persistence; Object-Relational Mapping; practical impact","en","doctoral thesis","","978-94-6419-976-5","","","","Prof.dr. E. Visser (Delft University of Technology) was the original promotor and supervisor of this research until his untimely passing on April 5th, 2022.","","","","","Programming Languages","","",""
"uuid:b4091579-66ea-4401-9277-dffe5a83ab90","http://resolver.tudelft.nl/uuid:b4091579-66ea-4401-9277-dffe5a83ab90","Upstream process development for cultured red blood cell production","Gallego Murillo, Joan Sebastián (TU Delft BT/Bioprocess Engineering)","van der Wielen, L.A.M. (promotor); Wahl, S.A. (promotor); von Lindern, Marieke (promotor); Delft University of Technology (degree granting institution)","2023","Production of cultured red blood cells (cRBCs) hold the promise of being a potentially unlimited source of cells that could cover the increasing demand of RBCs for transfusion purposes, while having more control on the quality and safety of the cells compared to the current donor-dependent system. cRBCs could also be used for novel therapies in which cells are used as carriers of therapeutic molecules. Scaling up cRBC manufacture is essential to produce the large number of cells needed for such applications. However, scaling up the current static culture systems for the production of erythroblasts (RBC precursor cells) would be prohibitively labor-intensive, requiring large volumes of medium and a high footprint. The work presented in this thesis aims to develop solutions to some of the key challenges in the scaling up of cRBC manufacture.
Stirred tank bioreactors (STRs) are the standard for the large-scale production of biopharma therapeutics, including monoclonal antibodies and vaccines. Agitation in this type of reactors can reduce the concentration gradients of essential nutrients compared to static culture systems such as culture dishes. STRs also offer active control of critical operating parameters in the culture, such as dissolved oxygen concentration, pH and temperature. We therefore developed a culture protocol for the proliferation and differentiation of erythroblasts in STRs (Chapter 2). To define the operating conditions that sustain erythroblast proliferation in STRs, the effect of agitation, aeration strategy, and dissolved oxygen concentration was evaluated using 0.5 L STRs. Using this knowledge, the cultivation process could then be scaled up to 3 L bioreactors.
Erythroblasts lose their replication capacity when transitioning from proliferation to differentiation culture conditions. Thus, efficient proliferation of erythroblasts is essential to produce the large number of cells required for cRBC manufacture. Growing erythroblasts under proliferative conditions is typically performed following a repeated-batch cultivation strategy, in which the culture is diluted every 24 hours with fresh medium to a fixed lower cell concentration. To reduce culture volumes, it is desirable to use higher cell concentrations. However, at increasing cell densities we observe a decrease in growth (Chapter 3). The observed growth limitations of erythroblast cultures at high cell densities appeared to be caused by depletion of low molecular weight nutrients (molecular mass <3 kDa) in the spent medium. We quantified consumption rates of amino acids, major contributors to biomass synthesis in proliferating mammalian cell cultures. Although the concentration of some amino acids decreases considerably over time, supplementation with additional amino acids did not improve growth. Following an untargeted metabolomics approach, we identified multiple pathways that indicate an excess of oxidative stress in erythroblast proliferation cultures.
Perfusion proved to be a successful alternative cultivation strategy to overcome growth limitations due to depletion of nutrient components (Chapter 3). Increasing the maximum cell concentration in erythroblast cultures leads to an increase in the volumetric productivity (number of cells produced per reactor volume per culture time), which decreases the reactor volume needed to produce the same amount of cRBCs. However, large volumes of medium would still be required to sustain those cultures. Currently, the cost of culture medium for erythroid cultures makes cRBC manufacture economically unfeasible. Growth factors and proteins added to the medium are major contributors to the cost of the medium. Holotransferrin, an iron-carrying protein, is the main cost driver in erythroblast differentiation medium. We show that holotransferrin in erythroblast cultures can be replaced by a GMP-compatible iron chelator (deferiprone; Def), bound to ferric ion (Def3⋅Fe3+; Chapter 4) . Addition of Def3⋅Fe3+ to the culture medium resulted in similar final cRBC yields of cRBCs during proliferation and differentiation of erythroblast cultures compared to optimal holotransferrin concentrations. During differentiation, Def3⋅Fe3+ fully supported enucleation and hemoglobinization. We did not observe toxic effects of Def3⋅Fe3+.
Finally, the main conclusions of this thesis are discussed, providing also an overview of the next developments that are required to make the production of cRBCs at large scale technically and economically feasible (Chapter 5). A multidisciplinary approach is needed to further reduce media cost, optimize medium composition to improve cell yields, and to improve the bioreactor culture system developed in this work.
This thesis focuses on performance flight-testing methods for conventionally-configured helicopters, i.e., those that employ a single main rotor to generate lift and thrust, and a single tail rotor to counter-act the torque effect of the main rotor. More specifically, the scope of this research was limited to gas-turbine available power testing and power required for out of ground effect (OGE) hover and power required for level-flight (AKA cruise flight). The research was limited to the execution of up to ten flight test sorties on two types of helicopters; the Bell Jet-Ranger and the MBB BO-105 helicopters, both normally used for training at the National Test Pilot School (NTPS) in Mojave, California.
The goal of this thesis is to develop new and improved flight-test methods to rectify existing problems associated with the conventional methods. The conventional method for the maximum available power of a gas-turbine relies on three independent, single-variable polynomials that often yield poor prediction accuracy that sometimes even defy basic engineering concepts. The conventional method for OGE hover performance is overly simplified and neglects important blade non-linear effects. This results in inaccurate empirical models for hover performance representation. The conventional flight-test method for level-flight performance incorporates several drawbacks which not only make the execution of flight-test sorties inefficient and time consuming, but also compromise the level of accuracy achieved. This conventional level-flight method fails to specifically address non-linear effects such as blade-tip compressibility and drag-divergence that often results in inaccurate predictions, especially at high altitude and low air temperature conditions.
The research intended to develop new flight-test methods for the available power of a gas-turbine engine and for the power required for hover and level-flight. Both new methods are based on multivariable polynomial approach. The research was initiated with the development of a new method for the maximum available power of a gas-turbine engine. A novel method, referred to as the ‘Multivariable Polynomial Optimization under Constraints’ (MPOC), was developed. This method seeks for a third order multivariable polynomial to describe the engine output power as a function of the other three variables of the engine (compressor speed, temperature and fuel-flow). The maximum available engine power is realized by solving an optimization problem of maximization under constraints. For this optimization, the Karush-Khun-Tucker (KTT) method was used successfully. For the exemplary BO-105, the standard deviation of the output power estimation error was reduced from 13 hp (conventional method) to only 4.3 hp by using the proposed method. Expanding the flight-test data base to include seven different engines reveals that the multivariable polynomials approach of the proposed method performed much better with all seven engines, as compared to the conventional single-variable approach. The maximum average prediction error was only 0.2% as compared to a maximum average prediction error of 1.15%, yielded by the conventional method.
The research effort conducted for the OGE hover performance was concluded successfully with the development of the novel “Corrected Variables Screening using Dimensionality Reduction” (CVSDR) method for hover performance. This novel method combines fundamental dimensional analysis to generate a list of candidate corrected-variables (CVs) to represent the hover performance problem, then screens for the most essential ones by means of dimensionality reduction, implemented by singular-value-decomposition (SVD). This phase of the research was executed with four sorties on the Bell Jet-Ranger helicopter and produced a total of five conclusions. The most significant conclusion was that power predictions of the CVSDR method were 1.9 times more accurate than the conventional method. At the 95% confidence level, the CVSDR method deviated by an average of only 0.9 hp (0.3% of the maximum continuous power of the example helicopter) from the actual power required to hover, whereas power predictions from the conventional method deviated by an average of 1.7 hp.
The final phase of the research concentrated on developing a new flight-test method for the level-flight regime. This effort spanned over five distinct sorties using the BO-105 helicopter. Similar concepts used for the hover performance testing were expanded and adapted for level-flight performance flight testing. The CVSDR method for level flight performance can be regarded (abstractly) as an expansion of the CVSDR method for OGE hover into a higher dimensional space. This phase of the research was aimed at addressing five research questions and yielded ten conclusions. The top three conclusions were that (1) the power predictions accuracy achieved using the CVSDR method for level-flight was nearly 21% better (on average and at the 95% confidence level), as compared to the prediction accuracy yielded from the conventional method. (2) the CVSDR method made planning and execution of flight-test sorties more efficient and time conserving. It is estimated to reduce flight-time for data gathering by at-least 60%, and (3) the CVSDR method is not restricted by the high-speed approximation, hence is also appropriate for the low-airspeed regime, and can potentially bridge the empirical modelling gap between the hover and level-flight regimes.
The novel flight-test methods developed within this research (the MPOC for the available power of a gas-turbine engine and the CVSDR for OGE hover and level-flight performance) are recommended to be used by the helicopter flight-testing community, as they were shown to increase accuracy and promote execution efficiency.
This thesis produced six recommendations concerning possible future expansion of the work already done during the current research. These include an expansion of the CVSDR method into more areas of performance testing like vertical and forward flight climb, partial power and unpowered descent, etc. Another continued research recommendation relates to the applicability and efficiency of the CVSDR method to relevant vertical-lift aircraft that combine both RW and FW characteristics. It is also recommended that continued research look into the potential and feasibility of employing the CVSDR method for empirical modelling used by Health and Usage Monitoring Systems (HUMS) installed in helicopters.
Despite extensive theoretical knowledge of nonclassical gas dynamics, which includes rarefaction shock waves (RSWs), there is still a lack of compelling experimental evidence supporting their existence. The motivation for the research documented in this dissertation is two-fold: firstly, it is crucial to conduct experiments that can provide empirical validation of nonclassical gas dynamics, with a specific focus on observing RSWs, which have proven elusive in previous attempts. Secondly, performing accurate measurements of fluid properties in the dense-vapour thermodynamic regime has the potential to improve the thermodynamic models of BZT fluids or fluids made of complex organic molecules in general. This in turn can contribute to a more accurate characterisation of flows in practical applications that involve these fluids, such as turbine flows in Organic Rankine Cycle (ORC) systems or compressors in high temperature heat pumps.
This research work aimed to provide conclusive experimental evidence for the existence of nonclassical expansion shock waves in the flows of a candidate BZT fluid, siloxane D6. For this purpose, two novel test facilities namely the Asymmetric Shock Tube for Experiments on Rarefaction Waves (ASTER) and the Organic Vapour Acoustic Resonator (OVAR) have been conceived, developed, designed, built and commissioned at TU Delft. Relevant theoretical studies were performed to complement the experimental observation of nonclassical effects. Novel measurements of fluid properties in the nonclassical gasdynamic region of the candidate BZT fluid were executed, the outcomes of which are useful for the improvement and the optimisation of thermodynamic models for this fluid.
Magnetic coupler design
The key performance indicators of an IPT system include power transfer capability, power density, power efficiency, and misalignment tolerance. Due to conflicts among these performance indicators, it is indispensable to formulate the design of IPT charging pads as a multi-objective optimization (MOO) problem. By using finite element (FE) models, the magnetic field property of a coupler can be computed. However, calculating the aligned and misaligned power losses at the rated power requires not only the magnetic field property but also the compensation strategy. The compensation strategy determines the load match method which is used to calculate the optimal load condition and the rated winding currents. Therefore, compensation strategy should also be considered for the magnetic coupler design. With the magnetic field distribution known, the power losses in the AC link can be calculated through the existing analytical method.
This thesis develops a MOO method that can find the performance space from the design search space of magnetic couplers. In the performance space, Pareto fronts can be obtained under different conflicting optimization objectives. The study shows that analytically calculating the AC link power efficiency is possible when the magnetic field is accurately computed at the rated condition. More importantly, the DC-DC power efficiency of the final prototype reaches $97.2\%$ which proves that the MOO design is vital to make full use of IPT technology.
Prediction and control of transient behaviors
IPT systems require capacitive/inductive components to form resonant circuits on both sides to improve the power transfer capability and power efficiency, while the compensation components also make the resonant stage of a high order. As a result, the analytical dynamic models of IPT systems are complex and mostly impossible to solve in the time domain.
This thesis proposes a new reduced-order dynamic modeling method that describes the transient behavior of a resonant stage from the energy point of view. The order of the resultant dynamic model is one-fourth that of conventional ones for SS compensated IPT systems. Also, a MPC controller is designed based on the proposed dynamic model. It is proven that simplifying the dynamic model is helpful in explaining how circuit parameters influence transient behaviors and also in facilitating the application of advanced control strategies in IPT systems.
Reduction of power fluctuation
The most obvious difference between static and dynamic IPT is the change in magnetic coupling. In DIPT applications, the magnetic coupling fluctuates from the maximum to a usable level as EVs move, so one of the main challenges of DIPT is to stabilize the pick-up power, especially for DIPT systems using segmented Tx coils where magnetic coupling changes more frequently. The conventional methods are either to overlap Tx coils or to add extra sets of the Rx sides, which are expensive in building costs.
This thesis presents the design of a segmented DIPT system using a multiphase Tx side. The Rx coil consists of two sub-windings connected in series with a relatively large spatial offset in the EV moving direction. One advantage of the proposed design is that the Tx coils are deployed loosely so the building cost can be reduced. The other advantage is that the pick-up power is seamless with a small ripple. The pick-up power demonstrates a $24.9\%$ ripple by experiments.
Detection of EVs and FOs
To minimize the Tx side power losses and magnetic field radiation, the detection of EVs and FOs should be implemented in DIPT systems. Considering the integration of the detection equipment into the charging pads, PCB coils become the most suitable candidate to sense the magnetic field for detection purposes. However, the detection of EVs and FOs are mostly discussed separately in the literature. There is a need to achieve these two detection functions within one set of PCB coils.
This thesis presents the design of detection equipment consisting of PCB coils installed onto charging pads and the detection resonant circuit (DRC) connected to Tx side PCB coils. It can be concluded that the detection of EVs and FOs can both be realized by measuring the variation of the magnetic field caused by their intrusion, and PCB coils demonstrate good performances in measuring the change of magnetic field together with DRC to amplify the detection signals.
This thesis focuses on the second approach, which is to explore the implementation of algorithms on modern quantum processors. Along all these implementations, we study the errors that cause these algorithms to derail from their ideal results. We attempt to understand, quantify and control these errors, in the hope that this provides useful insights into how to design algorithms for the modern hardware.
This thesis starts by introducing the topic of superconducting quantum processors and modern algorithms in the first two chapters. Then we move onto the three experiments, one chapter each, detailing our findings.
The first experiment cover an digital-analog implementation of a quantum simulation of light-matter interaction. We present the implementation that makes use of both digital (gates) and analog (evolution) blocks. The accuracy of the Trotterization technique is studied in detail, as well as the capability to study the photon population in the resonator. We manage to implement up to 90 Trotter steps and reproduce the behaviour in the ultra-strong coupling regime.
The second experiment presents an error mitigation technique, on an application of great interest to the field (molecular simulations). This application is a fully digital one, within the hot topic of variational algorithms for ground-state preparation. The mitigation technique, which is an invention of our own team (see referenced theoretical works) manages to reduce the algorithm error over an order of magnitude. In order to demonstrate this level of control, we quantify the error through accurate simulations of the quantum process and independent quantification of the parameters involved.
The third experiment presents another variational algorithm, this time to produce thermal states rather than ground states. Again, we pursue a detailed study of the many error mechanisms involved, in order to quantify and match the results obtained. We go beyond incoherent errors and add a coherent error mechanism common to our hardware architecture, the residual ZZ coupling.
Finally, we reflect on the final chapters about how to continue towards implementations that make the most out of modern, noisy, hardware.","quantum; quantum computing; quantum simulations; experimental physics; condensed matter physics; superconducting devices; superconducting qubits","en","doctoral thesis","","978-94-6419-969-7","","","","","","","","","QCD/DiCarlo Lab","","",""
"uuid:c3f5e791-2eb5-426a-8067-a1e3b488bd0a","http://resolver.tudelft.nl/uuid:c3f5e791-2eb5-426a-8067-a1e3b488bd0a","Theory of Chirality Induced Spin Selectivity in Two Terminal Transport","Huisman, K.H. (TU Delft QN/Thijssen Group)","Thijssen, J.M. (promotor); van der Zant, H.S.J. (copromotor); Delft University of Technology (degree granting institution)","2023","In this thesis we perform a theoretical study on the Chirality Induced Spin Selectivity effect in the context of two-terminal measurements for realistic parameters. In twoterminal measurements on chiral molecules one of the leads is magnetized and the current is measured for opposite magnetizations. In experiment it is found that the currents for opposite magnetizations are different for finite bias voltage. We call this finite difference a magnetocurrent. The magnetocurrent is odd in bias voltage and the size of the effect of the order of a few percent. Our aim is to explain this effect through modeling junctions with interactions and the spin-orbit where we always use choose realistic parameters.","Magneto-transport; Onsager reciprocity; Büttiker reciprocity; Voltage probes; Coulomb interactions; Mean-field theory; (non-)collinear Hubbard one approximation; Vibrational modes; Spin-orbit coupling; Stray fields; Chirality Induced Spin Selectivity","en","doctoral thesis","","978-90-8593-579-7","","","","","","","","","QN/Thijssen Group","","",""
"uuid:0be72865-8064-4120-8103-c57b1321a3f0","http://resolver.tudelft.nl/uuid:0be72865-8064-4120-8103-c57b1321a3f0","Embedding design practices in local government: A case study analysis","Kim, A. (TU Delft Methodologie en Organisatie van Design)","Lloyd, P.A. (promotor); Mulder, I. (promotor); van der Bijl-Brouwer, M. (copromotor); Delft University of Technology (degree granting institution)","2023","Design approaches are increasingly being employed by governments worldwide to address public service and policy issues. This book explores the evolution of these design practices within the context of local government, shedding light on the value they can create and how they become stabilized in six local government organizations.","Design for policy; Local government; Public sector innovation; Design management","en","doctoral thesis","","978-94-6366-758-6","","","","","","","","","Methodologie en Organisatie van Design","","",""
"uuid:62a12e6d-d7d2-4244-8df9-89413ec133da","http://resolver.tudelft.nl/uuid:62a12e6d-d7d2-4244-8df9-89413ec133da","Development of Nickel-Titanium Shape Memory Alloys via Laser Power Bed Fusion","Zhu, Jia-Ning (TU Delft Team Vera Popovich)","Popovich, V. (promotor); Hermans, M.J.M. (promotor); Delft University of Technology (degree granting institution)","2023","Shape memory alloys (SMAs), such as nickel-titanium (NiTi) alloys or Nitinol, possess remarkable properties, including superelasticity and shape memory effects, which are attributed to the reversible martensitic transformation. However, traditional manufacturing of NiTi SMAs is challenging due to its high ductility and reactivity, which limits NiTi applications to simple geometries. In this context, laser powder bed fusion (L-PBF), an additive manufacturing technique, emerges as a promising solution capable of overcoming these limitations and introducing the concept of four-dimensional (4D) printing. This approach enables the creation of morphing shapes that can be activated by external stimuli, such as heat or stress, particularly beneficial for SMAs.","Nickel-Titanium; shape memory alloys; additive manufacturing; laser powder bed fusion; superelasticity","en","doctoral thesis","","978-94-6469-631-8","","","","","","","","","Team Vera Popovich","","",""
"uuid:c74cc90a-e55d-489c-b3bb-4c8c4a6dd7e6","http://resolver.tudelft.nl/uuid:c74cc90a-e55d-489c-b3bb-4c8c4a6dd7e6","Design Patterns for Detecting and Mitigating Bias in Edge AI","Hutiri, Wiebke (TU Delft Information and Communication Technology)","Janssen, M.F.W.H.A. (promotor); Ding, Aaron Yi (copromotor); Delft University of Technology (degree granting institution)","2023","From smart phones to speakers and watches, Edge Al is deployed on billions of devices to process large volumes of personal data efficiently, privately and in real-time. While Edge Al applications are promising, many recent incidents of bias in Al systems caution that Edge Al too, may systematically discriminate against groups of people based on their gender, race, age, accent, nationality and other personal attributes. More so, as the physical restrictions of Edge Al, together with the complexity of its heterogeneous and decentralised operating environment pose trade-offs when deploying Al to the edge.
This thesis is motivated by the societal demand for trustworthy Al, by the propensity of Al systems to be biased, and consequently by the need to detect and mitigate bias in diverse Edge Al applications. To address this need, this thesis develops design patterns for detecting and mitigating bias in the development of Edge Al systems. The design patterns present a generalisable approach for capturing established practices to detect and mitigate bias in machine learning. They make this knowledge readily accessible to researchers and practitioners that develop Edge Al, but who have limited prior experience with detecting and mitigating bias.","Edge AI; Edge Intelligence; Trustworthy AI; Responsible AI Design; Bias; Fairness; Design Patterns; Speech Technology; Speaker Verification; Keyword Spotting","en","doctoral thesis","","978‑94‑6419‑932‑1","","","","","","","","","Information and Communication Technology","","",""
"uuid:b3d264ce-e7dc-4e67-b0e1-94f3cc7831ca","http://resolver.tudelft.nl/uuid:b3d264ce-e7dc-4e67-b0e1-94f3cc7831ca","Geomechanical Study of Underground Hydrogen Storage","Ramesh Kumar, K. (TU Delft Reservoir Engineering)","Hajibeygi, H. (promotor); Jansen, J.D. (promotor); Delft University of Technology (degree granting institution)","2023","With the rise of renewable energy and the drive to achieve net-zero emissions, energy storage has become a crucial component of the energy sector to address the challenges of intermittency. The vast subsurface environment offers significant storage potential, capable of accommodating terawatt-hour (TWh) capacities. One approach to leverage this storage capacity involves converting renewable energy into hydrogen and storing it underground within salt caverns and depleted porous reservoirs. This stored hydrogen can then be utilized as needed. However, this cyclic injection and production of hydrogen will exert repeated stress on the subsurface, resulting in periodic changes in pressure.
One critical aspect that requires investigation for the safe storage of hydrogen (H2) is the field of geomechanics, which becomes essential in both salt caverns and depleted reservoirs. To gain a better understanding of this, a comprehensive review of the geomechanics involved in underground hydrogen storage was conducted to examine existing knowledge and identify research gaps. To delve deeper into the influence of geomechanics, particularly regarding the inelastic creep deformation of rocks in salt caverns and depleted porous reservoirs, numerical simulations were employed. Given the potential costliness of fine-scale simulations, multiscale simulations were carried out using algebraic multiscale methods. Constitutive models were utilized to analyze deformation patterns in and around the reservoir, assessing their impact on subsidence or uplift.
In order to further comprehend the effects of cyclic loading on rocks, constitutive models were developed based on extensive experimental data obtained from sandstone rocks subjected to long-term stress conditions. These models aided in uncovering the underlying physics of rock behavior when exposed to different stress regimes during prolonged cyclic loading. Subsequently, these models were integrated into finite element method (FEM) simulations to observe their impact on field-scale scenarios, with a synthetic Bergermeer case study serving as an example.
To enhance the computational efficiency of multiscale methods, unsupervised machine learning techniques were applied to optimize the formation of computational grids, utilizing graph theory techniques such as Louvain and random walk algorithms. These optimized grids were then compared with the grids generated from METIS to evaluate the computational performance of pressure solvers in a commercial scale simulator.","","en","doctoral thesis","","978-94-6366-759-3","","","","","","2023-11-01","","","Reservoir Engineering","","",""
"uuid:4d4a1cfa-836f-415f-a255-84d49a4797a0","http://resolver.tudelft.nl/uuid:4d4a1cfa-836f-415f-a255-84d49a4797a0","Shearography non-destructive testing and defect characterisation of thick composite structures","Tao, N. (TU Delft Structural Integrity & Composites)","Benedictus, R. (promotor); Groves, R.M. (promotor); Anisimov, A. (copromotor); Delft University of Technology (degree granting institution)","2023","THICK composite materials, e.g., thickness of more than 50 mm, are increasingly being used across diverse industry sectors owing to their significant advantages of weightsavings, superiormaterial properties and load-carrying capability. These materials tend to be adopted in safety-critical applications such as large primary or secondary load-bearing structures, where mechanical failures would result in serious consequences. However, various defects and damage may occur in thick composites that endanger structural integrity and safety severely. Hence to improve the maintenance, safety and reliability of these structures, it is crucial to develop inspection methods capable of defect detection and characterisation for composite structures of significant thickness. To date, the nondestructive testing and evaluation (NDT&E) of thick composite structures still remain an urgent challenge due to their material and structural complexity, significant thicknesses, and the presence of various manufacturing and in-service defects....","Digital shearography; Speckle interferometry; Strain characterisation; Thick composite inspection; Composite laminates; Non-destructive testing and evaluation; Defect detection and characterisation; FEM-assisted inspection; Spatially and temporally modulated heating","en","doctoral thesis","","978-94-6384-499-4","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:94f225e8-823e-47b6-a3c7-d3c9846960e2","http://resolver.tudelft.nl/uuid:94f225e8-823e-47b6-a3c7-d3c9846960e2","Climate Change and Fish Farming: Venetian “Fish Valleys” as a Design Device for Coastal Adaptation and Mitigation","Cipriani, L. (TU Delft Landscape Architecture); Destro, Alessandro (Rete Ferroviaria Italiana (RFI))","","2023","Beginning in the fourteenth century, along the northeastern Italian coastline, Venetians began to create a series of hydraulic structures called “fishing valleys,” which combined aquaculture production with lagoon and seawater management. According to the current scenarios provided by the Intergovernmental Panel on Climate Change, the coastal areas, where many historic fish farms still stand, will inevitably be affected by the rise in sea level. To be preserved, coastlines will require some sort of water defense or possibly a managed retreat. Can we redesign traditional fish-farm systems as climate, economic and environmental adaptation devices? Through a series of design scenarios, this contribution explores how traditional fish farming can help redefine the territorial scale by addressing climate change and reviving existing production systems.","Climate Change Adaptation; Climate Change Mitigation; Fish farms; Venice lagoon","en","journal article","","","","","","","","","","","Landscape Architecture","","",""
"uuid:d1c6502f-2240-4d91-be93-0c761eee67ee","http://resolver.tudelft.nl/uuid:d1c6502f-2240-4d91-be93-0c761eee67ee","Shape-locking in architected materials through 3D printed magnetically activated joints","de Jong, P.H. (TU Delft Support Biomechanical Engineering; TU Delft Biomaterials & Tissue Biomechanics); Salvatori, Y.S. (University of Genova); Libonati, F. (University of Genova); Mirzaali, Mohammad J. (TU Delft Biomaterials & Tissue Biomechanics); Zadpoor, A.A. (TU Delft Biomaterials & Tissue Biomechanics)","","2023","Shape morphing is the ability of objects to adapt to different shapes and reduce stress concentrations through increased contact area. This is a common trait of natural and engineered objects and has several applications in, among others, soft robotics and orthopedic implants. Shape morphing is achieved through flexible materials or rigid components with either kinematic or compliant joints. An additional step, namely shape locking, is needed for sustained load support. Activation of a locking mechanism can be done with any energy, among which magnetism is one. Here, we present the implementation of a magnetic locking mechanism for kinematically deformable metamaterial structures that maintain shape and support loads upon locking. The structure consists of 3D printed rigid magnetic and non-magnetic components connected by hinges. We created several prototypes of the proposed designs using two additive manufacturing methods (i.e., material extrusion and multi-material jetting) and demonstrated its application in a closed-loop grid for arbitrary shapes. Moreover, we characterized the performance of the prototypes using mechanical tests and multibody kinematic system simulations. This work highlights the viability of the locking concept and provides design considerations for future applications. Further improvement and optimizations are needed for increased efficiency and effectiveness.","3D printing; Kinematic fixation; Locking mechanism; Magnetism; Shape morphing","en","journal article","","","","","","","","","","","Support Biomechanical Engineering","","",""
"uuid:461cb324-0498-4ee5-99f7-919bef9c78cb","http://resolver.tudelft.nl/uuid:461cb324-0498-4ee5-99f7-919bef9c78cb","Prediction of oil and gas pipeline failures through machine learning approaches: A systematic review","Al-Sabaeei, Abdulnaser M. (Universiti Teknologi Petronas); Alhussian, Hitham (Universiti Teknologi Petronas); Abdulkadir, Said Jadid (Universiti Teknologi Petronas); Jagadeesh, A. (TU Delft Pavement Engineering)","","2023","Pipelines are vital for transporting oil and gas, but leaks can have serious consequences such as fires, injuries, pollution, and property damage. Therefore, preserving pipeline integrity is crucial for a safe and sustainable energy supply. The rapid progress of machine learning (ML) technologies provides an advantageous opportunity to develop predictive models that can effectively tackle these challenges. This review article mainly focuses on the novelty of using machine and deep learning techniques, specifically artificial neural networks (ANNs), support vector machines (SVMs) and hybrid machine learning (HML) algorithms, for predicting different pipeline failures in the oil and gas industry. In contrast to existing noncomprehensive reviews on pipeline defects, this article explicitly addresses the application of ML techniques, parameters, and data reliability for this purpose. The article surveys research in this specific area, offering a coherent discussion and identifying the motivations and challenges associated with using ML for predicting different types of defects in pipelines. This review also includes a bibliometric analysis of the literature, highlighting common ML techniques, investigated failures, and experimental tests. It also provides in-depth details, summarized in tables, on different failure types, commonly used ML algorithms, and data resources, with critical discussions. Based on a comprehensive review aforementioned, it was found that ML approaches, specifically ANNs and SVMs, can accurately predict oil and gas pipeline failures compared to conventional methods. However, it is highly recommended to combine multiple ML algorithms to enhance accuracy and prediction time further. Comparing ML predictive models based on field, experimental, and simulation data for various pipeline failures can establish reliable and cost-effective monitoring systems for the entire pipeline network. This systematic review is expected to aid in understanding the existing research gaps and provide options for other researchers interested in predicting oil and gas pipeline failures.","Advanced neural networks; AI algorithms (machine learning); Energy transportation system (pipeline); Oil and gas","en","review","","","","","","","","","","","Pavement Engineering","","",""
"uuid:a3d42b01-b207-4524-94c6-1c8cf642f687","http://resolver.tudelft.nl/uuid:a3d42b01-b207-4524-94c6-1c8cf642f687","On-trip Behavior of Truck Drivers on Freeways: New mathematical models and control methods","Sharma, Salil (TU Delft Transport and Planning)","van Lint, J.W.C. (promotor); Tavasszy, Lorant (promotor); Snelder, M. (promotor); Delft University of Technology (degree granting institution)","2023","Congestion, a frequent problem on freeways, is often considered a major challenge for the operations of road freight transport. Trucks, the main choice for road freight, not only suffer from congestion but they also contribute to it. Consequently, billions of dollars are lost worldwide in trucking operations, which also impedes economic growth and prosperity. Understanding driving behavior and on-trip decision-making of truck drivers are critically important to design measures that mitigate the impacts of congestion on truck traffic, and vice versa, to design measures that mitigate the impacts of truck traffic on congestion. In this respect, the on-trip behavior of truck drivers can be decomposed—like driving behavior in general—into strategical, tactical, and operational behavior, depicting route choice, short-term path-planning (e.g. merging, lane changing), and the steering & accelerating of the vehicle, respectively. Whereas these on-trip behaviors have been studied in-depth for drivers of passenger cars, there are larger gaps in our knowledge when it comes to strategical, tactical and operational behavior of trucks. Furthermore, our limited insight into the driving behavior of truck drivers inhibits the design of appropriate traffic control and management measures.
To improve freight and traffic operations on freeways, this dissertation focuses on obtaining insights into the on-trip behavior of truck drivers and influencing this behavior for congestion relief. To this end, this dissertation develops new mathematical models and control methods for the strategical, tactical and operational behavior of truck drivers by analyzing emerging datasets and designing novel cooperative intelligent transportation system (C-ITS) applications.....","","en","doctoral thesis","","978-90-5584-337-4","","","","","","","","","Transport and Planning","","",""
"uuid:03d907d1-f44d-45e9-a1ea-5110ccff91f2","http://resolver.tudelft.nl/uuid:03d907d1-f44d-45e9-a1ea-5110ccff91f2","Heritage Beyond Singular Narratives: Embracing Diversity in Participatory Heritage Planning Empowered by Artificial Intelligence","Foroughi, M. (TU Delft Heritage & Architecture)","Pereira Roders, A. (promotor); Wang, T. (copromotor); Delft University of Technology (degree granting institution)","2023","This PhD thesis explores the evolving field of heritage planning, focusing on the cultural significance of heritage properties. It advocates for a value-based approach that recognizes the diverse perspectives of stakeholders, including experts, policymakers, and users. While participatory heritage aims to foster consensus-building, tensions may arise due to varying cultural significance conveyed by different stakeholder groups. Conventional research methods are time-consuming and costly, limiting their effectiveness in heritage planning. To address this gap, this research aims to utilize Artificial Intelligence (AI) models and information repositories, such as social media platforms, to understand the cultural significance of built heritage from different stakeholder groups’ perceptions.
This research presents a theoretical framework that examines the factors influencing consensus-building on heritage values and attributes. Based on this framework, a public participation methodology empowered by AI is developed and tested in the case study of windcatchers in Yazd, Iran. This study compares the perceptions of three stakeholder groups: experts, policymakers, and users. The findings reveal consensus on the value of windcatchers while highlighting differing interpretations of their significance.
The AI-empowered methodology proves effective in uncovering stakeholder groups' understanding of cultural significance. This framework can be replicated in other case studies, facilitating participatory heritage practices. The thesis contributes to knowledge in public participation, cultural significance, and AI in heritage planning, offering insights for practitioners and policymakers to promote inclusive heritage practices. It emphasizes the importance of stakeholders' contributions and advocates for a more diverse and inclusive approach to heritage planning.
The effectiveness of adjoint-based error estimation is initially demonstrated using linear advection-diffusion problems. An adjoint-based AMR strategy is further developed and analysed for unsteady 1D Burgers problems with a multi-frequency forcing term. Then we introduce a Reduced-Order Representation (ROR), which uses the Proper Orthogonal Decomposition (POD) to replace full-order primal solutions when solving the adjoint problem backward in time. Numerical results demonstrate the effectiveness of using RORs for adjoint-based AMR.
An enhanced online algorithm for POD analysis is proposed to deal with high-dimensional LES data, resulting from the nonlinearity and unsteadiness that require us to store a time history of primal states for solving the adjoint problem. The enhanced algorithm is based on the incremental Singular Value Decomposition and exploits the decomposition of full-order solutions into reconstructed and truncated solutions. Two lower-bound estimators are proposed to equip the enhanced algorithm with a posteriori error analysis. Numerical experiments demonstrate that the algorithm can significantly improve the computational efficiency of online POD analysis while accuracy is maintained with an appropriate number for the truncation of POD modes. Furthermore, the enhanced algorithm scales well in parallel and the improvement of computing efficiency is independent of the number of processors.
The unsteady adjoint problem is investigated for 2D and 3D cylinder flows. Using RORs significantly reduces the memory requirement for storing primal flow solutions for both 2D and 3D cylinder flow. Dynamic features of the adjoint field are well presented with using RORs, although there are differences in regions around and upstream of the cylinder using a small number of POD modes. Error distributions can be well predicted with POD-based RORs, especially in regions with large errors. The exponential growth of adjoint solutions in the 3D turbulent flow is found to be attenuated when using RORs for solving the adjoint problem.","Large Eddy Simulation; Adjoint Method; Order Reduction; Incremental Singular Value Decomposition; A Posteriori Error Estimation; Adaptive Mesh Refinement","en","doctoral thesis","","978-94-6366-753-1","","","","","","","","","Aerodynamics","","",""
"uuid:03f73e4c-dd70-4fed-95e5-7bb28927afd0","http://resolver.tudelft.nl/uuid:03f73e4c-dd70-4fed-95e5-7bb28927afd0","Thermo-mechanics of energy piles: fine-grained soils, cycles, and interfaces","Golchin, A. (TU Delft Geo-engineering)","Vardon, P.J. (promotor); Hicks, M.A. (promotor); Delft University of Technology (degree granting institution)","2023","In the serviceability lifespan of thermo-active geo-structures such as energy-piles, soils surrounding these structures are exposed to a combination of mechanical and thermal loads. These loads are often complex (including cycles) and, depending on the state of the soils, the response of the surrounding soil to these loads may differ. Since the performance and safety of the soil-structure system directly depends of the response of the surrounding soil, it is important to understand and quantify the thermomechanical behaviour of soils. These objectives can be achieved by performing laboratory-scale element tests to gain knowledge on the fundamental response of the material and by developing numerical tools which can be used to simulate the complete soil--structure system under various complex load paths.
To date, many laboratory test have been conducted to study the thermomechanical behaviour of soils. A large portion of these tests have been triaxial tests and many thermomechanical constitutive models for soils are developed based on the phenomenological findings from these tests. While these models have been seen to be capable of capturing the general thermomechanical behaviour of soils, none have been formulated to ensure that they unconditionally satisfy the principles of thermodynamics. Therefore, under complex loading paths certain phenomena may not be captured/predicted, and other phenomena may be spuriously predicted. On the other hand, only a very limited number of tests have been conducted on soil-structure interfaces. Therefore the available knowledge on the thermomechanical behaviour of soil-structure interfaces until this time has been limited.
The objective of this thesis is to fill-in the gaps mentioned above by investigating and exploring the main mechanisms governing the thermomechancial behaviour of soils and soil-structure interfaces, as well as developing thermomechanical constitutive models constructed from a sound foundation (i.e. thermodynamics) and numerical algorithms that can be used in boundary-value solvers such as finite-element methods.
First, the phenomenological temperature effects observed in laboratory-scale tests are combined with principles of thermodynamics to develop a ""{\it base}"" thermomechanical constitutive model, defined in triaxial stress space, that can capture the main thermomechanical behaviour of fine-grained soils. This base model has a single flexibly shaped yield surface. The base model is then upgraded to a ""{\it two surface/bubble}"" thermomechanical model by introducing an additional yield surface. The additional yield surface translates within the admisible stress space via a temperature-dependent kinematic rule, which enables the model to capture additional thermomechanical features such as the shakedown behaviour of soils when subjected to thermal cycles, which the single yield surface constitutive model was not able to capture or predict.
The main value of constitutive models is achieved when they are efficiently embed within boundary-value solvers, such as a finite-element method solver. One such efficient method is to use the implicit stress integration scheme. However, many constitutive models fail to converge within these schemes. One possible reason, as demonstrated in this thesis, is the existence of undesired elastic nuclei or domains with erratic divergence. A new yield function (which can also be used as a plastic potential function) is proposed, which is flexible and unique, and overcomes the aforementioned drawbacks. The single surface thermomechanical model (defined in triaxial space) is then modified by incorporating the newly proposed yield surface formulation with the addition of Lode angle dependency and generalisation to three-dimensional stress space, prior to being implemented in a finite-element context. Since the non-linear thermo-elastic relationships of the model were derived from a Gibbs-type energy potential, a new numerical algorithm was designed to accommodate this feature when implementing the model in a finite-element context using an implicit stress integration scheme.
The thermomechanical behaviour of soil-structure interfaces is experimentally investigated using a temperature-controlled direct shear apparatus. Several thermomechanical stress paths, with a wide ranges of stresses, temperatures and boundary conditions, analogous to those an interface element experiences in the serviceability life-time of an energy-pile, were designed and performed. Unique observations including the coupling effect of initial shear stress and thermal cycles were recorded, which enhanced the knowledge of thermoemchanical behaviour of soil-structure interfaces. The main impact on soil-concrete interfaces was seen to be the mechanical cyclic loads arising due to the heating and cooling of the concrete pile, rather than direct thermal impacts. Thermal creep was identified as a novel phenomen which had not been previously identified.","Constitutive model; Implicit stress integration algorithm; Laboratory tests; Soil-structure interface; Thermo-mechanics; Yield function","en","doctoral thesis","","978-94-6473-261-0","","","","","","","","","Geo-engineering","","",""
"uuid:b8a35e4b-4edd-47f1-8a9c-4434dcae665d","http://resolver.tudelft.nl/uuid:b8a35e4b-4edd-47f1-8a9c-4434dcae665d","Het verduurzamen van bedrijventerreinen: Hou(dt) (geen) rekening met de (veranderende maatschappelijk noodzakelijke) bedrijven","Van den Berghe, K.B.J. (TU Delft Urban Development Management)","","2023","","","nl","report","Raad voor de Leefomgeving en Infrastructuur (RLI)","","","","","","","","","","Urban Development Management","","",""
"uuid:d58256b5-2532-462c-b2a0-9c95e1dc6cef","http://resolver.tudelft.nl/uuid:d58256b5-2532-462c-b2a0-9c95e1dc6cef","Comparing automated vehicles with human drivers: Improving motion comfort with motion planning and suspension control","Zheng, Y. (TU Delft Intelligent Vehicles)","Shyrokau, B. (promotor); Keviczky, T. (copromotor); Delft University of Technology (degree granting institution)","2023","This dissertation is dedicated to understanding the potential of improving the motion comfort of automated vehicles and explores multiple options that serve this purpose. Comfort is usually prioritized behind factors such as safety and efficiency but is nevertheless influential to the acceptance of automated vehicles. The goal of enhancing motion comfort overlaps with the need to overcome challenges brought by the motion sickness phenomenon. Motion sickness is found to impact a significant portion of travelers in all types of transport. It tends to develop faster among occupants who are not engaged in the driving task. Its symptoms can cause difficulties for non-driving-related tasks (NDRTs) to be performed effectively by the passengers. Therefore, a part of the research in this dissertation is directed specifically toward mitigating motion sickness in automated vehicles...","","en","doctoral thesis","","","","","","","","","","","Intelligent Vehicles","","",""
"uuid:9363fddf-aeed-4fcc-82bd-23bcced5cc6d","http://resolver.tudelft.nl/uuid:9363fddf-aeed-4fcc-82bd-23bcced5cc6d","Incorporating Congestion Phenomena into Large Scale Strategic Transport Model Systems","Brederode, L.J.N. (TU Delft Transport and Planning)","Pel, A.J. (promotor); Hoogendoorn, S.P. (promotor); Delft University of Technology (degree granting institution)","2023","Strategic traffic assignment (TA) models assess long-term effects of policies on route choices of travelers. To meet stability requirements, current strategic TA models lack modelling of queues. This thesis develops two TA models that include queue modelling whilst satisfying stability requirements along with a method to fuse observed link flows, congestion patterns and -delays. All methods are shown to be applicable in the large-scale strategic application context.","Traffic assignment; strategic transport planning; spatial assumptions; temporal assumptions; behavioral assumptions; fundamental diagram; model capabilities; STAQ; large scale; congested networks; static; semi-dynamic; model; User Equilllibrium; travel demand; matrix estimation; strict capacity constraints; big data; floating car data; ANPR data; Bluetooth data; congestion patterns; route travel times; prior OD matrix; mathematical properties","en","doctoral thesis","","978-90-5584-330-5","","","","","","","","","Transport and Planning","","",""
"uuid:ec3f81c3-1bb4-4724-9f97-fff46e3c1c66","http://resolver.tudelft.nl/uuid:ec3f81c3-1bb4-4724-9f97-fff46e3c1c66","Miniaturization of Process Analytical Technology: from Concept to Reality","Neves Sao Pedro, M. (TU Delft BT/Bioprocess Engineering)","Ottens, M. (promotor); Eppink, M. (promotor); Delft University of Technology (degree granting institution)","2023","Continuous biomanufacturing is considered the future phase for the optimization of production processes in the biopharmaceutical industry. Productivity, product quality and consistency are greatly improved while production costs and environmental footprint are drastically reduced. The manufacturing of monoclonal antibodies (mAbs), an important biopharmaceutical in the treatment of cancers, autoimmune disorders, and, more recently, COVID-19, is eligible for this continuous processing due to patent expiration and the subsequent need to lower manufacturing costs...","Antibody aggregation; Continuous Biomanufacturing; Process Analytical Technology (PAT); Microfluidic Sensor; Fluorescent Dyes","en","doctoral thesis","","978-94-6384-473-4","","","","","","","","","BT/Bioprocess Engineering","","",""
"uuid:02485fcb-1445-4479-9308-518b579e3a6d","http://resolver.tudelft.nl/uuid:02485fcb-1445-4479-9308-518b579e3a6d","Solar resource modelling and shading tolerant modules for the urban environment","Calcabrini, A. (TU Delft Photovoltaic Materials and Devices)","Zeman, M. (promotor); Isabella, O. (promotor); Manganiello, P. (copromotor); Delft University of Technology (degree granting institution)","2023","The deployment of photovoltaic (PV) systems in urban environments has the potential to supply a significant share of the urban energy demand and help us reduce greenhouse emissions in the hope of alleviating the consequences of climate change. Moreover, recent advancements in the integration of PV technology into multi-functional architectural elements, offer the possibility to deploy solar cells almost on every surface of the urban fabric.
In this dissertation, the solar energy potential of the urban environments and approaches for improving the performance of urban PV systems are investigated. The first part of this thesis is focused on computational models to evaluate the solar radiation reaching a PV system in complex geometric environments. The second part, delves into the effects of partial shading on the electrical output of PV systems and proposes strategies to increase the shading tolerance of PV modules....","","en","doctoral thesis","","978-94-6384-492-5","","","","","","","","","Photovoltaic Materials and Devices","","",""
"uuid:2c713a33-8cc6-42d3-8682-7e6872f6d422","http://resolver.tudelft.nl/uuid:2c713a33-8cc6-42d3-8682-7e6872f6d422","Extreme aerated water-wave impacts on floating bodies: The relevance of air content in water on ship design loads","van der Eijk, M. (TU Delft Ship Hydromechanics)","Boersma, B.J. (promotor); Wellens, P.R. (copromotor); Delft University of Technology (degree granting institution)","2023","A deeper understanding of physics is required when the complexity of events increases. A complex event consists of many detailed interacting processes. The complete picture asks for an understanding of each of the processes individually.
Numerical computing in the maritime industry is becoming more relevant due to the increase in usability and relatively low costs compared to experiments. The numerical results allow for analysis at the required level of detail. The complexity of water-wave impacts on offshore structures necessitates innovative numerical approaches because conventional analytical techniques fall short of representing the non-linearity in these events....","","en","doctoral thesis","","978-94-6473-260-3","","","","","","","","","Ship Hydromechanics","","",""
"uuid:bd755894-1386-4490-9ba0-c53aef05fb3f","http://resolver.tudelft.nl/uuid:bd755894-1386-4490-9ba0-c53aef05fb3f","Inelastic Deformation in Metals and Contacts: Comprehensive Treatises on Yielding and Hardening, the Yield Phenomenon and Dissipation","van Dokkum, J.S. (TU Delft Team Erik Offerman)","Sietsma, J. (promotor); Offerman, S.E. (promotor); Bos, C. (copromotor); Delft University of Technology (degree granting institution)","2023","Inelastic deformation is a common but often neglected phenomenon in experimental analysis of metal deformation and in contacts. This neglect leads to degraded measurement accuracy of material properties. Therefore a need arises for material models that a priori incorporate inelasticity. These material models must be simple and comprehensive to have the highest impact in society. This thesis addresses three main sources of inelasticity, namely anelasticity and plasticity in metals and viscosity in contacts. Inelasticity is a dissipative mode of deformation that is mechanically recoverable for anelasticity and viscosity, and irrecoverable for plasticity. We connect the fundamental properties and structures of metallic and soft matter constituents with experimentally accessible measures. The presented models will aid in the development of materials with specific properties that meet the needs of industry.
Chapter 2 presents an analytical model of the tensile test tangent moduli and yield points for single-crystallite metals with spatially uniform and nonuniform dislocation distributions across slip systems. The moduli and the onset of plastic flow show a notable dependence on initial dislocation character, spatial dislocation distribution, and loading direction with respect to crystallographic orientations. An improved methodology accounts for elastic compressibility and anisotropy, and the geometric structure of crystal lattices when one measures dislocation network geometry in single metallic crystallites.
Chapter 3 contains a seamless, unified stress-strain treatment of dislocation-driven deformation. This treatment combines the three deformation mechanisms of elastic bond stretching, stable dislocation glide, and unstable dislocation glide. The model’s yield criterion connects the bowing out of local dislocation links and global dislocation multiplication. A semi-empirical relation is constructed for the evolution of the dislocation network structure with uniaxial loading.
Chapter 4 formulates a macromechanical model of the yield point phenomenon under invariant plane conditions. The heterogeneous stress state across the Lüders front and the plastic flow inside the Lüders band are accounted for. The Lüders band orientation with respect to the tensile direction is not unique; the orientation changes with material properties and tensile specimen geometry by the stress concentration at the front. The model serves to approximate constitutive parameters independent of the test conditions.
Chapter 5 elucidates the interplay between adhesion and roughness by modelling the retraction of rigid, wavy indenters from viscoelastic substrates. Viscoelasticity governs adhesive hysteresis across all loading rates, and even in the presence of roughness-induced mechanical instabilities. This confirms the central role that viscoelasticity must play in experimental measurements in the presence of adhesive interfaces in soft matter contacts.
Chapter 6 examines the static, quasi-static, and dynamic trajectories of a base-excited mass-spring-damper system in the presence of friction. The differences between the dynamic and the quasi-static solution in engineering problems with viscous, static, and dry friction are assessed. The omission of inertial contributions will under-predict dissipation at both low and high excitation frequencies. This chapter is a guide for future (multi-scale) numerical modelling efforts on adhesion and interface friction, and the hysteretic deformation of metals.
Chapter 7 is a general discussion on the impact of inelasticity in metals, that follows from Chapters 2 and 3, the measurement of the yield point phenomenon in Chapter 4, and numerical modelling of dissipative contacts in Chapters 5 and 6. The four models as presented in Chapters 2-6 are readily applicable in experimental measurements and future numerical models. The importance of accounting for inelasticity in experimental measurement and modelling of the yield strength in metals, and adhesive dissipation in soft matter contacts is emphasised. Finally, the state of the art in research on the three main sources of inelasticity and potential applications of the presented models are enumerated, which serve as starting points of future research.","Inelasticity; Anelasticity; Plasticity; Viscoelasticity; Yield; Yield Point Phenomenon; Hysteresis in Contacts; Quasi-Static Solution","en","doctoral thesis","","978-94-6384-479-6","","","","","","2024-10-26","","","Team Erik Offerman","","",""
"uuid:ab63eb8b-a2d9-4d8a-9134-b9af2df1f62b","http://resolver.tudelft.nl/uuid:ab63eb8b-a2d9-4d8a-9134-b9af2df1f62b","Responsible Innovation for Wicked Societal Challenges: An Exploration of Strengths and Limitations","Wiarda, M.J. (TU Delft Economics of Technology and Innovation)","Doorn, N. (promotor); van de Kaa, G. (promotor); Yaghmaei, E. (copromotor); Delft University of Technology (degree granting institution)","2023","Innovators are increasingly called upon to help resolve societal challenges such as pandemics, climate change, and social injustice. The complexity, uncertainty, and contestation associated with such wicked problems require them to leverage approaches that help navigate normative and epistemic considerations for decision-making. A large number of scholars and practitioners believe that the procedural approach of Responsible Innovation could offer this. Responsible Innovation aims to align innovations with societal values and worldviews through forms of anticipation, inclusion, reflexivity, and responsiveness. Early anticipatory and reflexive deliberations subsequently provide an understanding of what decisions and outcomes are deemed ethically acceptable in light of uncertainty. This dissertation explores the usefulness of some approaches applied by Responsible Innovation in tackling wicked problems. It suggests that Responsible Innovation paradoxically fosters collaborations while also revealing contestation, and that innovators will need to leverage boundary objects and combine complementary approaches to deal with the (multi-scalar) conflict that is attributed to societal challenges.","","en","doctoral thesis","","978-94-6366-747-0","","","","","","","","","Economics of Technology and Innovation","","",""
"uuid:bbf1eb72-9cc7-4e64-adf0-d869954c1750","http://resolver.tudelft.nl/uuid:bbf1eb72-9cc7-4e64-adf0-d869954c1750","Poison to Products: On harnessing the power of microorganisms to convert waste streams into new chemicals","Allaart, M.T. (TU Delft BT/Environmental Biotechnology)","Kleerebezem, R. (promotor); Sousa, Diana (promotor); Delft University of Technology (degree granting institution)","2023","One of the main challenges society currently deals with is the depletion of fossil fuels. To navigate this issue, we must embrace the concept of circularity and turn waste into a resource. Waste streams are omnifarious and their conversion into new chemical building blocks is not always trivial. Luckily, we can take a look at nature’s problem solving skills to help us out. Because nature, in due time, always finds a solution and there is a (micro)organism for everything.
But.. we can also give nature a hand by simplifying the problem. The diversity and complexity of waste streams can be reduced by using gasification, where the waste is combusted at a high temperature with small amounts of oxygen. This yields syngas, a mixture consisting of mainly carbon monoxide, carbon dioxide and hydrogen gas. Syngas can be converted chemically into i.e. ethanol, but the success of this process highly depends on the ratios of CO, CO2 and H2 and the absence of impurities in the gas. Microorganisms can deal with much more variability, making them a promising biocatalyst for the conversion of syngas to chemical building blocks. Yet, we have to understand the microorganisms to be able to work together with them in combatting climate change. The work in this thesis is aimed at increasing our understanding of two specific types of microorganisms that can help us to turn waste into new chemicals: syngas fermenting bacteria and chain elongating bacteria. Together, they can form a team that turns a C1 molecule (carbon monoxide) all the way into a C6 molecule (hexanoate). To make the team as effective as possible, we studied both team members in detail. The syngas fermenting bacterium we studied goes by the name Clostridium autoethanogenum, and is already being used at industrial scale by the company LanzaTech. For its chain-elongating counterpart, however, we used a mixed community of microorganisms that was specifically selected to perform chain elongation. We used this mixed community because the single, optimal partner for C. autoethanogenum has yet to be found.
It has been established previously, by other researchers, that producing a lot of hexanoate is easiest when you feed chain elongating organisms a substrate with a high ethanol-to-acetate ratio. C. autoethanogenum naturally produces ethanol and acetate, but usually in a low ethanol-to-acetate ratio. In Chapter 2 we use a theoretical framework based on thermodynamics, as well as data from literature to understand what triggers C. autoethanogenum to make ethanol. We found that acetate conversion into ethanol is a stress response used to deal with a (too) high load of CO, which can be classified as overflow metabolism. We show that this behavior not only takes place when feeding CO alone, but also in the presence of both CO and H2, underlining its relevance in syngas fermentation processes. The stress response can be induced by tuning the operational parameters of the bioreactor, such as the CO supply rate or the growth rate.
In Chapter 3 we quantify this effect in the laboratory ourselves. We use a steady-state culture of C. autoethanogenum in a chemostat bioreactor and repeatedly disturb it for periods of one hour with increasing amounts of CO in the inlet gas, up to a CO partial pressure of 1.2 atm. We see that ethanol production increases with increasing CO partial pressures, and at a pCO of 0.6 atm or higher external acetate is even consumed to sustain higher ethanol production rates. This proves that the product spectrum of syngas fermentation can be directed by changing the operational conditions. Furthemore, the experimental method that we used allowed for the identification of the CO uptake rate at each CO partial pressure, directly via the off-gas measurements. We observed biomass-specific CO uptake rates of up to –119 ± 1 mmol·gx−1·h−1, which is much higher than has previously been reported for this organism. The biomass-specific uptake rate is instrumental for obtaining an accurate mathematical description (or: kinetic model) of this microorganism, which in turn allows for more accurate bioprocess design.
Chapter 4 focusses on the chain-elongating counterpart of our syngas fermenter. C. autoethanogenum prefers to grow at a pH of 5 –5.5, and most chain elongators that have been described in literature rather grow at neutral pH (± 7.0). This chapter revolves around this discrepancy. By using enrichment cultures in a sequencing batch bioreactor, we select for chain elongating microorganisms both at pH 7.0 and pH 5.5. In doing so, we establish that chain elongators can live at pH 5.5 and that a very comparable microbial community (on genus-level) develops at both pH. However, the behavior in the bioreactors was not the same. At lower pH, a significantly smaller fraction of the supplied ethanol was converted to hexanoate. Instead, more of the C4 molecule butyrate was produced, likely because it is less toxic to the microorganisms than hexanoate. This means that pH is an important parameter to control the product spectrum of chain elongation and that establishing an effective microbial team for C1-to-C6 conversion likely requires more than finding microbes with the same preferred pH.
In Chapter 5 we delve into the biochemistry of chain elongating microbes. They are known to be very flexible in their metabolism, and they can deal with a wide range of ethanol-to-acetate ratios. Theoretically, this ratio could even be infinite (i.e. feeding only ethanol), which would lead to the production of only hexanoate and no butyrate. We call this ethanol-only chain elongation. This is interesting from a fundamental as well as a process design perspective. Therefore, we test whether it is also possible in practice by using well-monitored batch experiments in bioreactors. We use different initial conditions: only ethanol, ethanol and a small amount of acetate and ethanol and a small amount of butyrate. We observe in the bioreactors that ethanol-only chain elongation is possible, but that it proceeds very slowly. Beside that, the microorganisms prefer the presence of either acetate or butyrate so much that they eventually start producing these compounds from ethanol themselves when they are not available. This behavior has never been observed before, nor was it regarded as possible.
In Chapter 6 we present a dataset of well-controlled bioreactor experiments in 9 different initial conditions, including the experiments described in the previous chapter. This dataset can be used to refine the current mathematical description of chain-elongating microbes. We describe the initial analysis of this dataset and how we assure its quality and usability for kinetic modelling using data reconciliation. With this reconciled dataset we test the accuracy of the currently available kinetic model. From this overall analysis we set out the next steps for the formulation of a more accurate kinetic model of chain elongating microbes in the future.
Chapter 7 recapitulates the significant findings from this thesis, but more importantly provides a list of questions that still remain to be answered. These questions are grouped around three different themes to provide some structure: the inner world of microorganisms, the interactions between (communities of different) microorganisms and the design of efficient (new) bioprocesses for a more sustainable world. To conclude, I reflect on the societal role of a scientist.
Assessing microbial health risks related to floodwater is a complex undertaking, hindered by factors like the intricate nature of the microorganisms involved and the susceptibility of those exposed to them. This research contributes a framework and application that combines health risk assessment, disease burden calculation, and hydrodynamic modeling to estimate adverse health consequences of microbial pathogens in floodwater through traffic activities which is a common factor of exposure during floods. The case study is Ninh Kieu District (Can Tho City, Vietnam) located on the western side of Hau River, a Mekong tributary. The study focuses on the health risk and disease burden due to rotavirus A in floodwater in Ninh Kieu through traffic activities, especially for motorcyclist. This research is one of the first to consider the input parameter concentrations and the number of exposed people to reduce the health impact of flood risk. It reveals that mitigation measures should not only focus on reducing urban floods but also on raising awareness of the local people of microbial health risks in floodwater. The disease burden is considered the prime variable of the health indicator to represent the social dimension in the assessment of flood vulnerability.","","en","doctoral thesis","","978-90-73445-54-3","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:bdc47b1e-68dd-40a9-ad36-abe8537e9f90","http://resolver.tudelft.nl/uuid:bdc47b1e-68dd-40a9-ad36-abe8537e9f90","Structure and supramolecular assembly in multi-component organogels","Ghanbari, E. (TU Delft ChemE/Advanced Soft Matter)","van Esch, J.H. (promotor); Picken, S.J. (copromotor); Delft University of Technology (degree granting institution)","2023","This thesis reports on the “structure and supramolecular assembly in multi-component organogels”. It guides readers how the aim of this research has been achieved by division of the main question into subgoals in different chapters. This introduction chapter gives a brief overview on the research theme, it is followed by the second chapter extracted from our literature review on “From molecular assembly to gel formation: what is going on behind the scenes of supramolecular gel formation”. This tutorial review discusses three different assembly mechanisms in molecular gels namely: supramolecular polymerization, crystallization, and spinodal decomposition. The second chapter of this thesis is based on the section on the crystallization mechanism from the larger tutorial review paper, since crystallization is found to be the dominant mechanism of gel formation in bisamide systems throughout our research. It provides a general background on molecular gels followed by how crystallization can lead to the order in the gel network. The third chapter elaborates the study of single bisamide gelators in the solid state. It aims at understanding how odd-even spacer length in the chemical structure affects the complementarity of hydrogen bonding which determines the molecular structure and gelator properties. The fourth chapter describes the supramolecular arrangement and rheological properties of single bisamide gels. In the fifth chapter of this thesis, we explain how we developed and validated the DSCN(T) analytical model. This model empowered our research toolkit to quantitatively analyze the experimental data obtained from DSC. This reliable analysis enabled us to understand the phase behavior of bisamide molecules in the solid state (chapter 3), gel state (chapter 4), and binary systems (both solid and gel state in the subsequent chapter). The last chapter (chapter 6) focuses on the ultimate goal of this thesis: to develop design rules to control the supramolecular assembly pattern in the solid and gel state of multi-component systems. In the course of this phase of research, we made an attempt to understand how compound formation/ co-assembly and phase separation/ self-sorting impact the rheological properties of bisamide gels. The summary of this scientific journey is provided at the end of this thesis.","","en","doctoral thesis","","","","","","","","2023-10-24","","","ChemE/Advanced Soft Matter","","",""
"uuid:1bd92bed-2d18-45fa-8b1c-cc40f6d7f4ae","http://resolver.tudelft.nl/uuid:1bd92bed-2d18-45fa-8b1c-cc40f6d7f4ae","The Potential of Anaerobic Digestion combined with Dissolved Air Flotation (AD-DAF) for Wastewater Treatment","Piaggio, A.L. (TU Delft Sanitary Engineering)","de Kreuk, M.K. (promotor); Lindeboom, R.E.F. (copromotor); Delft University of Technology (degree granting institution)","2023","In the context of a worldwide scenario characterized by a progressively expanding human population, the combining effects of climate change, escalating water stress, and the degradation of freshwater resources, water reclamation has emerged as a viable solution to alleviate the critical issue of water scarcity. Several streams around the world are subjected to a wide range of pollutants concentration and water-born pathogens, like antibiotic-resistant bacteria (ARB), due to human activity. The latter can be considered as a global emerging threat, due to its potential to deteriorate the human health system. Thus, adequate treatment of these polluted streams is needed to overcome water scarcity. While anaerobic membrane bioreactors (AnMBR) systems are a promising anaerobic digestion (AD) technology to treat municipal and concentrated wastewater, the application of membranes to separate solids from the bioreactor broth also has considerable constraints. An alternative physical separation method could be used to overcome the AnMBR limitations. Replacing the membrane unit of an AnMBR with a dissolved air flotation (DAF) system, and returning the flotation layer to the anaerobic reactor, may ensure high total suspended solids (TSS) retention while overcoming the membrane limitations. However, the oxygen-saturated flotation layer and the overall introduction of oxygen into the reactor through the DAF may negatively impact the anaerobic conversion process. This dissertation investigates the potential to use an AD coupled with a DAF system (AD-DAF) as a pre-treatment technology, specifically for the treatment of drain- and wastewater that mimics the ever-changing conditions of the Barapullah drain in New Delhi. Since testing an AD-DAF system on a laboratory-scale is not practically feasible, due to the constraints in downscaling a DAF unit, the implications of coupling these two technologies were assessed in two different systems: a column bench-scale DAF unit, and a lab-scale micro-aerated anaerobic membrane bioreactor (MA-AnMBR). To begin with, a data-driven experimental DAF model was developed to predict TSS removal. Input values for the experimental model were particle and bubble characteristics. The experimental model outcomes were verified in a bench-scale column DAF and two full-scale DAF systems. Results showed a predicted TSS removal aligned with the measured one of Delft canal water, anaerobic sludge, and DAF2 influents, 68 ± 1% vs. 66-96%, 77 ± 3% vs. 68-92%, and 98 ± 1% vs. 96± 1%, respectively.Afterwards, the bench-scale DAF was used to investigate the removal of suspended solids under four different influent conditions and seven DAF independent control variables (influent TSS, pH, temperature, DAF particles residence time, white water pressure, coagulants and flocculants concentration and mixing time). The influents simulated the Barapullah drain conditions under 1) dry and 2) monsoon times, and 3) close or 4) far from the pollution source. The results obtained indicated that TSS removal efficiency on the bench-scale DAF unit could mimic a full-scale system and that a DAF can remove over 90% of TSS for the four different tested influents. On the other hand, the effect of the performance variables altered depending on the influent type, with pressure showing a positive influence on the separation efficiency.Secondly, to assess the effect of coupling the DAF system with AD, a lab-scale AnMBR system was subjected to an oxygen load similar to the one used on a DAF unit. The effects of the oxygen load were compared to a fully anaerobic system, and the MA-AnMBR performance was assessed, for removal of organic matter, biogas production, nutrient concentration, operation and maintenance, and removal of two antibiotics sulfamethoxazole, SMX, and trimethoprim, TMP). Results showed a slight significant increase in COD removal, from 98.2 to 98.5%, and an increase of 35% in the ammonium concentration in the MA-AnMBR permeate, which indicated improved hydrolysis. Furthermore, biogas production decreased by 27%, but methane concentration on both MA-AnMBR and AnMBR was high (85%). Micro-aeration of the AnMBR had no negative effect in the removal of the tested antibiotics, which have a preferred anaerobic degradation pathway. TMP was rapidly adsorbed onto the sludge biomass and then degraded due to the long solids’ retention time (27 days). SMX adsorption was minimal, but the system hydraulic retention time of 2.6 days allowed its biodegradation. The addition of SMX and TMP led to an increase in the relative abundance of all studied anti-microbial resistant genes (ARGs) ( sul1, sul2, and dfrA1) and one mobile genetic element (intI1) in the MA-AnMBR sludge. Furthermore, the presence of antibiotic-resistant bacteria and antibiotic-resistance genes in the reactor permeate indicated that further treatment was needed. The outcomes obtained in this dissertation showed that an AD-DAF system has the potential to effectively remove total suspended solids under different influent conditions, and that the added oxygen load could improve hydrolysis with minimal impacts on the anaerobic conversion processes.","","en","doctoral thesis","","978-94-6366-751-7","","","","","","","","","Sanitary Engineering","","",""
"uuid:a2e6b6ca-c4c1-4993-8254-991506ad6cd8","http://resolver.tudelft.nl/uuid:a2e6b6ca-c4c1-4993-8254-991506ad6cd8","Characterization and Mitigation of Speckle Noise in Laser Doppler Vibrometer on Moving Platforms (LDVom)","Jin, J. (TU Delft Railway Engineering)","Li, Z. (promotor); Dollevoet, R.P.B.J. (promotor); Delft University of Technology (degree granting institution)","2023","Laser Doppler vibrometer (LDV) is a vibration-detecting instrument for noncontact and non-destructive measurement. It is superior to classic contact transducers in terms of the wide frequency range and high measurement resolution. LDV on moving platforms (LDVom) is one of the LDV measurement technology to one-way scan the vibrating surface, so that it is applicable in large-scale measurement like railway tracks. Speckle noise is a significant signal issue for LDV technologies, especially for LDVom. It distorts the local vibration signal dramatically and reduces the overall signal-to-noise ratio to a quite low level. The one-way scanning nature of LDVom makes it impossible to simply average the signals for noise removal. In view of the speckle noise issue of LDVom, the goal of this dissertation is to acquire new understanding of the problem and proposed there upon-based de-speckling solutions. Three aspects are investigated to achieve the research goal: 1) numerical simulation of speckle noise and characterization of noise behaviors. It can provide insight into behaviour changes of speckle noise in response to some variables and possible tools for minimizing noise strength; 2) the theoretical Fourier spectrum of speckle noise series. The resulted frequency domain characteristics can help design the de-noise signal filter accordingly; 3) development of classic approach-based and newly designed de-speckling algorithms...","Laser Doppler vibrometer; speckle noise; signal processing","en","doctoral thesis","","","","","","","","2024-10-24","","","Railway Engineering","","",""
"uuid:97f000f7-1176-410f-8213-2bd67ce7406f","http://resolver.tudelft.nl/uuid:97f000f7-1176-410f-8213-2bd67ce7406f","Down the nanoparticle hole: 103Pd:Pd/Fe-oxide theranostic agents for image-assisted thermo-brachytherapy as alternative cancer treatment","Maier, A. (TU Delft BT/Biocatalysis)","Djanashvili, K. (promotor); Denkova, A.G. (promotor); Delft University of Technology (degree granting institution)","2023","Cancer is one of the leading causes of death worldwide and the number of cases is expected to keep increasing in the next years. Even though nowadays most employed cancer treatments in clinical practice (surgery, chemo-, and radiotherapy) are effective, they are still associated with multiple limitations and side effects. The main pitfall stays in their non-specificity to tumour cells, which leads to affecting healthy tissues. Therefore, alternative treatments able to overcome the oncologic challenges of the current treatment regimens by specifically treating only the cancer cells, be minimally invasive, and limit short and long-term side effects are highly needed. As the number of patients diagnosed with cancer in incipient stages is constantly increasing, such alternative treatments are currently even more attractive. Due to the advances in nanotechnology, cancer nanomedicine is a fast-advancing field, employing nanoparticles to both diagnose and deliver therapy of cancer, namely, nanotheranostics. Nanobrachytherapy is the brachytherapy treatment delivered via injection of radioactive nanoparticles into the tumour. The great advantage is that nanobrachytherapy retains the characteristics of brachytherapy, such as precise and targeted dose delivery, while allowing a less invasive administration and a more uniform dose distribution in the tumour. However, the radio-resistance exhibited by the tumour cells can hinder the success of nanobrachytherapy, but the synergetic combination of cell damaging agents, as well as radioactivity and heating, is wellknown. Thermal treatments, such as hyperthermia, offer a hyperthermic radiosensitization making the tumour more susceptible to irradiation, while thermal ablation can serve as surgery replacement. Furthermore, thermal treatments can be delivered by injection of colloidal suspensions of magnetic nanoparticles (MNPs) in tumours and heating them via exposure to an externally applied alternating magnetic field. An additional advantage of such magnetic nanoparticles is their ability to ensure visualization via magnetic resonance imaging (MRI), a non-invasive technique, helpful in monitoring the treatment effects. This thesis aims to develop a nanotheranostic agent, able to deliver therapeutic effects via radiation and heating, with additional imaging via magnetic resonance imaging. We envision the nanotheranostic as a core-shell hybrid nanoparticle in the form of 103Pd:Pd/Fe-oxide. The palladium core is radiolabelled with 103Pd radioisotope, responsible for the required radiation dose, whereas the iron oxide coating ensures hyperthermia/thermal ablation and imaging…","","en","doctoral thesis","","978-94-6384-489-5","","","","","","","","","BT/Biocatalysis","","",""
"uuid:ad7c33be-979f-4e27-8edd-c2eb193389ae","http://resolver.tudelft.nl/uuid:ad7c33be-979f-4e27-8edd-c2eb193389ae","Predicting and preventing in-plane shear induced fiber angle deviations during automated handling of non-crimp fabrics","de Zeeuw, C.M. (TU Delft Delft Aerospace Structures and Materials Laboratory)","Benedictus, R. (promotor); Peeters, D.M.J. (copromotor); Bergsma, O.K. (copromotor); Delft University of Technology (degree granting institution)","2023","Over the years the use of composites as an aircraft structural material has significantly increased. Currently, the industry still relies largely onmanually manufactured components. Automated manufacturing can however bring advantages such as reduced manufacturing costs and amore consistent and higher quality end product. An attractive automated option for the handling of reinforcements is the pick and place process, which involves the picking up, moving and placing down of objects. The pick and place process makes it possible to place layers of reinforcement as a whole and brings opportunities for the handling ofmultiple layers and/or large layers of reinforcement. Literature shows countless different strategies to execute a pick-and-place operation, with research typically focusing on developing more highly specialized concepts. This generally involves demonstrating the feasibility of the concept but does not include reporting on the accuracy. Not taking the accuracy of the pick-and-place process and the quality of the reinforcement during handling into account might result in inconsistent or substandard final products.","","en","doctoral thesis","","","","","","","","","","","Delft Aerospace Structures and Materials Laboratory","","",""
"uuid:58a8de05-9b30-4009-93bb-1b671eed3bee","http://resolver.tudelft.nl/uuid:58a8de05-9b30-4009-93bb-1b671eed3bee","Prediction of Particulate Fouling in Reverse Osmosis Systems: MFI-UF Method Development and Application","Abunada, M.B.M. (TU Delft Sanitary Engineering)","Kennedy, M.D. (promotor); Dhakal, Nirajan (promotor); Delft University of Technology (degree granting institution); IHE Delft Institute for Water Education (degree granting institution)","2023","The application of reverse osmosis (RO) membranes in water treatment has rapidly grown over the last few decades thanks to the continuous advancements in both design and operation. However, RO membrane fouling still remains a key challenge. Fouling can cause a decline in membrane permeability, which requires higher operational energy and more frequent membrane cleaning/replacement to maintain stable water production, which eventually results in increased O&M costs. Particulate fouling, due to the deposition of particles and colloids onto RO membranes, is one of the types of fouling persistently experienced in RO systems. Therefore, there is a real need for a reliable method to predict particulate fouling in order to effectively monitor and control the performance of RO systems....","","en","doctoral thesis","","978-90-73445-55-0","","","","","","2024-04-18","","","Sanitary Engineering","","",""
"uuid:b0adc65b-301a-49dc-aac5-03f3c55f7f2a","http://resolver.tudelft.nl/uuid:b0adc65b-301a-49dc-aac5-03f3c55f7f2a","On the real-world security of cryptographic primitives: From theory to practice","Najm, Z. (TU Delft Cyber Security)","Hartel, P.H. (promotor); Picek, S. (copromotor); Delft University of Technology (degree granting institution)","2023","","Cyber Security; Information Security; Side Channel Attack; Cryptography; Implementations","en","doctoral thesis","","978-94-6384-497-0","","","","","","","","","Cyber Security","","",""
"uuid:1b163f58-5570-4915-8999-8f5dd644ed7b","http://resolver.tudelft.nl/uuid:1b163f58-5570-4915-8999-8f5dd644ed7b","Modeling and characterization of non-ideal compressible flows in unconventional turbines","Tosto, F. (TU Delft Flight Performance and Propulsion)","Colonna, Piero (promotor); Pini, M. (copromotor); Delft University of Technology (degree granting institution)","2023","The vast majority of energy conversion systems currently makes use of fossil fuels, whose combustion generates harmful greenhouse gases. Transitioning to renewable energy sources is thus paramount to limiting the environmental impact of human activities on the climate. In this regard, the harvesting of wasted thermal energy constitutes a promising strategy to increase the efficiency of industrial processes and mobile engines. For instance, technologies such as organic Rankine cycle (ORC) systems enable the energy discarded during the conversion processes to the atmosphere to be repurposed and generate CO2-neutral electricity or additional mechanical work.
The efficiency of such systems is subordinate to that of each of the components, among which, is the turbine. Designing more efficient ORC turbines inherently leads to a higher thermodynamic cycle efficiency. However, these turbines operate with complex organic compounds, and part of the expansion process often occurs in the dense vapor state, where the thermodynamic properties exhibit significant deviations from the variations predicted by the ideal gas law. As a consequence, available guidelines for the design of turbomachinery operating with air or steam cannot be used, as they would lead to incorrect sizing and wrong performance estimations. The development of generalized guidelines for turbine design is possible only through a thorough investigation of the internal non-ideal compressible flow inside the vane passage, and by accurately discerning all the possible loss sources.
The research outlined in this thesis aims at characterizing non-ideal compressible internal flows of dense vapors and developing new guidelines for the design of unconventional turbines operating with organic fluids, such as those operating in organic Rankine cycle power systems.
The influence of both the complexity of the fluid molecules and the thermodynamic state on the flow field is evaluated for some paradigmatic one-dimensional flow configurations. For these processes, loss mechanisms and relevant trends in flow variables are both qualitatively and quantitatively estimated. Moreover, a detailed analysis of the viscous dissipation in turbulent wall-bounded flows of dense vapor is performed by resorting to direct numerical simulations (DNS). Results are compared against those from an in-house reduced-order model (ROM) code solving the two-dimensional boundary layer equations.
The combined effects of the working fluid, its thermodynamic state, and the flow compressibility on the flow deviation downstream of turbine cascades are then investigated by means of Reynolds Averaged Navier-Stokes (RANS) calculations on a representative geometry. The results obtained from the simulations are compared against those estimated with reduced-order physical models. Finally, an investigation of the influence of both compressibility and fluid molecular complexity on the optimal solidity of axial turbines is performed using RANS calculations. New design guidelines for the selection of optimal solidity in the preliminary design of non-conventional turbomachinery are proposed and discussed.
Results show that turbines operating with compounds characterized by a high complexity of the molecular structure are arguably subjected to higher losses in the mixing region, as well as exhibiting larger viscous dissipation at a given Reynolds number. Moreover, the fluid strongly affects the operational range of the turbine, as well as its design.","dense vapor; organic fluid; turbine; loss breakdown; boundary layer; solidity; CFD; direct numerical simulation","en","doctoral thesis","","978-94-6419-941-3","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:05fe4340-31bb-4c24-a827-69189aa2622b","http://resolver.tudelft.nl/uuid:05fe4340-31bb-4c24-a827-69189aa2622b","Towards Artificial Social Intelligence in the Wild: Sensing, Synthesizing, Modeling, and Perceiving Nonverbal Social Human Behavior","Raman, C.A. (TU Delft Pattern Recognition and Bioinformatics)","Reinders, M.J.T. (promotor); Loog, M. (promotor); Hung, H.S. (promotor); Delft University of Technology (degree granting institution)","2023","Over the last three decades, the social roots of human intelligence have come to influence the development of artificial intelligence (AI). Researchers in AI have moved beyond agents operating in isolation towards developing socially situated agents that can operate in the real world. Meanwhile, researchers in the social sciences have been leveraging AI techniques to analyze and theorize about social phenomena. Both these research endeavors came to be independently termed Artificial Social Intelligence (ASI), leading to the emergence of a field spanning several subdisciplines of the social and computational sciences.
This Thesis takes a holistic view of ASI and makes contributions toward both its historical goals. Moreover, the work presented here focuses on taking ASI research into natural real-world settings in the wild. The research is organized under three themes: acquiring, modeling, and perceiving social human behavior.
The Thesis begins by addressing the challenge of data acquisition. We propose a replicable data collection concept for curating datasets of real-world social human behavior, incorporating technical innovations and ethical considerations required for the noninvasive sensing of multimodal behavioral streams. To overcome the limited availability of real-world data, we also explore the potential of synthetic training data for downstream tasks.
Next, we tackle the challenge of modeling real-world social behavioral cues. Evidence from social psychology suggests that individuals uniquely adapt their behaviors to different conversation partners to sustain interactions. How can we jointly forecast these mutually dependent future cues of conversation partners? We propose a stochastic meta-learning method that adapts its forecasts to the unique dynamics of a conversation group given example behavior sequences. Thereby, it generalizes to unseen groups in a data-efficient manner by avoiding the need for group-specific models. Further, to facilitate the integration of data-driven and hypothesis-driven research, we propose a post hoc explanation framework for identifying timesteps that are salient to a forecasting model's predictions.
Finally, we contribute to a nuanced perception of social interactions by establishing evidence of multiple conversation floors within a single conversing group, in contrast to the prevailing implicit assumption in the automatic detection of conversation groups. We also develop an instrument for measuring the perceived quality of conversations at the individual and group levels.
Through these research themes, we provide novel contributions to the field of ASI, taking important steps toward the development of socially intelligent machines that can operate effectively in complex real-world settings.","","en","doctoral thesis","","978-94-93330-33-7","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:74dd005a-cef5-4427-84c6-123cf31b5b18","http://resolver.tudelft.nl/uuid:74dd005a-cef5-4427-84c6-123cf31b5b18","Mechanics and thermodynamics of suspended two-dimensional membranes","Liu, Hanqing (TU Delft Dynamics of Micro and Nano Systems)","Steeneken, P.G. (promotor); Verbiest, G.J. (copromotor); Delft University of Technology (degree granting institution)","2023","This thesis provides a comprehensive research of both the mechanics and thermodynamics of suspended two-dimensional (2D) membranes, such as tunable mechanical resonance, membrane deformation, heat transport, phonon scattering, and energy dissipation. These characteristics make nanomechanical resonators, made of a suspended 2D membrane, promising candidates for both fundamental studies and engineering applications. This thesis is composed of eight chapters in total.","Two-dimensional materials; nanomechanics; thermal transport; acoustic phonons; tunability","en","doctoral thesis","","978-94-6469-648-6","","","","","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:c18b3f07-1bbc-4ef1-9f6d-cc116d8b4cb4","http://resolver.tudelft.nl/uuid:c18b3f07-1bbc-4ef1-9f6d-cc116d8b4cb4","Data-driven Methods to Study Individual Choice Behaviour: with Applications to Discrete Choice Experiments and Participatory Value Evaluation Experiments","Hernández, J.I. (TU Delft Transport and Logistics)","Mouter, N. (promotor); van Cranenburgh, S. (promotor); Delft University of Technology (degree granting institution)","2023","Since its origins in the 1970s, choice modelling has become an important field of study in diverse areas, including transportation, health economics, environmental economics and marketing. Choice modellers have developed several methods to collect and model individual choices. Researchers and policymakers use such methods to understand individual preferences in diverse contexts, derive economic values or predict behaviour.
Over the years, the field of choice modelling has been developed in two key areas. Firstly, choice modellers have developed new data collection tools to account for more realistic forms of decision-making. While discrete choice experiments (DCEs) are still popular and highly customisable, they force respondents to choose among mutually-exclusive alternatives, which may not reflect how individuals choose in real life. In response, new SC experiments have been proposed to incorporate more realistic forms of decision-making, such as Participatory Value Evaluation (PVE). In a PVE experiment, respondents select a combination of alternatives without surpassing resource constraints. Secondly, while theory-driven models based on utility theory, e.g., random utility maximisation (RUM) or Kuhn-Tucker, are still the norm to model choice behaviour, there is a broader recognition that individual' behaviour is ultimately unknown from the analyst perspective, data-driven methods can help to uncover such behaviour.
Despite the latter, to the author’s knowledge, three methodological and practical challenges are still unresolved in the literature. Firstly, no research has been done to explore the potential of data-driven methods to analyse data from SC experiments outside DCEs, and in particular for PVE experiments, either as complements to improve the specification of choice models or as standalone data analysis methods. Secondly, while data-driven methods for discrete choices (and DCEs) are available in the literature, such methods either sacrifice their flexibility to learn from the data to satisfy consistency assumptions or vice versa. Thus, a method that balances flexibility and consistency assumptions is lacking. Thirdly, there is a lack of software tools to estimate and compare data-driven methods easily and conveniently, hindering their widespread use.
Considering these challenges, this thesis further investigates how data-driven methods can be used for analysing individual choice behaviour from SC experiments, either to complement theory-driven choice models or alternatives to theory-driven choice models; and to develop methodological tools for such purposes, i.e., new models and software. This thesis scopes its research to two specific SC experiments: PVE and DCEs.
To reach the goals of this thesis, five novel studies are proposed. The first study (Chapter 2) introduces the reader to how PVE experiments are conducted in real-life and how they are conventionally analysed with theory-driven choice models. The second study (Chapter 3) proposes three procedures based on association rules (AR) learning and random forests (RF) to assist the specification and test the validity of the assumptions of theory-driven choice models for PVE experiments. The third study (Chapter 4) shows how XGBoost and SHAP -a machine learning model and explainable artificial intelligence method, respectively- can be used to analyse PVE experiments data as an alternative to theory-driven analysis. The fourth study (Chapter 5) proposes a new discrete choice model based on artificial neural networks that balances flexibility to learn utility functions from the data while satisfying consistency with RUM and economic theory. The fifth study (Chapter 6) introduces NP4VTT, a new software tool that provides five nonparametric models to uncover the VTT distribution from two-attribute-two-alternative DCEs. Together, these studies provide further evidence that supports the use of data-driven methods to analyse individual choice behaviour and specific methodological tools were provided for such purposes.
This thesis concludes by highlighting that while the primary research goal and sub-goals were achieved, the relevance of the findings and conclusions of this thesis shall be put into perspective. Firstly, using data-driven methods, either to assist choice models or as an alternative to them, lead to “moderate-to-modest” model fit improvements. Consequently, researchers or policymakers interested in using the methods proposed in this thesis for prediction should not expect considerable differences compared with conventional choice models. Secondly, the methods proposed in this thesis provide a considerable number of new insights of behavioural interest. Choice modellers could benefit from thesis insights to contrast or further assist the development of choice models, while policymakers have a wide range of new information for targeting decisions to specific policies or individuals. However, researchers should consider how to synthesise all these new insights effectively. Thirdly, this thesis made efforts to make more data-driven methods available by, for instance, publishing the studies in open-access journals and, when possible, making code and data publicly available for the general public. Nevertheless, there are still conceptual challenges to make these methods more amicable to researchers accustomed to the concepts and structure of the choice modelling community. As a final reflection, while having the potential to help choice modellers to increase their understanding of individual choice behaviour, data-driven methods still require more development (and being easily accessible) to serve as a real alternative to choice models.
A key challenge in doing so is AI systems' behaviour-use interdependence (i.e., the behaviour of a system is related to the manner, in which it is used) – a topic underrepresented in extant literature. We set out to explore this issue, guided by the central research question: ""How can we design a theoretical model that facilitates early simulation of AI systems' behaviour-use interdependence using Design theories?”.
This dissertation presents both theoretical and empirical investigations into the development of such a model. These lay the foundation for what we term the Theoretical Model for Prototyping AI or PAI model. The PAI model is defined by relationships among abduction, induction, and deduction, which offer a means to support the early simulation of the behaviour-use interdependence. Finally, the devising of the PAI model allows us to shed light into how Design theories could contribute to the design of better AI systems. It also allows us to extend these theories and identify potential future directions for the field of Design.
Nevertheless, as the number of microsystems within a biomedical device escalates, a pressing need emerges to interconnect these independent microsystems using an approach that meets the constraints imposed per each particular context. Wire bonding, for instance, is one of the most widely known and used methods to establish electrical connections between chips and packages. However, wire-bonded microsystems may be inadequate to fit in applications confined by the available physical space and whereby aspects such as reliability and biocompatibility are paramount. Specifically deserving attention is the increased footprint and the introduction of protrusions that may jeopardize an effective interface of biomedical devices with biological systems. Therefore, it becomes essential to devise seamless connections between these microsystems for enhanced robustness, electrical performance, compactness, and improved physical conformability to biological structures.
This doctoral research was driven by the increasing demand for microsystem integration alternatives in the biomedical field and the need to develop advanced biomedical devices with improved functionality and performance. Monolithic fabrication was the principal method of establishing a seamless integration between distinct microsystems: integrated circuits—essential for the signal conditioning of transducers—and micro-electromechanical systems—excellent for implementing functionalities at the microscale via precise micromachining delicate structures on high-quality materials. Two novel biomedical devices were devised to achieve this objective: an organ-on- a-chip system for cell-culture experimentation equipped with an analog-compatible, cost-effective, BiCMOS-based temperature sensor and a stretchable polydimethyl-siloxane membrane; and an artifact-resilient optrode optimized for ultralow-noise measurements of infraslow brain activity. The latter benefited from dual-gate, low-noise, p-channel JFETs based on a BiFET technology and deep reactive ion etching on a silicon-on-insulator wafer for micromachining nonrectilinear features on the probe— essential for creating application-oriented solutions that interface better with biological structures.
Both devices were designed based on a unique awareness-oriented co-design methodology that aids the device architect in undertaking design decisions of various process-related hurdles entailing co-fabrication. This methodology, namely “holistic iterative co-design thinking”, offers an iterative co-design process that facilitates the early identification of integration obstacles related to the manufacturing process. One of the key procedures in this methodology refers to functionally decomposing a multidimensional complex design problem into a set of individual one-dimensional problems that are less complex to solve. As a result, the (co)-design is iteratively readjusted, significantly saving time and resources.
This dissertation also takes a new standpoint into the existing monolithic fabrication modalities, proposes a new taxonomy, clarifies terminologies, and addresses a novel co-fabrication technique: IC-interlaced-MEMS, employed for cost- effectively co-fabricating the organ-on-a-chip system described in Chapter 4. The IC-interlaced-MEMS is similar to its “sibling” IC-interleaved-MEMS. The distinction lies primarily in their degree of process orthogonality. While the IC-interleaved-MEMS benefits from fully orthogonalizing process steps between the IC and MEMS domains, the IC-interlaced-MEMS trades orthogonality for process simplification and enhanced lithographic pipeline workflow. These benefits promise to leverage the construction of next-generation biomedical devices that interact with biological systems via specialized, large-area transducers.","monolithic fabrication; microsystem integration; integrated circuits; micro-electromechanical systems; organs-on-a-chip; optrodes; holistic co-design methodology","en","doctoral thesis","","","","","","","","","","","Bio-Electronics","","",""
"uuid:c4145035-8c63-4a84-b367-695d0c63f76f","http://resolver.tudelft.nl/uuid:c4145035-8c63-4a84-b367-695d0c63f76f","Impact Assessment of Train-Centric Rail Signalling Technologies","Aoun, J. (TU Delft Transport and Planning)","Goverde, R.M.P. (promotor); Quaglietta, E. (copromotor); Delft University of Technology (degree granting institution)","2023","As the deployment of new railway technologies requires official approval from local authorities and governmental agencies, a well-specified strategy can foster investment decisions for technological developments and the overall system migration process. Therefore, it is crucial to guarantee that the proposed railway technologies can enhance operational efficiency and ensure safety to passengers and freight transport. Next-generation train-centric signalling systems can provide substantial capacity benefits to railway undertakings. Moving Block (MB) or the European Rail Traffic Management System / European Train Control System Level 3 (ERTMS/ETCS L3) is a radio-based system without any trackside equipment. A Radio Block Centre (RBC) receives positions of each train continuously and computes a Movement Authority (MA) to each of them. In this signalling system, the track is not partitioned into fixed blocks as is the case in conventional railways but the trains operate under “moving blocks” with a safe distance in front determined by the absolute braking distances. As there is no available trackside equipment, it is vital that trains guarantee their integrity by means of a Train Integrity Monitoring (TIM) system. Virtual Coupling (VC) is one of the most advanced train-centric signalling concepts that drastically reduces train headways and allows trains to move synchronously together in platoons using Vehicle-to-Vehicle (V2V) communication. However, several uncertainties arise in the safety validation and feasibility (from the technical, financial and regulatory perspectives) of the VC technology, particularly when compared to MB.
This thesis aims at developing methodological frameworks to support science and the industry in analysing, assessing and developing new complex systems and next-generation rail technologies. The proposed frameworks use interdisciplinary approaches to address complex decision-making processes such as market potential analysis, impact assessment and roadmapping. In addition, a novel methodological framework is proposed to evaluate the safety and performance of technologies and complex systems.
We first investigate the market potentials and operational scenarios of VC for different segments of the railway market: high-speed, mainline, regional, urban, and freight trains. The research builds on the Delphi method, with an extensive survey to collect expert opinions about benefits and challenges of VC as well as stated travel preferences in futuristic VC applications. Survey outcomes show that VC train operations can be very attractive to customers of the high-speed, mainline, and regional market segments, with benefits that are especially relevant for freight railways. In particular, customers of regional and freight railways are observed to be unsatisfied with current train services and willing to pay higher fares to avail of a more frequent and flexible service enabled by VC. Operational scenarios for VC are then defined by setting market-attractive service headways and defining characteristics of the rolling stock, infrastructure, and traffic management. A SWOT analysis of strengths and weaknesses of this concept together with business opportunities and threats is carried out. The defined VC future scenario is set to induce a sustainable shift of customers from other travel modes to the railways.
Second, we examine the overall impact of next-generation train-centric signalling systems to identify development strategies to face the forecasted railway demand growth. To this aim, an innovative Multi-Criteria Analysis (MCA) framework is introduced to analyse and compare VC and MB in terms of relevant criteria including quantitative (e.g., costs, capacity, stability, energy) and qualitative ones (e.g., safety, regulatory approval). We use a hybrid Delphi-Analytic Hierarchic Process (Delphi-AHP) technique to objectively select, combine and weight the different criteria to more reliable MCA outcomes. The analysis has been performed for different rail market segments including high-speed, mainline, regional, urban and freight corridors. The results show that there is a highly different technological maturity level between MB and VC given the larger number of vital issues not yet solved for VC. The MCA also indicates that VC could outperform MB for all market segments if it reaches a comparable maturity and safety level. The provided analysis can effectively support the railway industry in strategic investment planning of VC.
Third, developments in the railway industry are continuously evolving and long-term transition strategies can enable an efficient implementation of signalling technologies that provide a significant increase in network capacity and operation efficiency. VC advances MB signalling by further reducing train separation to less than an absolute braking distance using V2V communication and cooperative train control within a Virtually Coupled Train Set (VCTS). This chapter proposes a method to develop scenario-based roadmaps based on the SWOT and hybrid Delphi-AHP MCA. Step-changes are identified and initially assessed in a Swimlane based on priorities and time order collected from stakeholders through a survey and further developed in a workshop. Optimistic and pessimistic scenarios are assessed regarding various factors and timelines. The step-changes are then enriched with the optimistic and pessimistic scenarios, and associated durations are estimated for each of the step-changes, which finally result into scenario-based roadmaps that can be used as an efficient tool for stakeholders to identify and solve potential criticalities/risks to the deployment of VC as well as to setup investment and development plans. The approach is applied to deliver implementation roadmaps of VC for different market segments with particular focus on mainline railways.
Fourth, although MB and VC rail signalling will change the current train operation paradigm by migrating vital equipment from trackside to onboard to reduce train separation and maintenance costs, their actual deployment is constrained by the need for methods to identify configurations which can effectively guarantee safe train movements even under degraded operational conditions. In this thesis, we analyse the effectivity of MB and VC in safely supervising train separation under nominal and degraded conditions by using an innovative approach which combines Fault Tree Analysis (FTA) and Stochastic Activity Network (SAN). An FTA model of unsafe train movement is defined for both MB and VC capturing functional interactions and cause-effect relations among the different signalling components. The FTA is then used as a basis to apportion signalling component failure rates needed to feed the SAN model. Effective MB and VC train supervision is analysed by means of SAN-based simulations in the specific scenario of an error in the Train Position Report (TPR) for five rail market segments featuring different traffic characteristics, namely high-speed, mainline, regional, urban and freight. Results show that the overall approach can support infrastructure managers, railway undertakings, and rail system suppliers in investigating the effectiveness of MB and VC in safely supervising train movements in scenarios involving different types of degraded conditions and failure events. The proposed method can hence support the railway industry in identifying effective and safe design configurations of next-generation rail signalling systems.
In summary, this thesis provides multiple scientific contributions to train-centric rail signalling technologies by developing several methodological frameworks to support decision-making towards the development of complex railway systems. With a rapid growth of the railway demand, this thesis serves as a guidance for practitioners to develop more advanced transportation systems while ensuring an improved evaluation of safety and performance.","Railway signalling; Virtual Coupling; Moving Block; Impact assessment; Roadmapping; Modelling","en","doctoral thesis","TRAIL Research School","978-90-5584-333-6","","","","","","2023-09-29","","","Transport and Planning","","",""
"uuid:5097011c-5898-4fe9-bafb-081f351c6fd1","http://resolver.tudelft.nl/uuid:5097011c-5898-4fe9-bafb-081f351c6fd1","The Role of Micellar Nanowires in Diagnosing Tropical Diseases","Hubbe, H.M.K. (TU Delft ChemE/Advanced Soft Matter)","Mendes, E. (promotor); Boukany, P. (promotor); Staufer, U. (promotor); Delft University of Technology (degree granting institution)","2023","The tropical mosquito-transferred virus diseases Dengue, Zika and Chikungunya are causing hundreds of millions infections worldwide every year. Their occurrences are often linked, also due to their common transfer vector – the mosquito – thus they are of interest as a group together. Areas of prevalence include vast rural areas of low to middle income countries such as Indonesia, often with sub-optimal access to medical facilities. An affordable, reliable and easy-to-use point-of-care test would assist monitoring outbreaks, allowing earlier countermeasures such as mosquito extermination or setup of temporary on-site medical aid, as well as help allowing timely treatment in the often far-away hospitals.","","en","doctoral thesis","","","","","","","","","","","ChemE/Advanced Soft Matter","","",""
"uuid:db47aff4-192e-4557-ada9-22e8b7e5be07","http://resolver.tudelft.nl/uuid:db47aff4-192e-4557-ada9-22e8b7e5be07","Quantum communication using phonons: Towards a quantum network using high frequency mechanical oscillators","Fiaschi, N. (TU Delft QN/Groeblacher Lab)","Groeblacher, S. (promotor); Verhagen, E. (promotor); Delft University of Technology (degree granting institution)","2023","Quantum communication refers to the field of science that studies the ability to connect separated quantum devices via coherent channels, i.e. via buses that maintain coherently the information encoded. The importance of the task is on multiple levels: from secure communications to scaling quantum computers. The first one can be of fundamental importance in moments like government elections, or banks transaction, but even to secure the right of privacy of individuals. The latter could open the way to, for example, faster and more precise solutions to problems in chemistry (for drug development), or material science (more environmentally friendly solar cells). At this moment, quantum communication and computing are in a similar stage as of the early computers: the machines are with very little connectivity and require large spaces and specialists to be operated. In a few years, we can expect these systems to be interconnected more and more, scaled, and made easier to use. In this thesis, we present work done to create quantum channels using high frequency mechanical oscillators. In chapter 1 we present recent progress in the field of quantum communication done with several types of systems, both in the long and short distance. We also introduce how high frequency mechanical oscillators could play an important role in this research area. We discuss the current challenges and limitations and possible future developments. In chapter 2 we perform a optomechanical quantum teleportation. In this work, we teleport a polarization encoded telecom photon onto a quantum memory, made by two single mode mechanical oscillators in a dual-rail configuration. This work is a step towards entanglement swapping (also referred to as ’teleportation of entangled state’) and represents a proof of principle towards quantum repeaters (using the scheme proposed by Duan, Lukin, Cirac, and Zoller - DLCZ scheme).
In chapter 3 we report the first experiment done with the multimode mechanical
devices. These devices are formed by a single mode optomechanical cavity coupled to a single-mode mechanical waveguide (ended with a phononic mirror). We show that the non-classical information created in the optomechanical cavity can be guided on chip in the mechanical waveguide, using as witness the cross-correlation between the scattered photons. However, the non-uniform spacing between the mechanical modes severely lowers the maximum value of the non-classical correlation measured. This was greatly improved with the new design of the device. With this design, we are able to entangle two traveling phonons in the mechanical waveguide, shown in chapter 4. In this way, we show that the traveling phonons can be used to distribute quantum entanglement on-chip, a first step towards connecting quantum devices on a short scale. In chapter 5, we measure in time the frequency jitter of two spectrally close mechanical modes of the same device. We demonstrate that the frequency diffusion of the modes
is not correlated in time, and so the coherence length of the traveling information will ultimately be limited by the jitter. This result shows the importance of performing a detailed study on the surface defects.
Lastly, in chapter 6 we summarize the findings of these experiments and we discuss the future developments of the field.","","en","doctoral thesis","","","","","","","","2023-10-05","","","QN/Groeblacher Lab","","",""
"uuid:9263fa46-5a34-4a1d-b693-8b547daee065","http://resolver.tudelft.nl/uuid:9263fa46-5a34-4a1d-b693-8b547daee065","Sensing the Cultural Significance with AI for Social Inclusion: A Computational Spatiotemporal Network-based Framework of Heritage Knowledge Documentation using User-Generated Content","Bai, N. (TU Delft Heritage & Architecture)","Pereira Roders, A. (promotor); Nourian, Pirouz (copromotor); Delft University of Technology (degree granting institution)","2023","Social Inclusion has been growing as a goal in heritage management. Whereas the 2011 UNESCO Recommendation on the Historic Urban Landscape (HUL) called for tools of knowledge documentation, social media already functions as a platform for online communities to actively involve themselves in heritage-related discussions. Such discussions happen both in “baseline scenarios” when people calmly share their experiences about the cities they live in or travel to, and in “activated scenarios” when radical events trigger their emotions. To organize, process, and analyse the massive unstructured multi-modal (mainly images and texts) user-generated data from social media efficiently and systematically, Artificial Intelligence (AI) is shown to be indispensable. This thesis explores the use of AI in a methodological framework to include the contribution of a larger and more diverse group of participants with user-generated data. It is an interdisciplinary study integrating methods and knowledge from heritage studies, computer science, social sciences, network science, and spatial analysis. AI models were applied, nurtured, and tested, helping to analyse the massive information content to derive the knowledge of cultural significance perceived by online communities. The framework was tested in case study cities including Venice, Paris, Suzhou, Amsterdam, and Rome for the baseline and/or activated scenarios. The AI-based methodological framework proposed in this thesis is shown to be able to collect information in cities and map the knowledge of the communities about cultural significance, fulfilling the expectation and requirement of HUL, useful and informative for future socially inclusive heritage management processes.","","en","doctoral thesis","A+BE | Architecture and the Built Environment","978-94-6366-749-4","","","","","","2023-10-01","","","Heritage & Architecture","","",""
"uuid:791dca4f-d05f-4bff-b947-b6caa179d171","http://resolver.tudelft.nl/uuid:791dca4f-d05f-4bff-b947-b6caa179d171","Mass Housing Neighbourhoods and Urban Commons: Values-based Governance and Intervention Framework for New Belgrade Blocks","Dragutinovic, Anica (TU Delft Heritage & Architecture)","Pottgiesser, U. (promotor); Nikezić, Ana (promotor); Quist, W.J. (copromotor); Delft University of Technology (degree granting institution)","2023","The neglect of significance, deterioration and consequent devaluation of the post-war mass housing neighbourhoods are major challenges, both in the field of heritage conservation and management and in urban planning and design. The reasons for their deterioration are different, and interlinked with the socio-cultural discourse, as well as the spatial characteristics of these neighbourhoods. This doctoral research addresses the challenges of those neighbourhoods, focusing on New Belgrade Blocks, as one of the largest modernist post-war mass housing areas in Europe. The case is particularly important for the discourse on mass housing and ‘ordinary’ heritage management, as it encapsulates concepts, policies and practices developed in Yugoslavia, which are relevant to the contemporary discussions on community-driven approaches for urban planning and governance and participation in heritage studies. The doctoral thesis presents this legacy and reveals causalities and relations of spatial and socio-political aspects, policies, but also planning and design principles. Furthermore, it empirically studies and evaluates the blocks in the contemporary context, with the society (involving citizens), and within the current legal and organisational conditions. Eventually, it develops a framework for enhancement of the blocks, addressing the current and future societal and users’ needs, while preserving the identity and values of the blocks. The doctoral thesis provides different findings and perspectives, contributing to the current knowledge on integrated conservation, urban planning and governance of urban heritage, and in particular mass housing neighbourhoods. It shows co-dependence of those fields and offers an integrative and cross-disciplinary approach.","","en","doctoral thesis","","978-94-6366-735-7","","","","","","","","","Heritage & Architecture","","",""
"uuid:ea94239f-5e95-4705-9deb-32196d74daaa","http://resolver.tudelft.nl/uuid:ea94239f-5e95-4705-9deb-32196d74daaa","On developers’ practices for hazard diagnosis in machine learning systems","Balayn, A.M.A.","Houben, G.J.P.M. (promotor); Bozzon, A. (promotor); Delft University of Technology (degree granting institution)","2023","Machine learning (ML) is an artificial intelligence technology that has a great potential for being adopted in various sectors of activities. Yet, it is now also increasingly recognized as a hazardous technology. Failures in the outputs of an ML system might cause physical or social harms. Besides, the development and deployment of an ML system itself are also argued to be harmful in certain contexts.
Surprisingly, these hazards persist in applications where ML technology has been deployed, despite the increasing amount of research performed by the ML research community. In this thesis, we task ourselves with the challenges of understanding the reasons for the subsistence of hazardous system’s output failures and of hazardous development and deployment processes in practice, and of developing solutions to further diagnose these hazardous failures (especially in the system’s outputs). For that, we investigate further the nature of the potential gap between research and the practices of those developers who build and deploy the systems. To do so, we survey major related ML research directions, surface developers practices and challenges, and search for types of (mis)alignment between theory and practices. There, among others, we find a lack of technical support for ML developers to identify the potential failures of their systems. Hence, we then tackle the development and evaluation of a human-in-the-loop, explainability-based, failure diagnosis method and user-interface for computer vision systems...
two parts: the power electronic converter and the smart charging control, including battery degradation.
A. Power Electronics
In this thesis a modular DC-integrated multi-port converter is developed. The DC integration allows to reduce the amount of power converters hereby reducing its costs, while increasing efficiency and power density. All converters ports are developed for bidirectional operation to maximize its flexibility. a two level DC-AC converter is used for the bidirectional AC grid connection. Next, a 4-phase interleaved flyback converter is used for isolated EV charging. Finally, two interleaved four-switch buck-boost (FSBB) converters are used for both the PV and BES ports. All DC-DC converters utilize quasi-resonant boundary conduction mode (QR-BCM), combined with silicon carbide semiconductors to achieve efficiencies up to above 99%. A novel control method for the interleaved FSBB converter is proposed to enable multi-mode QR-BCM operation. Based on an experimental comparison with three other soft-switching modulation schemes it is shown that the proposed modulation and control achieve the highest efficiency (up to 99.5%) with little to no compromise in power density and control complexity.
B. Smart Charging
Next, a two-level smart charging structure is proposed to utilize the flexibility obtained from the multi-directional power electronic hardware. The first level is a non-linear programming (NLP) model that optimizes the charging powers of the EV and BES in a moving horizon context, to minimize the operational costs, including primary frequency control market participation and battery degradation. To minimize the battery degradation, a literature survey study has been done on lithium-ion ageing mechanisms and how to model it. Based on this survey the best suited degradation model is chosen and integrated in the NLP model. The second level of the proposed smart charging structure recalculates the setpoints based on grid frequency deviation, and PV forecasting errors. Both the theoretical and experimental results show that the proposed control method is effective in reducing the lifetime system costs. In combination with optimal sizing of the components the total lifetime system costs can be reduced up to 460% compared to conventional non-optimal charging methods.","Energy Storage; Smart charging; Power electronic converter","en","doctoral thesis","","978-94-6366-737-1","","","","","","2023-10-04","","","DC systems, Energy conversion & Storage","","",""
"uuid:f51b273d-eac8-495b-b692-919eb54b9974","http://resolver.tudelft.nl/uuid:f51b273d-eac8-495b-b692-919eb54b9974","Ultrabroadband coherent Raman spectroscopy for reacting flows","Mazza, F. (TU Delft Flight Performance and Propulsion)","Colonna, Piero (promotor); Bohlin, G.A. (copromotor); Delft University of Technology (degree granting institution)","2023","The present dissertation covers the development of ultrabroadband femtosecond/picosecond coherent Raman spectroscopy (CRS) to measure temperature and species concentrations in gas-phase chemically reacting flows.
Since its first demonstration in 1965, CRS has been vastly employed as a non-linear optical spectroscopic technique to quantify scalars in gas-phase chemically reacting flows, and it is presently regarded as a benchmark to measure temperature and concentrations of major species in combustion environments. The commercial availability of ultrafast regenerative laser amplifiers has brought forth an astounding amount of advancements over the past ten years, with the development of time-resolved CRS techniques able to perform measurements on a timescale shorter than that of molecular collisions in gas-phase media. Hybrid femtosecond/picosecond (fs/ps) CRS in particular represents the current state-of-the-art for gas-phase thermometry with unprecedented accuracy and precision, achieved with remarkable spatial and temporal resolution. The high peak power
provided by amplified fs laser systems enables spectroscopy to be realised in one- and two-dimensional imaging configurations acquiring single-shot images of the relevant scalar fields. Furthermore, the broad spectral bandwidth of fs laser pulses allows for a great simplification of the fs/ps CRS instrument. In two-beam fs/ps pure-rotational CRS a single broadband fs laser pulse coherently excites the whole rotational energy manifold of the target molecules, resulting in the coherent scattering of a spectrally narrow ps probe probe pulse. Moreover, the introduction of spectral broadening techniques prompted the development of ultrabroadband fs/ps CRS, where a single temporally-compressed supercontinuum pulse can excite, in principle, all the Raman-active modes of the target molecules. Ultrabroadband fs/ps CRS thus allows for the simultaneous investigation of the rotational and the vibrational motion of all the major species present in the probed volume, and could become the laser diagnostic tool for scalar determination in gas-phase chemically reacting flows, both in thermal equilibrium and in non-equilibrium conditions. For this to become a reality, however, a robust experimental protocol is needed for the implementation of ultrabroadband fs/ps CRS, which could be reliably employed behind the thick optical windows present in many practical experiments, such as those involving
pressurised combustors and enclosed chemical reactors.
In this respect, the present thesis revolves around two main experimental developments. The first one concerns the implementation of fs laser-induced filamentation as the supercontinuum generation mechanism to perform ultrabroadband fs/ps CRS. The research demonstrated that fs laser-induced filamentation can be employed in situ to compress the excitation pulse directly behind thick optical windows and inside the chemically reacting flow under study. This ultrabroadband coherent light source is employed throughout the present research to perform single-shot fs/ps CRS measurements, over a spectral region ranging ∼500-2000 cm-1, the so-called ""vibrational fingerprint region"". Single-shot detection of four major combustion species –hydrogen, oxygen, carbon dioxide, and methane– is demonstrated in this region of the Raman spectrum, and fs/ps CRS thermometry based on each one of them is validated in a number of laboratory flames. The influence of the combustion environment on the non-linear optical phenomena underpinning fs laser-induced filamentation and on the resulting pulse self-compression is furthermore investigated, evaluating the impact of the local composition and temperature of the gas-phase optical medium.The second experimental advancement addresses the need for an accurate quantification of the resulting spectral excitation bandwidth, with the development of a novel CRS experimental protocol. The conventional protocol entails the measurement of the nonresonant (NR) CRS signal ex situ in a non-resonant gas (typically argon), sequential to the CRS experiment, to map the spectral excitation profile. The novel protocol, on the contrary, is based on the generation of the NR CRS signal in situ in the combustion environment, simultaneous to that of the resonant CRS signal, thus removing a source of systematic bias in the spectral referencing. In order to practically implement this protocol, a polarisation-sensitive coherent imaging spectrometer is developed, which can simultaneously record the cross-polarised resonant and NR CRS signals in two distinct detection channels. The required polarisation angle to generate the resonant and NR CRS signals with orthogonal polarisation is theoretically determined, and the same angle is proven to realise the in situ referencing of any completely depolarised Raman transition. This referencing protocol is firstly applied to pure-rotational CRS thermometry on N2 and O2 in the pure-rotational region of the Raman spectrum, up to ∼500 cm-1. Thereupon the protocol is employed to realise ultrabroadband CRS on H2, whose pure-rotational spectrum spans more than 1500 cm-1 at flame temperatures. The adoption of the in situ referencing protocol proves essential to perform accurate H2 CRS thermometry behind the thick optical window. The novel protocol is also demonstrated on the ro-vibrational Raman spectrum of second vibrational mode (𝜈2) of CH4, which is completely depolarised, as are the Raman spectra associated to the least symmetric vibrations of more complex polyatomic molecules (e.g. heavier hydrocarbons). In this respect, ultrabroadband fs/ps CRS with in situ referencing of the spectral excitation efficiency could be employed not only to perform accurate thermometry in chemically reacting flows, but also to measure the concentrations of all the major molecular species in the probed volume.In parallel to these experimental developments, the present research also involves the development of time-domain models for the pure-rotational and ro-vibrational CRS signals detected in the spectral window up to 2000 cm-1. In particular the CH4 𝜈2 model is, to the best of the author’s knowledge, the first of its kind to include more than 10 million spectral lines, proving the suitability of this modelling approach to complex polyatomic molecules, which could pave the way to the future application of quantitative ultrabroadband fs/ps CRS to investigate a broader set of chemically reacting flows.All in all, the results collected in the present dissertation provide a basis for the direct use of ultrabroadband fs/ps CRS for scalar measurements in numerous and diverse practical applications in the applied science and engineering domain. The possibility of simultaneously measuring temperature and the concentrations of major species in chemically-reactive flows is paramount to understanding the physical and chemical processes at the base of many propulsion and power generation technologies. To name one, the in situ generation of the compressed excitation pulse provides a straightforward path to the use of ultrabroadband fs/ps CRS to perform spatially-resolved measurements of all the relevant scalar fields in high pressure combustion chambers. On the other hand, the ability of performing quantitative spectroscopy on complex polyatomic molecules is of great interest to many chemical engineering platforms, such as chemical reactors for the reforming of CH4 in commodity hydrocarbons and carbon-neutral H2.","coherent Raman spectroscopy; gas-phase thermometry; time-resolved spectroscopy; femtosecond laser-induced filamentation; laser diagnostics; ro-vibrational spectroscopy; chemically reacting flows","en","doctoral thesis","","978-94-6366-725-8","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:0fbfd624-39a0-45f7-8046-c90e854baca6","http://resolver.tudelft.nl/uuid:0fbfd624-39a0-45f7-8046-c90e854baca6","Anaerobic Protein Degradation for Resources Recovery from Nitrogen-Loaded Residual Streams","Deng, Z. (TU Delft Sanitary Engineering)","van Lier, J.B. (promotor); Spanjers, H. (promotor); Delft University of Technology (degree granting institution)","2023","The demand for reactive nitrogen, i.e., ammonia (NH3), is constantly growing as the global population grows, especially in the nitrogen (N) fertiliser production sector. Simultaneously, the reactive nitrogen in residual streams, i.e., mainly ammonium (NH4+) and nitrate (NO3-), has caused serious environmental issues, e.g., eutrophication and species diversity loss. NH3 is produced from non-reactive nitrogen gas (N2) by means of the energy-intensive Haber-Bosch process. Typically, reactive nitrogen is converted back to the non-reactive N2 by nitrification and denitrification in wastewater treatment plants at the cost of energy. To reduce the overall energy demand and reduce the pool of reactive nitrogen in the environment, a potential solution can be the recovery and reuse of NH3 and NH4+ (Total Ammoniacal nitrogen, TAN) from N-loaded residual streams. Ongoing TAN recovery research has mainly focused on the efficiency of different available technologies from the perspective of a specific application of the recovered TAN. One important aspect, the availability of N-loaded residual streams and their compositions, is overlooked: there is a lack of identification and characterisation of the potential streams for TAN recovery…","","en","doctoral thesis","","978-94-93353-16-9","","","","","","","","","Sanitary Engineering","","",""
"uuid:83e0a177-5c50-4e1e-9c49-afbf1f0d6073","http://resolver.tudelft.nl/uuid:83e0a177-5c50-4e1e-9c49-afbf1f0d6073","Multi-Level and Learning-Based Model Predictive Control for Traffic Management","Sun, D. (TU Delft Team Bart De Schutter)","De Schutter, B.H.K. (promotor); Jamshidnejad, A. (copromotor); Delft University of Technology (degree granting institution)","2023","This thesis focuses on management and control of traffic networks, including urban networks and freeway networks, in which we aim to reduce traffic congestion by minimizing the total time spent of all the vehicles in the network, and also consider green mobility by minimizing the total emissions produced by the vehicles. In this thesis, we have addressed the challenges of model predictive control (MPC) for traffic management in terms of computational complexity and model mismatches by developing several novel MPC-based control frameworks for urban and freeway traffic networks. More specifically, several multi-level and learning-based MPC control frameworks are proposed. First, a novel bi-level temporally-distributed MPC framework is proposed to deal with the green urban mobility issue that usually involves long-term (e.g., one year) emission constraints, and is thus computationally intractable due to the large window of the problem. Second, we employ a grammatical evolution method to generate parameterized control laws for parameterized MPC (PMPC) with application to urban traffic signal control. Third, we develop a novel combined MPC- deep reinforcement learning (DRL) multi-level control framework, in which the MPC module provides a basic control performance at a lower frequency based on a prediction model, and the DRL module works at a higher frequency to compensate for the model mismatches and external disturbances through learning. Forth, we propose a synthesis framework of reinforcement learning (RL)-based adaptive PMPC. In this framework, all components of the PMPC scheme, such as the cost function, the prediction model, the control law, the constraint set, and the terminal set, can be parameterized and adjusted by a high-level RL agent.","Model Predictive Control (MPC); Reinforcement Leaning (RL); Traffic Management; Multi-Level MPC; Learning-Based MPC","en","doctoral thesis","","978-90-5584-335-0","","","","","","","","","Team Bart De Schutter","","",""
"uuid:998441fb-72b3-4a4b-b2bb-f3a5ba06042e","http://resolver.tudelft.nl/uuid:998441fb-72b3-4a4b-b2bb-f3a5ba06042e","Derivative-free Equilibrium Seeking in Multi-Agent Systems","Krilašević, S. (TU Delft Team Sergio Grammatico)","Grammatico, S. (promotor); De Schutter, B.H.K. (promotor); Delft University of Technology (degree granting institution)","2023","Both societal and engineering systems are growing in complexity and interconnectivity, making it increasingly challenging, and sometimes impossible, to model their dynamics and behaviors. Moreover, individuals or entities within these systems, often referred to as agents, have their own objectives that may conflict with one another. Examples include various economic systems where agents compete for profit, wind farms where upwind turbines reduce the energy extraction of downwind turbines, unwanted perturbation minimization in extremum seeking control, and cooperative source-seeking robotic vehicles. Despite having access to only limited observable information, it is crucial to ensure that all participants are content with the outcomes of these interactions. In this thesis, we choose to examine these problems within the framework of games, where each agent has their own cost function and constraints, and all costs and constraints are interconnected. Since the notion of optimum in multi-agent problems is difficult to define, we often seek to find a Nash equilibrium, i.e., a set of decisions from which no agent has an incentive to deviate.
This thesis primarily explores the development of Nash equilibrium seeking algorithms for scenarios where agents' cost functions are unknown and can only be assessed through measurements of a dynamical system's output, referred to as the zeroth-order (derivative-free) information case. We specifically concentrate on scenarios where partial derivatives can be estimated from these measurements and subsequently integrated into a full-information algorithm. Existing approaches exhibit significant drawbacks, such as the inability to handle shared constraints, stringent assumptions on the cost functions, and applicability limited to agents with continuous dynamics.","Nash equilibrium seeking; Derivative-free; hybrid system","en","doctoral thesis","","","","","","","","","","","Team Sergio Grammatico","","",""
"uuid:6103d9e9-5c2e-487a-b77c-ae0ce9cb12f1","http://resolver.tudelft.nl/uuid:6103d9e9-5c2e-487a-b77c-ae0ce9cb12f1","DC trolleygrids as sustainable, multi-functional, and multi-stakeholder electrical infrastructures: Thinking outside of the bus","Diab, I. (TU Delft DC systems, Energy conversion & Storage)","Bauer, P. (promotor); Chandra Mouli, G.R. (copromotor); Delft University of Technology (degree granting institution)","2023","Electricity grids are increasingly congested as the world moves toward a sustainable, electrified future. Expanding and upgrading these infrastructures is costly and challenging on a technical and administrative level and even redundant when considering that some sub-parts of these grids, such as electric transportation networks, are massively underutilized. This thesis investigates, in four parts, the potential of one of these high-power infrastructures, the trolleybus grid, to become a sustainable, multi-functional, and multi-stakeholder backbone to urban power grids by integrating renewables, storage, and EV chargers, all while remaining ready for their next generation of sophisticated, high-power transport fleets such as In-Motion-Charging buses...","trolleybus; trolleygrid; transportation; electric mobility; solar systems; energy storage; Sustainable transportation","en","doctoral thesis","","978-94-6384-481-9","","","","","","2024-12-01","","","DC systems, Energy conversion & Storage","","",""
"uuid:7d3d4287-271d-4bbb-9b73-39674a0af60f","http://resolver.tudelft.nl/uuid:7d3d4287-271d-4bbb-9b73-39674a0af60f","Biopolymer nanocomposites: lessons from structure-property relationships","Pereira Espíndola, S. (TU Delft ChemE/Advanced Soft Matter)","Picken, S.J. (promotor); Zlopasa, J. (copromotor); van Loosdrecht, Mark C.M. (promotor); Delft University of Technology (degree granting institution)","2023","The urgent need to address sustainability within material science, driven by global environmental concerns over pollution, climate change, and resource scarcity, has led to a growing interest in bio-based materials. This thesis explores the potential of biopolymers as alternatives to non-renewable resources, specifically the ones derived from renewable and residual sources. The biomacromolecules can be harvested from plants, algae, microorganisms, and animal products; or extracted from the process waste of agricultural and urban cycles. In particular, the high stiffness (Young's modulus) exhibited by certain biopolymers, often surpassing that of standard engineering polymers, motivates this investigation. The biopolymers' uncontrolled chemical structure and morphology still inhibit their application in many industries. Inspired by the unique structures, properties, and functions found in biological systems, this research aimed to develop (solid-state) structureproperty relationships for relevant biopolymer systems aiming at predicting final material properties (physicochemical, thermal, mechanical, barrier). The focus on structure-property guidelines is brought about by systematic investigations of the intricate architecture and interactions found in biopolymers and bioinspired nanocomposites. The ultimate goal is to design bio-based materials with superior performance, such as lightweight, high stiffness and strength, and functionality, while at a competitive cost and sustainability.","biopolymers; nanocomposites; structure-property","en","doctoral thesis","","978-94-6384-487-1","","","","","","","","","ChemE/Advanced Soft Matter","","",""
"uuid:e45d99b7-4ea5-4e88-9e23-dabd5367aecd","http://resolver.tudelft.nl/uuid:e45d99b7-4ea5-4e88-9e23-dabd5367aecd","Comfort Experience in Air Travel: Research Methods and Design","Yao, X. (TU Delft Emerging Materials)","Vink, P. (promotor); Song, Y. (promotor); Delft University of Technology (degree granting institution)","2023","Comfort, which is defined as “a pleasant state or relaxed feeling of a human being in reaction to its environment”, plays an important role in air travel both for passengers and airlines. However, the combination of strict safety regulations, limited space, and a large variation in passenger body types make aircraft cabins challenging environments to create comfort. The studies presented in this PhD thesis focus on different aspects of comfort experience in air travel and are aimed to be helpful for aircraft interior designers, as well as airlines to have creative design solutions for inflight comfort issues in the future...","","en","doctoral thesis","","978-94-6366-736-4","","","","","","","","","Emerging Materials","","",""
"uuid:9a149309-2339-40c5-9151-032d70b91dbe","http://resolver.tudelft.nl/uuid:9a149309-2339-40c5-9151-032d70b91dbe","Engineering and integration of pathways for anaerobic redox-cofactor balancing in yeast","van Aalst, A.C.A. (TU Delft BT/Industriele Microbiologie)","Pronk, J.T. (promotor); Daran, J.G. (promotor); Delft University of Technology (degree granting institution)","2023","The production of ethanol by the yeast Saccharomyces cerevisiae remains the process in industrial biotechnology with the largest product volume (ca. 100 million litres annually in 2022). Carbon losses occur due to the formation of biomass and glycerol, which can account for at least 8% of the product. Under anaerobic conditions, formation of yeast biomass and glycerol are coupled via redox-cofactor balances, as a net generation of NADH during biomass formation needs to be compensated by NADH-dependent formation of glycerol from sugar. This thesis discusses redox-engineering strategies for maximizing ethanol yields on substrate.","Redox engineering; Ethanol production; Saccharomyces cerevisiae; co-culture; Metabolic engineering; anaerobic fermentation; Electrons; industrial biotechnology","en","doctoral thesis","","978-94-6483-352-2","","","application external","","","","","","BT/Industriele Microbiologie","","",""
"uuid:5f94e970-ddfd-4855-8acb-b46063e0887c","http://resolver.tudelft.nl/uuid:5f94e970-ddfd-4855-8acb-b46063e0887c","Optimizing public transport transfers by integrating timetable coordination and vehicle scheduling","Liu, Tao (Southwest Jiaotong University); Ji, Wen (Southwest Jiaotong University); Gkiotsalitis, Konstantinos (National Technical University of Athens); Cats, O. (TU Delft Transport and Planning)","","2023","Transfer optimization in public transport (PT) networks can be achieved through coordinated timetabling and vehicle scheduling. Traditionally, the coordinated timetabling problem is solved first before proceeding to the vehicle scheduling problem. The integration of these two problems can help further reduce the total operation cost and improve the level of service, especially when timetables of different PT lines are well-coordinated at transfer stations. This work addresses the integrated PT timetable coordination and vehicle scheduling problem while ensuring that each PT line is dispatched with an even headway. We first separately formulate two integer linear programming models for the timetable coordination and vehicle scheduling problems. Next, the two models are integrated into a bi-objective integer linear programming model for the integrated timetable coordination and vehicle scheduling problem. For small size PT networks, the model can be solved by using an ɛ-constraint method, together with off-the-shelf optimization solvers. For large-size problems, two constraint-reduction procedures are developed to reduce the number of redundant constraints so as to reduce the computation complexity and improve the solution process. Finally, the models and solution method are applied to a numerical example and a real-world bus rapid transit (BRT) network in Chengdu, China. Computation results show that the solution generated by the sequential optimization approach is usually dominated by the Pareto-optimal solutions generated by the integrated optimization approach. Our findings suggest that it is not a wise decision to use the solution generated by the sequential optimization approach or the solution with the minimum fleet size generated by the integrated optimization approach. For practical implementation, it is recommended to choose the solution that has a fleet size of one more vehicle than the minimum fleet size.","Integer programming; Public transport; Timetable coordination; Transfer optimization; Vehicle scheduling","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:34bcaaa6-ec2b-44fd-ad25-387236568911","http://resolver.tudelft.nl/uuid:34bcaaa6-ec2b-44fd-ad25-387236568911","Shadow-wall lithography as a novel approach to Majorana devices","van Loo, N. (TU Delft QRD/Kouwenhoven Lab)","Kouwenhoven, Leo P. (promotor); Wimmer, M.T. (promotor); Delft University of Technology (degree granting institution)","2023","The development of quantum computers is perhaps one of the most exciting innovations of our time. The most investigated quantum computers, however, suffer from the fact that quantum information is lost due to interaction between the quantum bits and their environment. As a radically different approach, it has been proposed that one can instead use topological phases of matter to create quantum bits that are immune to environmental noise. The most prominent example of such a topological state of matter is the topological superconductor, which hosts Majorana zero modes. These quasiparticles can be used to store information non-locally, and their non-abelian exchange statistics allow for the implementation of protected quantum gates. Their postulated appearance at the edges of a one-dimensional semiconductor coupled to a superconductor has been a hot research topic over the last decade. Yet, their claimed observation in condensed-matter experiments has not been unequivocal. While the experiments produce some of the signatures of Majorana zero modes, they often exhibit significant deviations from the theory. The main obstacle here is that one of the fundamental properties of Majorana zero modes, namely their non-locality, has not yet been accessible due to the design of these experiments.
In this thesis, we have developed shadow-wall lithography as a novel approach to Majorana devices. One of the key concepts of this technique is to move the majority of the required nanofabrication steps prior to the formation of a semiconductor-superconductor hybrid, which significantly improves the performance of the device. Moreover, the shallow-angle deposition of a thin superconducting film allows the hybrid section to be grounded. This facilitates the simultaneous investigation of both ends of the device, enabling the search for the predicted end-to-end correlation of the Majorana zero modes. We extend the fabrication improvements by also considering the material used in these devices. For their operation, a magnetic field is required, which quenches the superconductivity in the superconducting film due to both orbital and paramagnetic effects. The paramagnetic effects are suppressed through the use of Pt impurities, which provide spin-orbit scattering centers in the film. For the thinnest films, we are able to extend the critical magnetic field up to 7 T. We further demonstrate that the inclusion of Pt does not prevent the quantum states in the semiconductor from obtaining a Zeeman splitting. We combine the improved nanofabrication technique and material developments with novel measurement schemes, such as the use of radio-frequency reflectometry and non-local conductance spectroscopy. The former allows us to map out large regions of the available experimental parameters while looking for the predicted end-to-end correlation of zero energy states. We demonstrate that such correlations are lacking in these devices, indicating that they do not exhibit an extended topological superconducting phase with Majorana zero modes at their ends. With non-local measurements, we instead focus on the induced superconducting gap in the bulk of such a hybrid. We demonstrate a significant tunability through electrostatic gating and show a closing and reopening of the induced gap, though the absence of zero-bias peaks also indicates that this is not due to an extended topological phase transition. These experiments strongly suggest that the realization of a topological superconductor in semiconductor-superconductor hybrids requires monumental efforts in the development of better materials.
While the bulk of this thesis is devoted to the creation of a topological superconductivity, the final chapters take an alternative approach. We demonstrate that these hybrids possess all the necessary ingredients to form a topological superconductor by using the shadow-wall lithography technique to realize an artificial Kitaev chain. By coupling two quantum dots via a gate-tunable proximitized quantum state in the hybrid segment, we show that the system can be brought to a sweet spot that hosts unpaired Majorana zero modes. To demonstrate the versatility of the developed platform, we finally move away from the study of Majorana zero modes and instead focus on the superconducting diode effect. We show that the tunability of the superconducting properties in a hybrid segment can be used to control the presence and magnitude of the superconducting diode effect in short nanowire Josephson junctions. These two chapters offer an inspiring perspective on the future of semiconductor-superconductor hybrid devices.
These examples demonstrate the need for reflecting on the status and significance of a term that is so widely used in academia and across the science-policy divide, but whose meaning and value are so fiercely disputed. Given that resilience is already informing many large-scale and significant societal efforts, they also raise the need to ask under which conditions such efforts could be just.
This work uses philosophical perspectives from ethics, metaethics and justice theory for revisiting recent debates on the meaning and normative status of this concept, with special emphasis on understanding the normative guidance that diverse interpretations of resilience can offer and disclosing the implications that this may have for achieving justice in and through resilience-based interventions.","resilience; risk; normativity; justice; climate adaptation","en","doctoral thesis","","978-94-6366-718-0","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:98b24420-219b-438e-a28c-4b12e5f450e6","http://resolver.tudelft.nl/uuid:98b24420-219b-438e-a28c-4b12e5f450e6","Time-lapse monitoring with virtual seismology: Applications of the Marchenko method for observing time-lapse changes in subsurface reservoirs","van IJsseldijk, J.E. (TU Delft Applied Geophysics and Petrophysics)","Wapenaar, C.P.A. (promotor); Slob, E.C. (promotor); Delft University of Technology (degree granting institution)","2023","Monitoring time-lapse changes inside the subsurface is of great significance to many geotechnical applications, such as storage of gasses in underground geological formations. Minute differences in the seismic wavefield between an initial baseline and a subsequent monitor survey have to be detected in order to observe fluid flow inside subsurface reservoirs. This problem becomes even more challenging when the reservoir is situated underneath a series of complex, highly reflective layers. Such an overburden will generate strong multiple reflections that will interfere with the reflections of the target zone. Ideally, a methodology is designed in order to remove these internal multiples to allow a clear view of the reservoir response for time-lapse analysis. The Marchenko method can redatumthe seismic wavefield to arbitrary depth levels or points in the subsurface, while accounting for all orders of internal multiple reflections. This method, therefore, has great potential to solve some of the time-lapse issues, as it is able to closely examine specific zones of interest in the subsurface without distortions from surrounding layers. Time-lapse studies are often hampered by irregular or imperfect sampling, whereas the Marchenko method relies on densely sampled, co-located sources and receivers. It is, therefore, important that the Marchenko method is able to handle more complex acquisition geometries. This can either be achieved by interpolating the reflection data as a pre-processing step or by correcting for errors inside the Marchenko scheme. Here, point-spread functions are introduced that describe the imperfections in the reflection data. These imperfections distort the focusing and Green’s functions retrieved from the Marchenko method. Next, each iteration of theMarchenko scheme is extended to deblur the imperfect focusing and Green’s functions by multidimensional deconvolution with these point-spread functions. Additionally, a slight modification is required to ensure stability of the new scheme. This new iterative Marchenko scheme is computationally more expensive, but removes all sampling artifacts. Finally, the migrated images of the target zone show significant improvements, when using either the new scheme or interpolation as pre-processing step...","Marchenko; Internal multiples; Time-lapse; Seismic; Reservoir Simulation","en","doctoral thesis","","978-94-6366-698-5","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:4dbd0b57-c40c-4cc2-84a7-e47e000f1437","http://resolver.tudelft.nl/uuid:4dbd0b57-c40c-4cc2-84a7-e47e000f1437","The end of the sectoral approach? Understanding the role of integration in urban water management","Nieuwenhuis, E.M. (TU Delft Sanitary Engineering)","de Bruijn, J.A. (promotor); Cuppen, E.H.W.J. (promotor); Langeveld, J.G. (promotor); Delft University of Technology (degree granting institution)","2023","Urban areas are highly dependent on their urban water systems, which provide essential services such as access to clean drinking water, public health protection, and flood control. Global developments increasingly threaten the provision of these services: changing weather patterns, ongoing urbanization processes, and depleting natural resources lead to environmental and public health issues, and increase the risk of urban flooding.
While traditional urban water systems (i.e., centralized water supply systems, sewer networks, and large-scale wastewater treatment facilities) have significantly contributed to global public health and protected cities from flooding, they are ill-equipped in the face of emerging global developments. For example, traditional systems have a limited ability to cope with extreme climate conditions, have a high net energy consumption, and lead to the deterioration of the environmental quality....","","en","doctoral thesis","","978-94-93353-05-3","","","","","","","","","Sanitary Engineering","","",""
"uuid:a356d348-add2-4995-9392-1b16daa8dbfa","http://resolver.tudelft.nl/uuid:a356d348-add2-4995-9392-1b16daa8dbfa","Pitch-Matched Integrated Transceiver Circuits for High-Resolution 3-D Neonatal Brain Monitoring","Guo, P. (TU Delft Electronic Instrumentation)","Pertijs, M.A.P. (promotor); de Jong, N. (promotor); Delft University of Technology (degree granting institution)","2023","This thesis presents the design and implementation of integrated ultrasound transceivers for use in transfontanelle ultrasonography (TFUS). Two generations of ultrasound transceiver ASICs integrated with PZT transducer arrays intended for TFUS are presented. In the first generation, a novel AFE design that combines an LNA with the continuous TGC function is realized in a bid to mitigate the gain-switching and T/R switching artifacts. Besides, a new current-mode micro-beamforming design based on boxcar integration (BI) is also implemented to reduce the channel count within a compact layout. In the second generation, the AFE is derived from the first version, while the design focuses on RX backend circuitry and channel-count reduction, including a passive BI-based µBF merged with a charge-sharing SAR ADC, which digitizes the delayed-and-summed signals, and a subsequent multi-level data link, which concatenates outputs of four ADCs. In total, a 128-fold reduction in channel count is finally achieved. The techniques we developed have established the groundwork and removed the initial barriers for an electronics architecture suitable for a wearable 3D TFUS device.","Ultrasound; TFUS; ASIC; TGC; Mircrobeamforming; On-chip digitization; Channel-count reduction; Wearable Ultrasound","en","doctoral thesis","","978-94-6469-514-4","","","","","","","","","Electronic Instrumentation","","",""
"uuid:d0c09760-c716-4e8f-8574-29ef0fc84977","http://resolver.tudelft.nl/uuid:d0c09760-c716-4e8f-8574-29ef0fc84977","How can something empty be so full: Virus-like particles as next generation vaccines","Kuijpers, L.C. (TU Delft BN/Nynke Dekker Lab)","Dekker, N.H. (promotor); Jakobi, A. (copromotor); Van der Pol, Leo (copromotor); Delft University of Technology (degree granting institution)","2023","Vaccination is the most effective strategy in humanity’s fight against viruses. The concept of vaccination was first proposed by dr. Edward Jenner in the 18th Century, and its efficacy has been proven over time, providing unparalleled protection against viral infections. Large-scale vaccination campaigns have been successful in eradicating diseases such as smallpox in 1980. In recent times, the coronavirus pandemic has brought vaccines to the forefront of public, academic, and industry interest. Apart from conventional live vaccines, which were originally designed and employed by Jenner, there has been a significant expansion in vaccine types, including mRNA, viral vector, attenuated, and inactivated vaccines, each with their own advantages and limitations. Furthermore, virus-like particles (VLPs), a novel class of vaccines, have emerged as a promising alternative to traditional vaccine design strategies, potentially offering improved efficacy and safety. VLPs are multimeric nanoparticles derived from one or more viral structures. They are typically empty or devoid of genetic material, rendering them non-replicative and non-infectious. Despite the proposed absence of genetic material, VLPs possess immune-inducing surface patterns resembling those of the native virus, making them recognizable to the immune system. This unique feature can be exploited for vaccine purposes. VLP-based vaccines offer a safer alternative to traditional vaccines and present an opportunity to create vaccines against viruses that recognize specific viral surface structures instead of a single protein. Large-scale production of VLPs can potentially mitigate many of the drawback of current vaccines, such as extreme storage conditions (mRNA), vector immunity (vector), reversion (attenuated), and high production costs (inactivated). Therefore, VLP-based vaccines hold great promise in the fight against viral infection, by providing a safer and superior product. The safety and efficacy of VLP-based vaccines have been established through extensive research. At present, the market has numerous VLP vaccines available, with HPV VLP vaccines being the most prominent. Its success has set the standard and paved the way for the development of other VLP-based vaccines. In this work, we demonstrated the feasibility of producing and purifying virus-like particles that closely resemble enterovirus A71 (EV71) and coxsackievirus A6 (CVA6). Both viruses have a positive sense RNA genome of ~7.4 kilobase pairs (kbp), which encodes a 260 kDa polyprotein that is stepwise cleaved into eleven viral proteins. Enteroviruses, and most prominently EV71 and CVA6, are the main causative agents of hand, foot, and mouth disease (HFMD). HFMD is named after the characteristic lesions that develop on the hands, feet, mouth, and buttocks of infected individuals. In severe cases, especially among children, the disease can spread to the central nervous system (CNS), resulting in complications such as aseptic meningitis and encephalitis. By employing VLPs, a multivalent vaccine can be developed to target multiple viral strains simultaneously, providing an opportunity for the prevention and control of HFMD. We utilized the baculovirus expression vector system (BEVS) to produce the enterovirus-like particles. For the insect cell lines employed in the BEVS, cell counting is crucial for the maintenance and manipulation of cell cultures. It is a vital aspect of assessing cell viability and determining proliferation rates, which are critical to maintaining the health and functionality of the culture. In Chapter 2, we introduce a machine learning (ML) model based on YOLOv4, capable of performing cell counts with high accuracy (>95%) for Trypan blue-stained insect cells. The model was trained, validated, and tested using images of two distinctly different insect cell lines, Trichoplusia ni (High FiveTM; Hi5 cells) and Spodoptera frugiperda (Sf9). The model achieved F1 scores of 0.97 and 0.96 for alive and dead cells respectively, demonstrating substantially improved performance over other cell counters. Furthermore, the ML model is versatile, as an F1 score of 0.96 was also obtained on images of Trypan blue-stained human embryonic kidney (HEK) cells that the model had not been trained on. Our implementation of the ML model comes with a straightforward user interface and can image in batches, which makes it highly suitable for the evaluation of multiple parallel cultures (e.g., in Design of Experiments). Overall, this approach for accurate classification of cells provides a fast, bias-free alternative to manual counting. Previous studies have shown that the expression of the viral P1 structural proteins and the 3CD protease is sufficient to produce enterovirus-like particles in various organisms. However, there has been a lack of optimization based on the interplay between the three most commonly altered infection parameters, namely multiplicity of infection (MOI), viable cell density at the time of infection (VCD), and the infection period (tinf). In Chapter 3 we addressed this point by using Design of Experiments (DoE) to optimize the production of both EV71 and CVA6 VLPs. Our results indicated distinctively different preferences for infection parameters between the two types of VLPs, with EV71 VLP production preferring low MOI, low VCD, and long infection period, while CVA6 VLP production preferring for high MOI, high VCD and long infection period. Additionally, we developed a purification process for both VLPs, resulting in yields of 158 mg/l and 38 ml/l of culture volume for purified EV71 and CVA6 VLPs, respectively. These concentrations translate into thousands to tens of thousands of vaccines, highlighting the economic potential of enterovirus-like particles for vaccine purposes. Virus-like particles have been identified as a promising approach for the development of a multivalent vaccine. However, their stability is a major issue due to the significantly lower particle integrity lifetimes compared to inactivated vaccines. In Chapter 4, the VLPs produced using the optimized protocols described in Chapter 3 were subjected to biophysical characterization. We employed multiple biophysical techniques such as transmission electron microscopy and atomic force microscopy, to elucidate the origins of the reduced VLP stability (on average 1.5-2-fold lower) in comparison to native virions. Contrary to previous work on enterovirus VLPs, this study demonstrates that a substantial portion (31%) of the produced VLPs were able to encapsidate viral RNA (vRNA). Additionally, this work shows that the presence of vRNA in the capsids may not be the primary factor in enterovirus capsid stability. Furthermore, vRNA may not be the sole factor responsible for triggering the stabilizing viral maturation, and other underlying mechanisms may be at play. To achieve stability comparable to that of virions, artificial methods of inducing viral maturation or alternative means of stabilizing the capsids are of the utmost important to ensure success of VLPs as vaccine candidates. In Chapter 5, we present a protocol for the simultaneous investigation of RNA synthesis dynamics of hundreds of single polymerases with magnetic tweezers (MT). The protocol encompasses the entire process, starting from RNA construct preparation to quantitative and statistical analysis of the MT measurements of RNA synthesis kinetics. The protocol enables the measurement of hundreds of RNA tethers simultaneously, resulting in the characterization of single-molecule dynamics, which is presented in the subsequent chapter.
Chapter 6 of this dissertation showcases the potential of magnetic tweezers (MT) for the detailed mechanistic characterization of the viral RNA-dependent RNA polymerase (RdRp). By examining the pause dynamics and probabilities of each viral polymerase, we were able to decipher their individual mechanistic properties. In particular, we investigated the effects of the T-1106 triphosphate, a pyrazine-carboxamide ribonucleotide with antiviral properties, on the enterovirus A71 RdRp. Our result indicated that T-1106 incorporation into nascent RNA led to increased pauses and backtracking by the RdRp. Additionally, we identified the backtracked state as an intermediate used by the RdRp for copy-back RNA synthesis and homologous recombination, suggesting that pyrazine-carboxamide ribonucleotides function by promoting template switching and formation of defective genomes. Finally, we demonstrated that MT can scan promising antiviral candidates and indicate the most propitious ones for further development. The detailed mechanistic characterization of viral RdRp dynamics afforded by MT is a promising avenue for identifying and optimizing antiviral therapeutics. Chapter 7 of this dissertation provides concluding remarks and aims to illuminate potential avenues for subsequent studies. This work can serve as a basis for future investigations, not only from a biophysical perspective but also from a biochemical standpoint.
These concepts and vocabulary, it is argued, in both practical and metaphoric sense, should be the starting point of new urban imaginaries for Addis Ababa. Urban planning and housing projections thus, should draw inspiration from these notions, elements, and phenomena. Furthermore, lessons learnt from the trinocular and the findings are presented as new avenues for architectural research in similar, less-known, and complex urban conditions as the sefer of Addis Ababa.
Large scale utilisation of CO2 in the chemical industry is currently limited to a few applications (e.g. synthesis of urea, carboxylic acids, food industry) and generally requires high purity feedstock. Integrated processes that combine CO2 capture from diluted sources (e.g. industrial flue gases, air) and its conversion to value-added chemicals represent a solution to enhance the utilisation of CO2 and mitigate its emissions. CH4 is an abundant hydrocarbon with diversified sources ranging from fossil-based (natural gas, shale gas) to renewable ones (biomass, biogas), which can potentially substitute oil for the synthesis of valuable chemicals and fuels, including higher hydrocarbons. At the moment, however, CH4 utilisation is circumscribed to combustion for heat and energy production or energy-intensive production of H2 and syngas (H2 + CO) via steam reforming, resulting in a high carbon footprint.
In general, the thermodynamic stability of CO2 and CH4 molecules imposes severe limitations to their exploitation as chemical feedstocks, in terms of low conversion efficiencies and control on the selectivity of products. Their efficient conversion requires harsh reaction conditions (high temperatures and pressures, highly chemically reactive substances) at which the stability of the desired products is threatened, resulting in low selectivity. In this scenario, catalysis is essential to identify functional materials and develop new catalytic processes able to maximise the selective conversion of CO2 and CH4 feedstocks to value-added products.
Unsteady-state operation in catalysis is an option to overcome the thermodynamic constraints imposed by the conventional steady-state operation. Integrated CO2 capture and conversion, sorption-enhanced reactions, chemical looping combustion are examples of intrinsically unsteady-state catalytic processes that demonstrated enhanced performances compared to their steady state analogues. Moreover, the analysis of the transient catalytic behaviour developed in unsteady-state conditions leads to a deeper understanding of the catalytic processes in terms of identification of specific reactant-catalyst interactions, the steps involved in products formation and the mechanism of catalyst deactivation.
This dissertation deals with the catalytic activation of CO2 and CH4 molecules targeting at their valorisation to important chemical commodities as CO (syngas) and light hydrocarbons. Unsteady-state catalysis is explored as a means to overcome thermodynamic constraints associated to the conventional CO2 and CH4 conversion routes....","","en","doctoral thesis","","978-94-6384-468-0","","","","","","","","","ChemE/Catalysis Engineering","","",""
"uuid:ef3e6834-988c-4d61-99f3-94133e005f1d","http://resolver.tudelft.nl/uuid:ef3e6834-988c-4d61-99f3-94133e005f1d","Exploring the potential of yeast mitochondria for synthetic cell research","Koster, C.C. (TU Delft BT/Industriele Microbiologie)","Daran-Lapujade, P.A.S. (promotor); Pronk, J.T. (promotor); Delft University of Technology (degree granting institution)","2023","Building synthetic cells is extremely interesting from a fundamental perspective, as the ability to rationally build viable, dividing, and self-maintaining cells provides knowledge on the minimal requirements to sustain life. This should enhance our understanding about which biological parts are minimally required for a cell to live, and how different cellular functions work and interact with each other. Besides a fundamental understanding of life, synthetic cells can also be applied as synthetic biology tools, for example for synthesis and delivery of therapeutics, or the production of compounds that cannot be produced with currently available organisms used as cell factories. The overarching goal of the research described in this thesis was to devise a strategy for building genomes for synthetic cell, using baker’s yeast Saccharomyces cerevisiae. Two methods were explored, the first being building a genome de novo using yeast in vivo assembly, and secondly, it was investigated whether the preexisting minimal genome of S. cerevisiae mitochondria could be expanded. To this end, yeast mitochondrial DNA and RNA was characterized using novel methods and various strategies for engineering the yeast mitochondrial genome were tested.","Mitochondria; YEAST; Synthetic Biology; synthetic genomics; synthetic cell; arginine","en","doctoral thesis","","978-94-6366-717-3","","","","","","","","","BT/Industriele Microbiologie","","",""
"uuid:3f379e63-9a3e-4755-974d-4d2099db43ea","http://resolver.tudelft.nl/uuid:3f379e63-9a3e-4755-974d-4d2099db43ea","Tuning Magnetoelastic Transitions in Mn2Sb-based and Fe2Hf-based Magnetocaloric Materials","Shen, Q. (TU Delft RST/Fundamental Aspects of Materials and Energy)","Brück, E.H. (promotor); van Dijk, N.H. (promotor); Delft University of Technology (degree granting institution)","2023","Magnetic refrigeration is based on the magnetocaloric effect (MCE) and has attracted considerable attention due to its potentially higher energy efficiency, environmental friendliness and quietness compared to conventional vapour compression refrigeration. Boosting giant MCE materials with a magnetoelastic transition into commercial applications requires not only insights into the coupling between its magnetism and the lattice, but also the correlation between macroscopic performance and microstructure. In this thesis, the fundamental physical properties, including crystal structure, microstructure, magnetic structure, negative thermal expansion behaviour and the magnetocaloric effect, are studied in Mn2Sb-based intermetallic compounds with an antiferromagnetic-to-ferrimagnetic transition and Fe2Hf-based Laves phase compounds with a ferromagnetic-to-antiferromagnetic transition...","Magnetocalroic effect; magnetoelastic transition; Laves phase; magnetic refrigeration","en","doctoral thesis","","","","","","","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:4be5a4e1-7c68-4a28-a1ba-4cff02a9f024","http://resolver.tudelft.nl/uuid:4be5a4e1-7c68-4a28-a1ba-4cff02a9f024","Synthesis of Slender Spatial Compliant Mechanisms with application to passive exoskeletons","Amoozandeh, A. (TU Delft Mechatronic Systems Design)","Herder, J.L. (promotor); van Ostayen, R.A.J. (promotor); Delft University of Technology (degree granting institution)","2023","Industrial passive exoskeletons have been developed for years as a tool to reduce the physical workload of their users. They accomplish this by compensating for the user’s body weight and decreasing fatigue caused by repetitive loads. Despite their advantages, current exoskeletons have drawbacks that make them less convenient for users and thus less common as a supporting tool. Among the other issues, the most important are impeded and reduced movement, posture strain, and increased discomfort. This thesis proposes designs for spatial compliant mechanisms to address these issues in exoskeletons.","Spatial Compliant Mechanisms; Spatially Curved Beams; Passive Exoskeletons; Zero Torsional Stiffness; Compliant Transmission; Anisotropic Variable Stiffness","en","doctoral thesis","","978-94-6366-741-8","","","","","","","","","Mechatronic Systems Design","","",""
"uuid:5c3cc4c4-f38a-43ce-851a-8f758769171a","http://resolver.tudelft.nl/uuid:5c3cc4c4-f38a-43ce-851a-8f758769171a","Probing nanoscale forces of nature in fluid: From pneumatics to biomechanics","Roslon, I.E. (TU Delft Dynamics of Micro and Nano Systems)","Steeneken, P.G. (promotor); Alijani, F. (promotor); Delft University of Technology (degree granting institution)","2023","Nanoscale forces from natural phenomena are hard to measure. It is not insofar that we lack the ability to measure such small forces. On the contrary, nanotechnology offers a wide spectrum of techniques that allow us to sense at this scale. However, many natural systems are subject to a noisy environment or need to be surrounded by liquid to maintain their shape and function - which on its own account drastically limits the achievable sensitivity of measurement methods.
Graphene, a single layer of carbon atoms, shows extreme strength and flexibility at the 2D limit of miniaturization. We have rationalized that graphene membranes are a perfect candidate to play the role of flexible support for detection of minute forces in nature, that are often hidden behind the veil of the environmental noise. Graphene owes its suitability to its ultimately thin nature, its low stiffness but simultaneously high tensile strength that prevents it from breaking under high tension. The limits of sensitivity can now be pushed further so that nanoscale forces can be measured in liquid - from pneumatic forces of attoliter volumes of gas, down to the level of single living bacteria.
In this thesis the motion of graphene membranes is studied under the influence of external forces. The motion is detected by a reflectometry setup devised for the study of optomechanical systems immersed in fluid. In Chapter 1 an introduction is given to the topic and the experimental methods are described. In Chapter 2, gases are pumped through a milled nanometer orifices in graphene membranes. The pneumatic interaction and the escape of the gasses through the nanometer scale pores is studied. In Chapter 3, we probe the nanomotion of single bacteria adhered to the surface of a graphene drum. The interplay between the processes occurring at cellular level and the motion of the suspended graphene with bacteria deposited on top is investigated. In Chapter 4, we study the signals obtained when motile bacteria cross a focused laser beam. We also find, that we can enhance the signal by patterning substrates to localise the bacteria close to the laser spot. Finally, in Chapter 5 we give prospects and outlooks, both on application of graphene drum enabled nanomotion sensing for rapid drug susceptibility testing, as well as on further research that might offer new insights into biological processes that can be held accountable for bacteria nanomotion. Furthermore, we discuss developments that would allow for further improvement of the current measurement system that go beyond bacterial sensing.","Nanomechanics; 2D materials; Antibiotic resistance; Bacteria; Gas sensing","en","doctoral thesis","","978-94-6384-475-8","","","","","","2024-03-21","","","Dynamics of Micro and Nano Systems","","",""
"uuid:7283cfe5-4b1f-4cd7-9795-0f9abaabf530","http://resolver.tudelft.nl/uuid:7283cfe5-4b1f-4cd7-9795-0f9abaabf530","Tensions and opportunities at Shanghai’s waterfronts: Laboratories for Institutional Strategies toward Sustainable Urban Planning and Delta Design Transitions","den Hartog, Harry (TU Delft Spatial Planning and Strategy; TU Delft History, Form & Aesthetics)","Hein, C.M. (promotor); Hooimeijer, F.L. (copromotor); Delft University of Technology (degree granting institution)","2023","How can the Global North oriented and welfare state rooted Sustainability Transitions theories be enriched with the Chinese and communist state rooted Ecological Civilization thinking that has been included in the Chinese constitution since 2007, to make it able to evaluate the making of the direct-controlled municipality Shanghai into an institutional frontrunner of sustainable transitions in urban planning and design with its prime waterfront as exemplary ‘urban lab’? Around this central question, this dissertation examines how Shanghai's coastal and waterfront developments have changed over the past two decades under the influence of shifts in Chinese state capitalism towards what is called an Ecological Civilization. Two cases along the waterfronts of Shanghai – one on former docklands in Shanghai’s Central City, and one on peri-urban Chongming Island ¬– have been examined to test how both lines of thinking can enrich each other, and if a sustainable transition can be done more efficiently and convincingly in a centrally controlled society than in a non-autocratic (liberal) society. What lessons does the Chinese approach in Shanghai offer for elsewhere, and how can different approaches and practices reinforce each other in spatial planning and strategies for a sustainable transition? This dissertation emphasizes that ecological civilization thinking can offer hopeful starting points for sustainable transitions but can only work well if sufficient 'checks and balances’ are included. It gives suggestions to improve the accessibility, inclusivity, and vibrancy of Shanghai’s waterfronts, and to mitigate ecological degradation in the context of an urban delta.","energy transition; socio-technical change; sustainability; urban delta; urban planning; Waterfront Regeneration; Sustainable transitions; Ecological civilization; China; National Demonstration Projects; Experiments","en","doctoral thesis","","978-94-6366-744-9","","","","","","","","","Spatial Planning and Strategy","","",""
"uuid:a7b16311-35f5-4819-9d95-5ff1f8cae84f","http://resolver.tudelft.nl/uuid:a7b16311-35f5-4819-9d95-5ff1f8cae84f","Physics of broadband noise reduction by serrated trailing edges","Lima Pereira, L.T. (TU Delft Wind Energy)","Scarano, F. (promotor); Ragni, D. (promotor); Avallone, F. (copromotor); Delft University of Technology (degree granting institution)","2023","Wind-turbine noise can restrict the growing implementation of renewable energy sources and their application close to urban environments. The largest contributor to the noise of modern turbines is the scattering of the turbulent fluctuations at the blade trailing edge. This source of noise is directly correlated with the turbine’s extracted power. Therefore, operating in noise-restricted environments and at night times entails lower energy production. An extensively applied solution for reducing the noise of wind turbines is the use of trailing-edge serrations, i.e. imposing periodic variations in the geometry of the blade trailing edge. Serrations reduce the effectiveness of the scattering at the trailing edge as the turbulent fluctuations reach the trailing edge at different times along the blade span, consequently reducing the wind-turbine noise. Although extensive literature and knowledge exist on serrations, their measured performance does not compare with the predicted one. Even more problematic, the trends predicted for the geometric alterations of the serrations are not observed in reality. Notably, two things are worth mentioning: first, geometries shown as optimal by theory perform worse than other concepts, and second, the noise from serrations is affected by the angle between the insert and the flow. As a result, the design of trailing-edge serrations still requires dedicated experiments and numerical simulations, hampering the assessment of several geometries necessary for complete optimization of the serration design. This work seeks a physical interpretation of the noise generation mechanisms of trailing edges with serrated add-ons. This interpretation is focused on understanding the underlying physical principles of the flow surrounding a serrated trailing edge. This is carried out in this work with three studies, respectively on the observation, modelling, and control of the flow and acoustic properties of serrated trailing edges...","trailing-edge noise; trailing-edge serrations; aeroacoustics; experimental aeroacoustics","en","doctoral thesis","","","","","","","","","","","Wind Energy","","",""
"uuid:81a0f1cc-aba6-4cd5-8d8e-d6732c29ea2a","http://resolver.tudelft.nl/uuid:81a0f1cc-aba6-4cd5-8d8e-d6732c29ea2a","Shaping Nonlinearity in Reset Control Systems to Realize Complex-Order Controllers: Application in Precision Motion Control","Karbasizadeh, Nima (TU Delft Mechatronic Systems Design)","Herder, J.L. (promotor); Hassan HosseinNia, S. (copromotor); Delft University of Technology (degree granting institution)","2023","This dissertation addresses the demand for faster, more precise, and robust controllers in the precision motion industry. Traditional linear controllers have limitations due to the waterbed effect and Bode’s phase-gain relationship. To overcome these limitations, complex-order controllers are explored in this study. The dissertation focuses on shaping nonlinearities in reset controllers to realize complex-order behavior. Various methods and approaches are investigated, each contributing to the understanding and improvement of reset control systems for linear time-invariant systems. The dissertation demonstrates that reset controllers exhibit first-order harmonic behavior, which can be advantageous in achieving complex-order behavior and enhancing controller performance compared to linear controllers. However, higher-order harmonics resulting from nonlinearities play a significant role and should not be neglected. The study explores methods to shape and manipulate these higher-order harmonics for improved performance. Different approaches are categorized into two main categories: methods based on shaping the reset phase (ψ) and continuous reset (CR) methods. In the first category, ψ-shaping methods focus on manipulating ψ to achieve desirable non-linear behaviors. This includes the introduction of elements such as fractional-order lag elements and filters to shape ψ and suppress higher-order harmonics. The dissertation presents practical frameworks for analyzing and utilizing ψ-shaping concepts. The second category, continuous reset methods, addresses both transient and steadystate performance. By introducing lead and lag elements as pre- and post-filters for reset elements, improvements in transient response are achieved. Additionally, CR methods preserve the first-order harmonic behavior while reducing higher-order harmonics across the entire frequency range. The dissertation highlights the advantages and tradeoffs between ψ-shaping and CR methods, providing insights for selecting the appropriate approach based on application requirements. Practical implementation aspects are also considered throughout the dissertation. Challenges such as noise amplification caused by lead elements in CR architectures are addressed, offering solutions through increased filter orders or observer-based filtering techniques. The dissertation demonstrates the effectiveness of the proposed approaches through implementation in industrial precision motion stages, showcasing the superiority of complex-order reset controllers over their linear counterparts. Overall, this dissertation contributes to the understanding and practical implementation of reset controllers for realizing complex-order behavior in precision motion control. It provides insights into shaping nonlinearities, optimizing steady-state and transient performance, and selecting suitable architectures based on specific application needs. The findings and guidelines presented in this study offer valuable contributions to the precision motion industry and pave the way for further advancements in controller design and performance.","Reset Control; Complex-Order Control; Shaping Nonlinearity; Precision Motion Control","en","doctoral thesis","","978-94-6384-484-0","","","","","","","","","Mechatronic Systems Design","","",""
"uuid:ae1a632b-91ab-466c-b40c-c111ac2ffe2d","http://resolver.tudelft.nl/uuid:ae1a632b-91ab-466c-b40c-c111ac2ffe2d","Exploring biocatalytic alternatives for challenging chemical reactions","Wu, Y. (TU Delft BT/Biocatalysis)","Hollmann, F. (promotor); Paul, C.E. (copromotor); Delft University of Technology (degree granting institution)","2023","Catalysts are often used in challenging chemical reactions to accelerate the reaction rate, increase reaction efficiency, reduce energy consumption, and minimise waste production. In biocatalysis, enzymes or whole cells are used as catalysts with the advantage of reactivity, selectivity and mild reaction condition over chemocatalysis. Nowadays, with the increasing variety of enzymes, biocatalysis exhibits more and more applicability potential as an alternative tool for chemical reactions.
This thesis focuses on two categories of challenging chemical reactions: oxyfunctionalisation and decarboxylation reactions, where two enzyme families have been investigated. Unspecific peroxygenases (UPOs) exhibit remarkable catalytic activity by facilitating the specific incorporation of oxygen atoms into both C-H and C=C bonds through hydroxylation and epoxidation reactions, respectively. This biocatalytic ability occurs under mild reaction conditions, rendering UPOs highly versatile and attractive for various synthetic applications. Fatty acid photodecarboxylases (FAPs) demonstrate the capacity to effectively catalyse the cleavage of carboxylic groups from substrates, leading to the formation of the corresponding alka(e)nes when subjected to illumination. This photoenzymatic reaction offers a sustainable and environmentally friendly pathway for the conversion of fatty acids into valuable hydrocarbon products by harnessing light as an energy source. In chapter 1, we show a critical and quantitative comparison between chemocatalysis and biocatalysis in oxyfunctionalisation reactions and an overview of decarboxylation reactions.
For oxyfunctionalisation reactions, this thesis is focusing on both classic hydroxylation and epoxidation reactions. For instance, further derivatisation fatty acids generally relies on pre-existing functional groups such as the carboxylate group or C=C-double bonds. However, the enzymatic conversions of saturated, non-activated fatty acids remain relatively underdeveloped, primarily owing to the inherent difficulty of C-H activation. In chapter 2, we demonstrate the application of a peroxygenase mutant AaeUPO-Fett for selective fatty acid hydroxylation. The primary products (i.e. hydroxy fatty acids) are interesting building blocks for lactone and polyester synthesis. Besides, when the produced w-1 hydroxy fatty acid (esters) are transformed, further synthetic possibilities arise as demonstrated by the fatty acid decarboxylation, Baeyer-Villiger oxidation and reductive amination reactions. Thereby, the utilisation of peroxygenase-promoted enzymatic cascades has emerged as a versatile toolbox for the conversion of recalcitrant saturated fatty acids into valuable products and essential building blocks...
The standard methods for dyke slope stability assessment cannot model large deformations. This thesis therefore develops and applies the Material Point Method (MPM), a large deformation variant of the Finite Element Method, to investigate the residual (remaining) resistance of a dyke against flooding after an initial slope instability. The residual dyke resistance has been assessed within a risk-based framework using the Random MPM (RMPM), which accounts for the effects of soil heterogeneity on the failure process by combining random fields with MPM. From the realisations of an RMPM analysis, both the probability of initial failure as well as the probability of flooding may be determined. Moreover, with RMPM, the likelihood of failure processes can be evaluated such that the process between initial failure and flooding can be understood.
To model the external water level in the RMPM analysis, the application of boundary conditions in MPM has first been investigated. The thesis shows that the boundary conditions should systematically match the MPM discretisation. Improvements of MPM, such as the Generalized Interpolation Material Point Method (GIMP), often change the discretisation. Therefore, the accurate application of a boundary condition can therefore depend on the version of MPM being used. Consistent boundary conditions are described in this work for MPM and GIMP. For standard MPM, a consistent boundary condition is proposed for simple 1D problems. However, it is shown that this solution is not generally applicable for dyke slope failures or other higher dimensional problems. For GIMP, two generally applicable algorithms for (almost) consistent boundary conditions are proposed: one algorithm constructs the exact material boundary, while the other merges the support domains of all material points. The algorithms are shown to outperform other boundary condition methods presented in literature.
The residual (dyke) resistance has been investigated by modelling both a 2D dyke failure and 3D slope instability using RMPM. It is shown that secondary failures (required to trigger flooding) often do not occur or may not be large enough to trigger flooding. Therefore, the probability of flooding can be significantly lower than the probability of an initial failure due to residual dyke resistance. In the best case scenario for the problem analysed, a reduction of the probability of flooding compared to the probability of initial failure of more than 90% has been observed, while in the worst case only a 10% reduction was found. The reduction was high (90%) for a material without layering of the spatial variability of the strength properties and decreased when the spatial variability was more layered. However, note that, to reduce computational costs, the probability of initial failure was unrealistically high in these examples, i.e. the dyke was relatively weak. In stronger slopes, secondary failures are less likely and more residual dyke resistance is therefore expected. Additionally, secondary slope failures are less likely in 3D simulations compared to 2D simulations, generally due to the additional resistance of the sides of the failure surfaces (the so-called 3D-effect). A 2D simulation can therefore be seen as a conservative estimate of the residual dyke resistance. In 3D, the failure process more often spreads sideways rather than backwards. This is also beneficial for dyke slope stability assessments, where backward failures are required to trigger flooding.
The degree of anisotropy of the soil heterogeneity changes the expected failure process. For smaller horizontal scales of fluctuation, i.e. less layering of the soil, secondary failures are less likely to occur, since the initial and secondary failures are mostly uncorrelated. Additionally, in the 3D simulation, smaller horizontal scales of fluctuation triggered small failure blocks, again likely to reduce the risk of flooding. For larger horizontal scales of fluctuation, initial failure in a weaker layer can more easily trigger secondary failures through the same layer, thereby decreasing residual dyke resistance. A depth trend, i.e. a linear increase with depth, in the mean resistance of the material, typical due to compaction processes, also impacts the failure process. For a material without a depth trend, progressive failure occurs along approximately circular failure surfaces, whereas for a material with a depth trend, a steady flow like behaviour along a gentle ’straight’ slope occurs. Moreover, retrogressive failure can flow in any direction for a material with a depth trend while avoiding local strong zones.
This thesis highlights that RMPM can provide estimates of the residual dyke resistance, thereby more accurately estimating the probability of flooding due to dyke slope instability in many situations. This leads to more targeted and cost effective dyke reinforcements. RMPM also provides insight into the size and shape of the initial and subsequent failures. RMPM can therefore be used in future research to develop guidelines for practice to approximate the probability of flooding, for example based on the probability and the shape of the initial failure computed with a small deformation model.","Random Material Point Method; MPM; Residual dyke resistance; Slope failure; Soil heterogeneity; Random fields; Probability of flooding","en","doctoral thesis","","978-94-6384-469-7","","","","","","2023-09-16","","","Geo-engineering","","",""
"uuid:ba9ccec6-589e-4bff-8555-17bdf48c4712","http://resolver.tudelft.nl/uuid:ba9ccec6-589e-4bff-8555-17bdf48c4712","Countermeasures against Fault Injection Attacks in Neural Networks and Processors","Köylü, T.C.","Hamdioui, S. (promotor); Taouil, M. (copromotor); Delft University of Technology (degree granting institution)","2023","Machine learning has gained a lot of recognition recently and is now being used in many important applications. However, this recognition was limited in the hardware security area. Especially, very few approaches depend on this powerful tool to detect attacks during operation. This thesis reduces this gap in the field of fault injection attack detection and prevention in neural networks and processors.
This thesis presents our methods of machine learning-based fault attack detection and prevention in different chapters, after providing the background information. Our first idea is to detect fault attacks from the processor’s instruction flow. The essence of the idea is that machine learning algorithms can learn the generated machine instruction sequences of a security-sensitive application. Thereafter, any fault in the instructions can be detected. The thesis demonstrates this idea by using RNN, CAM, and BF. Additionally, it demonstrates how to correct them using Hopfield networks.
The second idea is to use smart sensors to detect fault attacks. The first type of smart sensor is sensitive to multiple changes, such as in clock signal and supply voltage. The thesis demonstrates how to design such a sensor using RO PUFs. The second type of smart sensor is based on the operation of the device. The thesis demonstrates a design for ANNs, where the smart sensor detects fault attacks from discrepancies in neuron activation rates.
The thesis finally presents the idea of preventing fault attacks using smart verification. The first way is attained via a memory verification module, which verifies data from the external memory before processor execution. The second way is designed to protect ANNs via redundancy. However, the thesis presents a way to do this more efficiently, by using smart and selective redundancy.","fault injection attack; countermeasure; machine learning; neural networks; processor; hardware security; artificial intelligence","en","doctoral thesis","","978-94-6384-472-7","","","","","","","","Quantum & Computer Engineering","","","",""
"uuid:06ccff49-3558-4f70-a58a-547e1514af52","http://resolver.tudelft.nl/uuid:06ccff49-3558-4f70-a58a-547e1514af52","Novel Covalent Organic Frameworks for the Energy Transition","Veldhuizen, H.V. (TU Delft Novel Aerospace Materials)","van der Zwaag, S. (promotor); van der Veen, M.A. (promotor); Delft University of Technology (degree granting institution)","2023","Covalent organic frameworks (COFs) are a subclass of hyper-crosslinked polymers that contain ordered nanoporosity within their polymer network. Control over pore size and shape, as well as structure regularity, is obtained through careful selection of the monomeric building blocks and the synthesis reaction conditions. This has led to a vast library of available COF structures that are each designed for a specific application, typically in the direction of controlled capture and release. The work presented in this thesis addresses challenges in the development of COFs as active materials in energy transition applications. The goal of this thesis was to draw structure-property relationships of novel COFs in order to establish COF design rules for applications such as: CO2 capture, separation and conversion, as well as electrochemical energy storage...","Covalent organic frameworks; Synthesis; structure-property relationships; micro- and mesoporosity","en","doctoral thesis","","978-94-6473-201-6","","","","","","","","","Novel Aerospace Materials","","",""
"uuid:71e0ed4c-e8ea-404c-9846-b95bce6d17ed","http://resolver.tudelft.nl/uuid:71e0ed4c-e8ea-404c-9846-b95bce6d17ed","Complex networks: topology, spectrum and linear processes","Jokic, I. (TU Delft Network Architectures and Services)","Van Mieghem, P.F.A. (promotor); De Schutter, B.H.K. (copromotor); Delft University of Technology (degree granting institution)","2023","The concept of a network, defined as a collection of interconnected nodes or entities, has become a foundation for a new field of inquiry, namely network science. Despite the apparent simplicity of the concept, the pairwise representation of interconnecting nodes has enabled a plethora of insights into the structure of networks and the effects of interactions on dynamic processes. This generality of the network concept has paved the way for novel approaches with the aim of understanding complex systems, from social networks to biological pathways. It has opened up new avenues for research into
the fundamental mechanisms underlying these systems. As such, network science has become a highly active and dynamic field, driving the development of new theoretical frameworks, computational tools, and empirical methods that continuously push the boundaries of knowledge and understanding in numerous science and engineering domains.
The first part of this thesis centres on the structural properties of complex networks and their practical applications. We demonstrate that the orthogonal eigenvectors of the adjacency matrix of a simple, unweighted, and undirected graph are sufficient to recover that graph, albeit potentially not in a unique manner (Chapter 2). This observation led us to uncover co-eigenvector graphs, which are graphs that share the same eigenvectors while having distinct eigenvalues. Co-eigenvector graphs are the dual counterparts of cospectral graphs, which share identical eigenvalues but possess distinct eigenvectors.
In an unweighted graph, the number of walks between node pairs of a particular length can be expressed in terms of the corresponding power of the adjacency matrix. However, deriving a similar solution for the number of paths is significantly more intricate (Chapter 3). We present three distinct analytical solutions in matrix form for computing the number of paths of any length between node pairs, utilising different types of walks and leveraging principles from the mathematical field of combinatorics. The computational complexity of these solutions varies depending on the sparsity of the graph. The effective resistance metric, which characterises the entire network as perceived from the
vantage point of two given nodes, represents a powerful tool for addressing a wide range of challenges in network theory. In Chapter 4, we leverage the information contained in effective resistance to solve the inverse all shortest path problem, wherein a weighted graph satisfying given upper bounds on the shortest path weights between node pairs is sought, with sparsity being a critical consideration. Additionally, we propose a novel graph sparsification algorithm that selectively removes links from an unweighted graph in a stepwise manner, with the goal of either minimising or maximising the effective resistance
of the resultant graph.
The second part of this thesis pertains to linear processes on complex networks, exploring their properties and applications. Our research reveals that a simple process of attraction and repulsion between adjacent nodes on a one-dimensional line, based on the similarity of their neighbourhoods, can effectively group together nodes from the same community (Chapter 5). Our linear clustering process generally produces more accurate partitions than the most prevalent modularity-based clustering methods in the literature, requiring a comparable amount of computational complexity. An empirical part of our research on processes in complex networks became possible thanks to
our network construction based on a unique data set containing each municipality’s area, population and its geographically adjacent neighbouring municipalities. Thanks to this network construction, research became possible on a dynamic network of connected municipal nodes at a national level over the period from 1830 to 2019 (Chapter 6). By connecting the population data, area data and municipal merger data of all Dutch municipalities, we discovered that the logarithm of the municipal area and population size yields an almost linear difference equation over time. Research into the municipal merger process over the period 1830-2019 has shown that 873 of the 1228 Dutch municipalities
have merged into adjacent larger municipalities with a larger population.
Our simulation of municipality mergers based on network effects caused by population growth by municipality resulted in a county-level predictive accuracy of 91.7 % over a 200-year period. Suppose every node within a network exhibits linear internal dynamics of a specific order, and the dynamic interactions between these nodes are also linear. In that case, the entire network conforms to a collection of linear differential equations (Chapter 7). Our study offers an analytical solution for the comprehensive network dynamics in state space form, achieved by merging the fundamental topology and internal linear dynamics of every individual node.","paths; networked systems; graph spectra; effective resistance; inverse shortest path; graph sparsification; clustering; linear process","en","doctoral thesis","","978-94-6473-200-9","","","","","","2023-09-14","","","Network Architectures and Services","","",""
"uuid:d2d035ff-40ff-4867-9f4a-3d11fe9c8c62","http://resolver.tudelft.nl/uuid:d2d035ff-40ff-4867-9f4a-3d11fe9c8c62","Reverse bias degradation of CIGS solar cells","Bakker, N.J. (TU Delft Photovoltaic Materials and Devices)","Weeber, A.W. (promotor); Zeman, M. (promotor); Theelen, M.J. (copromotor); Delft University of Technology (degree granting institution)","2023","","","en","doctoral thesis","","978-94-6483-307-2","","","","","","","","","Photovoltaic Materials and Devices","","",""
"uuid:be007bb6-fcee-4814-87b4-d7a14b691547","http://resolver.tudelft.nl/uuid:be007bb6-fcee-4814-87b4-d7a14b691547","A Framework for Optimal Reservoir Operation to Improve Downstream Aquatic Environment: Application to Nakdong River Basin in South Korea","Kim, J. (TU Delft Water Resources)","Solomatine, D.P. (promotor); Jonoski, Andreja (promotor); Delft University of Technology (degree granting institution)","2023","In the water sector, issues concerning the aquatic environment have been extensively discussed due to climate change. In particular, water quality problems such as harmful cyanobacterial blooms (CyanoHABs) in rivers have arisen in South Korea since 2012. The Korean government constructed 16 weirs in the rivers during the Four Major Rivers Restoration Project. These weirs were built to more effectively use water resources in the rivers. Many environmental activists, however, have claimed that the weirs have caused water quality problems of CyanoHABs in the rivers. These CyanoHABs can be threats to the water environment while harming human health and aquatic ecosystems since CyanoHABs produce toxic substances such as microcystins.
To address the problems of these CyanoHABs, many researchers have conducted studies on predictive models for CyanoHABs. A predictive model using a data-driven approach can be useful in exploring the main factors affecting CyanoHABs at a specific location. However, these studies have not focused on preventing the occurrence of CyanoHABs but only on predicting their occurrence. If these studies are designed to link with a practical method for reducing the frequency of CyanoHABs, viable strategies can be proposed to effectively control CyanoHABs. Therefore, detailed considerations are required concerning the prevention or mitigation of CyanoHABs.
Reservoir operation can be a solution for reducing the problem of CyanoHABs in a downstream river. For example, discharging more water from upstream reservoirs can flush CyanoHABs downstream. However, the risk of water shortage can be increased in a reservoir if it is operated for improving water quality downstream. This is because reservoirs were typically designed for management of water quantity such as water supply. To use limited water resources in a reservoir to reduce the frequency of CyanoHABs downstream, optimal reservoir operations are necessary that simultaneously consider both the quantity and the quality of water.
This study focused on establishing a practical framework for the optimal operation of upstream reservoirs to address the problem of CyanoHABs in a downstream river. Furthermore, the applicability of this framework was demonstrated using observational data related to the quantity and quality of the upstream reservoirs in the study area, the Nakdong River basin of South Korea. The framework was established by incorporating three models: a machine learning model, a river water quality model, and an optimization model for reservoir operation.
Principles of strength of materials and fracture mechanics can be adopted to apply specific delamination initiation and propagation prediction methods to composite laminates. It is known that fracture mechanics methods have advantages in addressing delamination growth problems. On the other hand, it is also shown in the literature that strength of materials methods are generally best suited for quasi-static delamination growth. This implies that the growth of low-velocity impact or quasi-static indentation delaminations in composite laminates could also be predicted with an appropriate strength of materials approach. It is therefore necessary to comprehensively assess the ability of strength of material approaches to predict delamination of a composite laminate under out-of-plane concentrated loading. Since a stable stress field is the basic condition for the application of the strength of materials methods, the out-of-plane quasi-static indentation loading condition is first considered in this thesis.","Polymer-matrix composites; Composite laminates; Delamination initiation; Delamination growth","en","doctoral thesis","","978-94-6384-476-5","","","","","","2027-09-11","","","Structural Integrity & Composites","","",""
"uuid:f74561e6-c8ba-4bbb-8ac2-19a6a18ed41d","http://resolver.tudelft.nl/uuid:f74561e6-c8ba-4bbb-8ac2-19a6a18ed41d","Remote river rating in resource constricted river basins: Exploring opportunities for ungauged basins through low-cost technological advancements","Samboko, H.T. (TU Delft Water Resources)","Winsemius, H.C. (copromotor); Savenije, Hubert (promotor); Delft University of Technology (degree granting institution)","2023","The unavailability of consistent accurate river flow data is a significant impediment to understanding water resources availability, and hydrological extremes. This is particularly true for remote, difficult to access, morphologically active and therefore rapidly changing rivers. The state of global river discharge monitoring with respect to water infrastructure and frequency of data collection has been on the decline over the past few decades. This is despite the significant importance of these data for river flow predictions. Fortunately, rapid advancements in technologies open up possibilities for water resource authorities to increase their ability to accurately, safely and efficiently establish river flow observation through remote and non-intrusive observation methods. Low-cost Unmanned Aerial Vehicles (UAVs) in combination with Global Navigation Satellite Systems (GNSS) can be used to collect geometrical information of the riverbed and floodplain. Such information, in combination with hydraulic modelling tools, can be used to establish physically based relationships between river flows and permanent proxies. This study attempts to monitor flow in volatile, dangerous and difficult to access rivers using only affordable and easy to maintain new technologies. This thesis consists of three main components: i) generating a workable framework for monitoring rivers using low-cost technologies; ii) establishment of river geometry using a combination of airborne photogrammetry and low-cost GNSS equipment iii) and physically based rating curve development through hydraulic modelling of surveyed river sections.
The first three chapters of this thesis provide an introduction in the form of a literature review, justification for the study and a description of the study area. In chapter 4, a framework is developed through an intensive review of traditional river monitoring processes. Uniquely effective and low-cost individual components are selected and placed within a framework. The ideal outcome is an interconnected framework which clearly presents the steps which are necessary for river monitoring in remote locations. The manner in which each critical step is related to the other is explained. Furthermore, the method by which modern technologies are assimilated into the method is described. Within the framework, critical thresholds are set up in order to signal the to the water manager whether the proposed model in its current state continues to perform as required.
Chapter 5 investigates how low-cost technologies such as UAVs in combination with low-cost GNSS devices can be used to generate river geometry for the purposes of application in a hydraulic model. Furthermore, performance of the open-source photogrammetry software substantiated the claim that, free and open-source available packages are capable of producing results which are as good as proprietary alternatives as shown by the RMSE analyses. A novel approach to generate a seamless bathymetry through merging and volumization was successfully tested. Results presented in this chapter encourage future studies to investigate the impact of variations in the number of Ground Control Points (GCPs) on discharge estimations in a hydraulic model with different hydrodynamic boundary conditions. This follow up was instituted in Chapter 6.
In this sixth chapter we accept that uncertainties in the data acquisition may propagate into uncertainties in the relationships found between discharge and state variables. This uncertainty prompts the need to understand the impact of varying geometries on hydraulic models. Specific attention is placed on variations caused by differing GCP numbers since the task of GCP placement is time consuming, potential dangerous and resource intensive in certain location and instances. We are successfully able to determine the minimum number of control points required to reproduce geometry. Overall, we successfully develop and test a workable method for water resources authorities to estimate river flows accurately through the application of advanced, low-cost technologies with minimal contact with measured variables.
The development and application of low-cost technologies for river flow monitoring has led to the following important conclusions:
• For the purpose of flow estimation, there is no need to use more than seven GCPs to establish accurate UAV-based geometry. Rather, it is more crucial to distribute the available markers to be maximally representative of the terrain elevations. Furthermore, it may be necessary to place more markers in close proximity to locations where one may expect the largest challenge for photogrammetry software (e.g.: water, thick forest/vegetation)
• In order to limit the impact of the “doming” effect on terrain geometry measurements, one of the most effective, yet easily implementable mechanisms is to measure a river line using Real Time Kinematic (RTK) Global Navigation Satellite Systems (GNSS) equipment. This data can then be used to correct the terrain post photogrammetry processing.
◦C and pursue efforts to limit it even further to 1.5 ◦C. Photovoltaic energy is the key to achieving this target.
This dissertation focuses on improving the efficiency and sustainability of interdigitated back contact (IBC) solar cells. A special emphasis is also placed on cost and reliability. IBC cells and modules utilized in this study are based on ZEBRA technologies, which were developed at ISC Konstanz and implemented using processes and equipment that are comparable to those employed in conventional solar cells, such as Al-BSF and PERC. A detailed discussion of the process and history can be found in Chapter 2...","photovoltaic; silicon solar cells; back contact; cut losses; edge recombination; copper metallization","en","doctoral thesis","","978-94-6384-462-8","","","","","","","","","Photovoltaic Materials and Devices","","",""
"uuid:25f6f514-a07d-4b78-8cc3-2769555a5c20","http://resolver.tudelft.nl/uuid:25f6f514-a07d-4b78-8cc3-2769555a5c20","Strategic Language Workbench Improvements","Smits, J. (TU Delft Programming Languages)","van Deursen, A. (promotor); Cockx, J.G.H. (copromotor); Visser, Eelco (promotor); Delft University of Technology (degree granting institution)","2023","Computers execute software to do the tasks we expect from them. This software is written by human beings, we call this programming. The most common way to program is by writing text in a programming language. A programming language is very structured so we can be precise, but ultimately these languages are still for humans to read and write. In order to execute the written program, we need to translate it to a list of tiny instruction steps that the hardware of the computer can execute. This translation is also automated with software. The most common forms this software takes is (1) interpreters that execute a program live as they read it, or (2) compilers that translate the entire program for later execution.
Interpreters and compilers are tools of the domain of Programming Languages (PL). Apart from interpreters and compilers, there is more support software available around programming languages. This includes smart text editors, program analysis, running-program observers, etc. The requirements for PL tools are high: they should not get in the way when used to create software. In particular, they should support useful features, be fast enough in interaction, and not make mistakes.
Given these requirements, it is not a simple task to make PL tools. In an effort to make it easier to create PL tools, Language Workbenches (LWBs) were created: a suite of tools specifically for creating PL tools.
In this dissertation, you can find several improvements I made to a particular language workbench. I have—in multiple ways—sped up the language development cycle in this workbench: in terms of improved development, feedback, and execution speed.
Throughout my research, I have worked on and in the Spoofax language workbench, a research language workbench used for programming language research at TU Delft. Spoofax splits up the specification of programming languages into different domains, and captures each of those domains in a meta-language. For example, to describe the structure of the text of a programming language, Spoofax uses a formalism based on context-free grammars, extended with different useful features, which is called the Syntax Definition Formalism 3 or SDF3 for short. Similarly, there are meta-languages for the description of names, references and types; for what it means to execute a program; for defining assumptions and behaviour by example for testing purposes; and for transforming programs, which is a catch-all, but still a fairly high-level language. This language for transforming programs, called Stratego, is particularly relevant to this dissertation.
Contributions. Firstly, we introduce a new meta-language specialised in control- and data-flow analysis: FlowSpec. FlowSpec improves the development speed of programming languages in Spoofax, and the feedback in Spoofax and in the PL tools generated by Spoofax.Secondly, we improve the compilation speed of Stratego on successive compilations with an incremental compiler. This compiler improves the speed at which you receive feedback inside Spoofax on changes to a Stratego program, and the speed at which you can see the results of tests and other short program executions after a change.
Thirdly, we add a gradual type system to Stratego to improve the feedback that can be given without executing Stratego programs. A gradual type system does not require a user of Stratego to add types to their program, but if they choose to, the gradual type system will be able to reason about the parts of the program that are typed, and give certain errors at compilation time instead of run time.
Finally, we develop a pattern matching optimisation that work for Stratego’s pattern matching. This improves the execution speed of Stratego programs. Since all PL tools created in Spoofax include at least some of those Stratego programs, this also speeds up the execution of the Spoofax meta-languages themselves.","","en","doctoral thesis","","978-94-6384-474-1","","","","Prof.dr. E. Visser (Delft University of Technology) was the original promotor and supervisor of this research until his untimely passing on April 5th, 2022.","","","","","Programming Languages","","",""
"uuid:edfbbf98-2530-463b-94d0-43dee5435786","http://resolver.tudelft.nl/uuid:edfbbf98-2530-463b-94d0-43dee5435786","Receptivity of Swept Wing Boundary Layers to Surface Roughness: Diagnostics and extension to flow control","Zoppini, G. (TU Delft Aerodynamics)","Kotsonis, M. (promotor); Ragni, D. (promotor); Delft University of Technology (degree granting institution)","2023","The research presented in this thesis focuses on the receptivity to surface roughness of swept wing boundary layers dominated by crossflow instabilities (CFI), providing insights into how surface roughness can be used to passively control the developing instabilities. Discrete roughness elements (DRE) arrays and distributed randomized roughness patches (DRP) are employed to investigate the physical phenomena governing receptivity and their impact on CFI onset. The supporting data combine numerical solutions of linear and non-linear stability theory with advanced experimental flow diagnostics.
This booklet is divided into three main parts. The first part investigates the flow mechanisms dominating the receptivity of stationary CFI to the amplitude and location of DRE arrays. The relation between the external forcing configuration and the initial instability amplitude is investigated, along with scaling principles allowing for the up-scaled reproduction of the swept wing leading-edge configurations, which provide experimentally observable configuration.
The second part of this research explores the stationary CFI receptivity to specific up-scaled roughness configurations, including both isolated discrete roughness elements and DRE arrays. These roughness elements are applied at relatively downstream chord locations to enhance the experimental resolution of the near-roughness flow field.
The isolated discrete roughness elements ensure strong boundary layer forcing, which helps to outline the relation between the near-element instability onset and the rapid transitional process. In contrast, the applied DRE arrays configurations provide boundary layers dominated by the development of CFI. In such scenarios, high-magnification tomographic particle tracking velocimetry identifies the dominant near-element stationary instabilities precursor to CFI. Specifically, the presence of transient growth and decay mechanisms in the near-roughness flow region is outlined, exploring their role in the receptivity process and in the CFI onset. This investigation results in the first conceptual map describing the receptivity of swept-wing boundary layers to a wide range of DRE array amplitudes.
Lastly, the acquired knowledge of the near-element flow topology is employed in the final part of this work to develop a passive laminar flow control technique for stationary CFI cancellation. This technique is based on the destructive interference of the velocity disturbances introduced by a streamwise series of optimally arranged DRE arrays. The performed measurements confirm a reduction in the developing CFI amplitude accompanied by a delay of the boundary layer transition. The compatibility of the proposed technique with the control of CFI developing in a realistic free-flight scenario is as well investigated.","Swept wing boundary layer; receptivity; transition; crossflow instability; laminar flow; surface roughness","en","doctoral thesis","","978-94-6366-719-7","","","","","","","","","Aerodynamics","","",""
"uuid:54dd845a-93eb-4fa8-9797-83e468ecbce5","http://resolver.tudelft.nl/uuid:54dd845a-93eb-4fa8-9797-83e468ecbce5","General-purpose Inverse Modeling Framework for Energy Transition Applications Based on Adjoint Method and Operator-Based Linearization","Tian, X. (TU Delft Reservoir Engineering)","Voskov, D.V. (promotor); Bruhn, D.F. (promotor); Delft University of Technology (degree granting institution)","2023","This study investigates the application of inverse modeling in numerical geo-energy scenarios such as petroleum, geothermal, and CCS projects. The study aims to enhance model accuracy and predictive capabilities for real-world applications. The focus lies on the implementation of the inverse modeling framework within the open-source simulator called Delft Advanced Research Terra Simulator (DARTS), developed using the adjoint method and Operator-Based Linearization (OBL) to assemble derivatives efficiently. The adjoint method's efficiency and analytical gradient solution make it a preferred choice for gradient evaluation in inverse modeling. The work transitions from forward simulation to inverse modeling, elucidating the objective function definition, optimization theory, and the adjoint method's process. Prototype development in MATLAB and its translation to C++ are presented, showcasing the method's superiority. Application examples, like the data-driven proxy model and energy transition projects, demonstrate the framework's versatility and effectiveness in handling diverse observations and solving complex energy transition challenges.","Inverse modeling; Energy transition; Adjoint method; DARTS; History matching; Geo-energy","en","doctoral thesis","","978-94-6366-727-2","","","","","","","","","Reservoir Engineering","","",""
"uuid:a5998475-a7be-46e5-9bd9-6fbd9b81c15c","http://resolver.tudelft.nl/uuid:a5998475-a7be-46e5-9bd9-6fbd9b81c15c","Efficient Visual Ego-Motion Estimation for Agile Flying Robots","Xu, Y. (TU Delft Control & Simulation)","de Croon, G.C.H.E. (promotor); de Wagter, C. (copromotor); Delft University of Technology (degree granting institution)","2023","Micro air vehicles (MAVs) have shown significant potential in modern society. The development in robotics and automation is changing the roles of MAVs from remotely controlled machines requiring human pilots to autonomous and intelligent robots. There is an increasing number of autonomous MAVs involved in outdoor operations. In contrast, the deployment of MAVs in GPS-denied environments is relatively less practiced. The speed when flying indoors is often slow. One reason is that MAVs are surrounded by obstacles. But it should also be noticed that ego-motion estimation becomes more difficult to remain reliable during faster flight. The reason for this is that fast motion brings challenges to the robustness and computational efficiency of ego-motion estimation solutions based on the limited onboard sensing and processing capacities. The challenge to robustness is that the motion blur induced by agile maneuvers reduces the amount of available visual information needed by the current mainstream ego-motion estimation solutions, given the fact that frame-based cameras are the primary sensor for most lightweight MAVs. The challenge of computational efficiency comes from the strong desire for smaller and smaller MAVs to better fit cluttered environments. Moreover, to compensate for the decrease in robustness, additional computational power is required to detect known landmarks or visual processing that better copes with motion blur. This dissertation responds to the challenges by investigating novel ego-motion estimation approaches that combine robustness and efficiency. First, the goal of higher efficiency in the context of traditional visual feature points is pursued, albeit at the cost of reduced accuracy. The targeted scenarios are where known landmarks exist, such as gates in autonomous drone racing. The proposed velocity estimator’s mission is to navigate the MAV until the next landmark appears in the field of view and corrects the accumulated drift in the position estimation. To prevent drift over time, a simple linear drag force model is used for estimating the pitch and roll angles of the MAV with respect to the gravity vector and its velocity within the horizontal plane of the propellers. The translational motion direction and the relative yaw angle are efficiently calculated from the correspondences of feature points using a RANSAC-based linear algorithm...","Micro Air Vehicles; Ego-Motion Estimation; Deep Neural Networks; Self-Supervised Learning; Network Prediction Uncertainty; Monocular Visual-Inertial Odometry; Monocular Depth Prediction","en","doctoral thesis","","978-94-6384-477-2","","","","","","","","","Control & Simulation","","",""
"uuid:32f02090-19d2-4c6f-a8bf-9cbfb7cffd45","http://resolver.tudelft.nl/uuid:32f02090-19d2-4c6f-a8bf-9cbfb7cffd45","Optimization-based Approaches for Fault Detection and Estimation: with applications to health-monitoring of energy systems","Dong, J. (TU Delft Team Peyman Mohajerin Esfahani)","Keviczky, T. (promotor); Mohajerin Esfahani, P. (promotor); Delft University of Technology (degree granting institution)","2023","Advancements in technology and societal demands have led to increasing complexity, size, and automation in modern industrial systems. This trend makes these systems more safety-critical, as the occurrence of faults in system components or subsystems may cause the entire system to fail, resulting in significant economic losses and casualties. Consequently, developing an effective fault diagnosis method is crucial for ensuring the reliability, safety, and performance of industrial systems, especially energy systems, which are so relevant to our lives. However, most model-based fault diagnosis systems developed based on observers and parity space relations have the same order as that of the system. This can cause a significant computational burden when dealing with large-scale and high-dimensional systems. This thesis is dedicated to the design of fault diagnosis filters in the framework of differential-algebraic equations, which produce scalable residual generators with design flexibility. Meanwhile, we consider the impact of disturbances and stochastic noise ondiagnosis results, as well as the fault diagnosis problem within the finite frequency domain. In order to design filters capable of handling these issues, we solve filter parameters through optimization problems that are constructed based on specific diagnosis requirements.","Robust fault detection and estimation; Probabilistic certificates; Filter design; Optimization methods; Energy systems","en","doctoral thesis","","978-94-6483-378-2","","","","","","2024-09-25","","","Team Peyman Mohajerin Esfahani","","",""
"uuid:7eacc7fc-523e-4172-9c62-ab28916398ef","http://resolver.tudelft.nl/uuid:7eacc7fc-523e-4172-9c62-ab28916398ef","Expensive Optimization with Model-Based Evolutionary Algorithms Applied to Medical Image Segmentation Using Deep Learning","Dushatskiy, A. (TU Delft Algorithmics)","Bosman, P.A.N. (promotor); Alderliesten, T. (copromotor); Delft University of Technology (degree granting institution)","2023","Recently great achievements have been obtained with Artificial Intelligence (AI) methods including human-level performance in such challenging areas as image processing, natural language processing, computational biology, and game playing. Arguably, one of the most societally important application fields of such methods is healthcare.
AI is a broad term, which in general refers to systems and methods (components of systems), capable of solving complex tasks and ultimately doing it autonomously, i.e., without human participation, or, if necessary (e.g., in healthcare) with some human supervision. Machine Learning (ML) is a subfield of AI that consists of diverse methods which utilize available data to extractmeaningful and actionable knowledge. Three key factors have contributed to the recent success of ML methods: 1) Novel algorithms; 2)Highly efficient hardware, the computational capabilities of which are perfectly aligned with the currently most popular component of AI systems - deep neural networks (a computational abstraction that vaguely resembles a brain and can be efficient in solving differentML problems); 3) Huge amounts of digitally available data which can be used to train ML models. In this thesis, we mainly focus on the combination of algorithm development and data-related aspects....","evolutionary algorithms; expensive optimization; deep learning; medical image segmentation; neural architecture search","en","doctoral thesis","","978-94-6473-182-8","","","","","","","","","Algorithmics","","",""
"uuid:693f3222-1b86-4658-b11f-6549f78b641e","http://resolver.tudelft.nl/uuid:693f3222-1b86-4658-b11f-6549f78b641e","Time in the Work of Frank Lloyd Wright: Geology, Geography and Geometry of Architecture.","Sturkenboom, F.J.J.M. (TU Delft Situated Architecture)","van Gameren, D.E. (promotor); Havik, K.M. (promotor); Delft University of Technology (degree granting institution)","2023","For a long time Wright’s architecture has been theorized in terms of space. Although space was certainly a key-word in Wright’s discourse, we can neither see it as an objective, three-dimensional space, nor as a more subjective, intimate space. In Wright’s architecture, the third dimension implies time, an axis mundi, a story about the earth as being built. Architecture faces the task to explicate this geological dimension. Geology here not only pertains to the crust of the earth and its materials. It also refers to flora and fauna, all the life having co-built the earth. Designing means the digging up of this natural history of a place that should come to resonate in structure, texture, type, pattern, colour and form. Architecture finds its reason in this geological time, it memorizes that time. Every Wright House is a monument of the American landscape. A new space appears: no longer Cartesian three-dimensional space, not human-centered place-space, but the shallow space of the building as a bas-relief of the earth, “growing out of the ground into the light.”
Wright saw it as a personal assignment to free American architecture from European Eclecticism in order to finally come to “a truly American architecture.” He sought inspiration in the landscape, the earth as being built and as still building itself. Wright’s oeuvre might be read as a journey of discovery of the American landscape. The light, horizontal parts of his buildings refer to an ‘on the way,’ they remind us of vehicles and tents. The stone parts refer to a local earth. The ‘fleet’ of his buildings move over the earth to sample it. In its images we find the archetype of a scientific expedition comparable with the great geographic expeditions of the 19th century. The expedition discovers the styles of American nature as the possible ingredients of a “natural architecture.” The geographic expedition mirrors the adventures of the wanderer and the settler, according to Wright the two characters united in the American soul. It mirrors the adventure of a people of colonists trying to get situated on a terra incognita, trying to root in the American earth while dressing up in American nature.
If nature must become the soul of architecture, geometry is the powerful instrument to analyze nature. It is a an instrument teaching us the intellect of creative nature. Wright used a polyphony of geometric styles, from basic geometric forms to proto-fractals, inventing a style reconciling form with formation. If “an organic building should grow out of the ground into the light, holding that ground as a basic part of itself,” the intelligence of the ground—of nature building the earth—reflects itself in the geometrical patterns of architecture.
To address this issue and promote autonomous learning, the Productive Failure (PF) pedagogical framework was introduced as the main pedagogical framework in UPE. However, the general approach of the PF pedagogy as described by Kapur, lacked a translation into an effective design of the workshops. To address this, this paper proposes a hands-on model based on constructive alignment, where learning objectives, activities, and assessment are designed side-by-side. This paper presents our didactical model, which was developed in an agile way during the second run of UPE. The hands-on model proposed aids in applying the PF pedagogy in engineering courses and consists of a method to develop workshop assignments and a didactical approach to guide and coach students through the workshop process.","autonomous learning; productive failure; direct instruction; product engineering; engineering; mechanics","en","conference paper","The Design Society, Institution of Engineering Designers","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-07","","","Design for Sustainability","","",""
"uuid:fbdc679c-cbe5-4cd0-8783-972b3636fa53","http://resolver.tudelft.nl/uuid:fbdc679c-cbe5-4cd0-8783-972b3636fa53","City-scale damage assessment using very-high-resolution SAR satellite imagery and building survey data for the 2021 Haiti earthquake","Macchiarulo, V. (TU Delft Geo-engineering); Foroughnia, Fatemeh (TU Delft Geo-engineering); Milillo, Pietro (University of Houston); Whitworth, Michael R. Z. (AECOM Ltd.); Penney, Camilla (University of Canterbury); Adams, Keith (Brunel University London); Kijewski-Correa, Tracy (University of Notre Dame); Giardina, Giorgia (TU Delft Geo-engineering)","","2023","After an earthquake, a rapid identification of the damaged building stock is crucial to prioritise rescue operations, ensure primary services to the most affected regions and support reconstruction. Whilst in-situ reconnaissance missions provide invaluable data on the intensity and distribution of earthquake-induced structural damage, the process of collecting field observations is often dangerous, expensive, and is usually undertaken a few weeks after the disaster. Spaceborne Synthetic Aperture Radar (SAR) can remotely provide imagery data of wide affected areas, enabling to reach locations that are difficult or dangerous to access with traditional survey methods. Furthermore, SAR-based observations are independent from daylight illumination and clear-weather conditions. Thanks to the recent availability of Very-High Resolution (VHR) SAR satellites, post-disaster imagery data with sub-metre resolution are now available within a few hours after a major earthquake, opening unprecedented opportunities for complementing in-situ operations. The textural analysis of post-earthquake VHR SAR images could be used to identify backscattering signatures that are likely associated with building damage. However, application has been limited by the lack of methods that correlate the textural properties of damaged structures in radar images with building survey data. In this paper, we present a method using textural features derived from VHR SAR post-event images in combination with building survey data to classify earthquake-induced building damage at city block-level. We tested the proposed method within the context of a joint Structural Extreme Event Reconnaissance (StEER), GeoHazards International (GHI) and Earthquake Engineering Field Investigation Team (EEFIT) mission that followed the 2021 Haiti Earthquake. The developed method was applied to the city of Les Cayes, Haiti, using a post-event Capella SAR image acquired on the 16th of August 2021. The outcomes can positively impact future earthquake scenarios, with the potential to improve rapid disaster response and remotely aid post-earthquake reconnaissance missions.","post-disaster reconnaissance; remote sensing; texture analysis","en","conference paper","","","","","","","","","","","Geo-engineering","","",""
"uuid:3ba89f65-06a5-43f2-8ddc-474a2e528ca3","http://resolver.tudelft.nl/uuid:3ba89f65-06a5-43f2-8ddc-474a2e528ca3","Hoogbouw vraagt om interieurstedebouw","Harteveld, Maurice (TU Delft Urban Design)","","2023","Al decennia staren beleidsmakers en stedebouwkundigen zich blind op hoogbouwbeleid door middel van hoogteregulering. Ook al blijft dit vanuit gezondheid en welstand verstandig, dit is een eenzijdige benadering. Zeker nu er steeds meer openbare ruimtes binnen de hoogbouwprojecten ontworpen en gerealiseerd worden, rijst de vraag hoe we voorbij de gevel stedebouwkundig kunnen sturen. Interieurstedebouw kan antwoord geven op deze vraag door de verticale netwerken van openbare ruimtes in hoogbouwprojecten als fysiek en sociaal in verschillende vormen onderdeel van de stad te benaderen.
For decades, policymakers and urban planners have been obsessed with high-rise policy by means of height regulation. Even though this remains sensible from a health and welfare point of view, this is a one-sided approach. Especially now that more and more public spaces are being designed and realized within high-rise projects, the question arises of how we can steer beyond the facade in terms of urban design. Interior urbanism can answer this question by approaching the vertical networks of public spaces in high-rise projects as physically and socially part of the city in various forms.","public space; Public space; interior public space; interior urbanism; urban design; Urban design; interior architecture; high-rise; public sphere; public policy; Netherlands; urban development; city of the future","en","journal article","","","","","","","","","","","Urban Design","","",""
"uuid:56d2698c-797e-46d7-a068-674a0bce85f7","http://resolver.tudelft.nl/uuid:56d2698c-797e-46d7-a068-674a0bce85f7","Temporal stability of the impact of factors determining drivers’ injury severities across traffic barrier crashes in mountainous regions","Song, Dongdong (Beijing Jiaotong University); Yang, Xiaobao (Beijing Jiaotong University); Ch. Anastasopoulos, Panagiotis (University at Buffalo, State University of New York); Zu, Xingshui (Guiyang Public Security Traffic Administration Bureau); Yue, Xianfei (Shandong Police College); Yang, Y. (TU Delft Transport and Planning; Beijing Jiaotong University)","","2023","Traffic barrier crashes have been a major concern in many prior studies in traffic safety literature, especially in the crash-prone sections of mountainous regions. However, the effect of factors affecting the injury-severities resulting from crashes involving different types of traffic barriers may be different. This paper provides an empirical assessment of the performance of ordered and unordered discrete outcome models for examining the impact of exogenous factors determining the driver injury-severity of crashes involving two types of traffic barriers in mountainous regions: w-beam barriers and cable barriers. For the ordered framework, the alternative modeling approaches include: the generalized ordered logit (GOL) and the random thresholds random parameters generalized ordered logit model (RTRPGOL). Whereas, for the unordered framework, the alternative modeling approaches include: the multinomial logit (MNL), the random parameters multinormal logit (RPL), and the random parameters multinormal logit model with heterogeneity in the means and variances (RPLHMV). Using injury-severity data from 2016 to 2019 for mountainous regions in Guiyang City, China, three injury-severity categories are determined as outcome variables: severe injury (SI), minor injury (MI), and no injury (NI), while the potential influencing factors including drivers-, vehicles-, road-, and environment-specific characteristics are statistically analyzed. The model estimation results show: (a) that the MNL model statistically outperforms the GOL model in terms of goodness-of-fit measures; (b) the RTRPGOL model is statistically superior to the MNL and RPL models; and (c) the RPLHMV model is statistically superior to the RTRPGOL model, and therefore the preferred option among the model alternatives. To that end, the RPLHMV model is leveraged to quantitatively describe the impact of explanatory variables on the driver injury-severity and explore how these factors change over the years (between 2016–2017 and 2018–2019). The results further show that the factors affecting driver injury severities and the effects of significant factors on injury severity probabilities change across traffic barrier crash models and across years. In addition, the results of the temporal effects analysis show that some variables present relative temporal stability, which is important for formulating long-term strategies to enhance traffic safety on mountainous roads. Most importantly, the effects of the explanatory factors that exhibit relative temporal stability are found to vary across traffic barrier crashes. For example, trucks, daylight, curved section segments, and high-speed limit (greater than 55 mph) are some of the factors that have opposite effects between traffic barrier crash models. The findings from this paper are expected to help policy makers to take necessary measures in reducing traffic barrier crashes in mountainous regions by forming appropriate strategies, and by allocating properly their available resources at the pre-planning phase.","Comparison of discrete outcome models; Generalized ordered logit; Heterogeneity in means; Heterogeneity in variances; Injury severity; Mountainous regions; Multinormal logit; Random parameters; Random thresholds; Temporal stability; Traffic barrier","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-05","","","Transport and Planning","","",""
"uuid:52c25442-a10c-4112-9258-104367ebf68a","http://resolver.tudelft.nl/uuid:52c25442-a10c-4112-9258-104367ebf68a","Ontwerpend onderzoek als versneller voor transities opgaven: Reflectie op en aanbevelingen voor ontwerpend onderzoek aan Platform Ontwerp NL","Bobbink, I. (TU Delft Landscape Architecture); Bakkenist, Susanne (Atelier Rest); van der Mark, Martijn (Gemeente Rotterdam); Dirckx, Jeroen (KCAP)","Oudes, Dirk (editor)","2023","Platform Ontwerp NL heeft ons, de auteurs van dit stuk, gevraagd om te reflecteren op ontwerpend onderzoek in de ateliers over de grote transitie opgaven die vlak voor de zomer zijn georganiseerd in het kader van Ontwerpend onderzoek NOVEX. Vanaf november 2023 loopt er een ontwerpend onderzoek traject, dat het ministerie van BZK faciliteert. Dit traject is bedoeld om de provincies te ondersteunen, die aan de Ruimtelijke voorstellen werken. In een aantal stappen gingen ontwerpbureaus aan de slag met beleidsinventarisatie, de analyse van prangende vraagstukken (hot topics) en van nationale overzichten die laten zien welke vraagstukken waar spelen en waar er provincieoverschrijdende kansen liggen om thema’s te verbinden. De ontwerpbureaus werken met de notities die de provincies produceren, waardoor de kaartbeelden die ontstaan, steeds preciezer worden.","Ontwerpend onderzoek; Provincies","nl","report","Vereniging Deltametropool","","","","","","","","","","Landscape Architecture","","",""
"uuid:d00abe97-7ab3-4d0e-a6a0-1956d8ee19b4","http://resolver.tudelft.nl/uuid:d00abe97-7ab3-4d0e-a6a0-1956d8ee19b4","Uplift history of the Troodos ophiolite from the Late Cretaceous until Late Pleistocene: Five phases constrained from numerical modelling and field observations","de Waal, Robin (Vrije Universiteit Amsterdam)","Vrije Universiteit Amserdam","2023","The Troodos ophiolite on Cyprus is one of the few worldwide subaerially exposed pieces of oceanic crust and oceanic mantle, but the tectonic processes pushing an ophiolite undeformed subaerial is still an ongoing debate. The Troodos ophiolite formed during Turonian times in a supra-subduction zone setting simultaneously with the closure of the Neo-Tethyan Ocean. Uplift started with obduction initiation since the early to middle Late Cretaceous during north-south oriented convergence between Africa and Eurasia, emplacing the ophiolite on land, and is still ongoing today through serpentinization processes that are not well understood. During this project, the complete uplift history of the Troodos ophiolite since the Late Cretaceous is investigated with numerical models and fieldwork. The numerical models simulate the topographic variations that result from different types of footwall, including oceanic, oceanic-continental or continental lithospheres. With nine lithosphere-scale numerical models the topographic response was simulated, while varying the type of footwall, the convergence velocity and the subduction angle. Results showed that an oceanic originated lithosphere converging with 2 cm/year and varying dip angles of 13o till 45 km depth followed by ~28o is most likely to cause the uplift that is needed to explain the initial uplift of the ophiolite from the submerged seabed (~3.0 km depth below sea level) to sea level. From the field data, clasts originating from the ophiolite were analyzed in various conglomerates of the sedimentary cover and allowed the quantification of more recent uplift during the Miocene up to the Pleistocene. Calculated maximum and minimum uplift rates for the obduction event and deposition of all individual conglomerates, i.e. Pakhna, Nicosia, Kakkaristra and Fanglomerate Formations, distinguished four separate uplift phases and one erosional phase. The complete uplift history started with the obduction event causing 0.35 km uplift per Myr during the early to middle Late Cretaceous, constrained from the best fitting numerical model, followed by 0.02 km uplift per Myr during the 90o counterclockwise rotation of the Troodos ophiolite in the Late Campanian-Maastrichtian times. The field analysis revealed that during the Miocene, uplift rates increased to 0.69 km/Myr, which coincides with initiation of the underthrusting of the Eratosthenes Seamount. The main uplift phase occurred during the Pliocene with uplift rates between 2.78 to 10.46 km/Myr, which is related to the accelerated serpentinization of mantle rocks by seawater. Since the Late Pleistocene, the Troodos ophiolite became subaerially exposed along with decreasing subduction velocities resulting in a final phase being erosional lacking an uplift component due to reduced compressive forces. The calculated uplift rates correlate properly with major tectonic events in the Eastern Mediterranean. Hence, combining numerical modelling and field observational data contributes to our knowledge about ophiolite obduction and provides more insight in the processes pushing an ophiolite subaerial.","","en","report","","","","","","","","","","","","","Molengraaff Fonds",""
"uuid:d557d611-d1fb-401d-a5d2-f5fcfc10e9a3","http://resolver.tudelft.nl/uuid:d557d611-d1fb-401d-a5d2-f5fcfc10e9a3","A comparison between hydrothermal alteration present in the Vargeão Dome and Vista Alegre impact structures (Brazil) and CRISM remote sensing data from impact structures on Mars","Dieperink, Donna","Vrije Universiteit Amsterdam","2023","Impact-generated hydrothermal systems are considered a possible habitat for early life and are therefore of importance for the search of Martian life. To assess possible (past) impact-generated hydrothermal systems in Martian impact structures, two analogue structures on Earth, the Vargeão and Vista Alegre impact structures in Brazil, were compared to four Martian impact craters: Toro, Auki, Laylá, and Canso. Hydrothermal alteration, consisting of zeolites, celadonite and beidellite, in the Brazilian analogues was observed using hyperspectral data, in combination with optical and scanning electron microscopy data. Based on these data, a decision tree was developed to classify individual minerals. This decision tree was then applied to hyperspectral remote sensing data of the Martian craters. The classification of Toro crater shows clear signals of hydrous minerals: illite, celadonite, and beidellite(?). Other craters lack these signals. This lack of signals can be explained by shortcoming in (pre-)processed CRISM data and in the decision tree, differences in topographical resolution, dust cover, different origin of these hydrous minerals and differences in Martian and terrestrial hydrothermal systems. The developed decision tree is well applicable to terrestrial samples and CRISM data containing hydrothermal alteration, but must be combined with other methods to get a complete overview of hydrothermal alteration. Within the limitations of this study, a minimum crater size for an impact-generated hydrothermal system to occur on Mars is estimated around 30-40 km. Impact-generated hydrothermal systems most likely explain the occurrence of hydrous minerals in Martian craters, because the suggested impact-generated hydrous mineral, illite, is observed in Toro crater, while zeolites, which are likely excavated, are not observed in selected Martian craters.","","en","report","Vrije Universiteit Amsterdam","","","","","","","","","","","","Molengraaff Fonds",""
"uuid:88bde88d-1a3a-4d46-af88-8dccde161214","http://resolver.tudelft.nl/uuid:88bde88d-1a3a-4d46-af88-8dccde161214","Red&Blue: waarom betrouwbare beoordelingen van klimaatrisico’s in vastgoed nog ver weg zijn","Daamen, T.A. (TU Delft Urban Development Management); Mehvar, Abdi (TU Delft Urban Development Management); Taylor, Zac (TU Delft Urban Development Management); van Bueren, Ellen (TU Delft Management in the Built Environment)","","2023","Klimaatverandering zorgt tal van nieuwe risico’s voor gebouwen en infrastructuur. Het in kaart brengen van deze klimaatrisico’s is in volle gang, maar de betrouwbaarheid van de beoordelingen die hieruit volgen laat te wensen over en dit maakt de handelingsbasis beperkt. Dat concludeerden de experts die deelnamen aan de eerste Focal Point Meeting van het Red&Blue-programma over klimaatadaptatie.","","nl","report","Gebiedsontwikkeling.nu","","","","","","","","","Management in the Built Environment","Urban Development Management","","",""
"uuid:177b73c6-70de-401d-9afc-d26ca5211ed3","http://resolver.tudelft.nl/uuid:177b73c6-70de-401d-9afc-d26ca5211ed3","Social-aware Planning and Control for Automated Vehicles Based on Driving Risk Field and Model Predictive Contouring Control: Driving through Roundabouts as a Case Study","Zhang, Li (City University of Hong Kong); Dong, Y. (TU Delft Transport and Planning); Farah, H. (TU Delft Transport and Planning); van Arem, B. (TU Delft Transport and Planning)","","2023","The gradual deployment of automated vehicles (AVs) results in mixed traffic where AVs will interact with human-driven vehicles (HDVs). Thus, social-aware motion planning and control while considering interactions with HDVs on the road is critical for AVs’ deployment and safe driving under various maneuvers. Previous research mostly focuses on the trajectory planning of AVs using Model Predictive Control or other relevant methods, while seldom considering the integrated planning and control of AVs altogether to simplify the whole pipeline architecture. Furthermore, there are very limited studies on social-aware driving that makes AVs understandable and expected by human drivers, and none when it comes to the challenging maneuver of driving through roundabouts. To fill these research gaps, this paper develops an integrated social-aware planning and control algorithm for AVs’ driving through roundabouts based on Driving Risk Field (DRF), Social Value Orientation (SVO), and Model Predictive Contouring Control (MPCC), i.e., DRF-SVO-MPCC. The proposed method is tested and verified with simulation on the open-sourced highway-env platform. Compared with the baseline method using purely Nonlinear Model Predictive Control, the DRF-SVO-MPCC can achieve better performance under various maneuvers of driving through roundabouts with and without surrounding HDVs.","Automated vehicles; Planning and control; Social-aware driving; Roundabouts; Driving Risk Field; Model Predictive Contouring Control","en","poster","","","","","","","","","","","Transport and Planning","","",""
"uuid:cfccc1dc-df8a-4a49-8c1a-c2ebad7a1867","http://resolver.tudelft.nl/uuid:cfccc1dc-df8a-4a49-8c1a-c2ebad7a1867","A methodology to reproduce postbuckling in composite panels to study skin stringer separation","Kootte, Lucas (TU Delft Aerospace Structures & Computational Mechanics)","Bisagni, C. (promotor); Kassapoglou, C. (promotor); Delft University of Technology (degree granting institution)","2023","A building block pyramid is designed used to evaluate a composite aircraft structure, combining tests and analyses, using stiffened panels, single-stringer specimens and coupons. Failure in these structures can be caused by postbuckling-induced skin-stringer separation, which is complex, involving matrix cracks, fibre bridges, and delamination migrations. Standardized tests covering postbuckling-induced skin-stringer separation are lacking. First, a material characterization is performed on the coupon level. These properties are applied to a stiffened panel model to identify critical postbuckling regions. Then a single-stringer specimen is designed that combines the material complexities of the coupon level and the geometrical complexities of the panel by mimicking the postbuckling shape. Specifically a seven-point bending configuration to study bending-induced separation and a four-point twisting configuration for skin twisting-induced separation. The guidelines for modelling and testing can assist in standardising these test methods.
Using composite materials in aircraft structures can reduce weight compared to conventional metals. However, utilizing more of the material's load-carrying capabilities can further reduce weight.","Skin-stringer separation; Building block approach; Stiffened panels; Postbuckling; Thermoset composites; Fracture toughness; Component testing","en","doctoral thesis","","978-94-6366-703-6","","","","","","","","","Aerospace Structures & Computational Mechanics","","",""
"uuid:ff3fb812-182e-4d09-803a-fe4ed30ce603","http://resolver.tudelft.nl/uuid:ff3fb812-182e-4d09-803a-fe4ed30ce603","Building a platform for magnetic imaging of spin waves","Simon, B.G. (TU Delft QN/vanderSarlab)","Kuipers, L. (promotor); van der Sar, T. (promotor); Delft University of Technology (degree granting institution)","2023","Spin waves are the elementary excitations of magnetic materials. They are interesting because of their rich physics and potential role in low-dissipation information technology. To better understand spin-wave transport and explore new ways to control it, this thesis focuses on developing magnetic-imaging techniques based on the single spin of the nitrogen-vacancy (NV) defect in diamond that detects spin waves via their magnetic stray fields. These fields decay evanescently on the scale of the spin wavelength. By using NV centres embedded in an atomic force microscope probe that provides nanometre NV-sample proximity, we achieve sensitivity to nanoscale spin waves.","nitrogen-vacancy (NV) centre; scanning NV magnetometry; spin waves; magnetism; diamond nanofabrication","en","doctoral thesis","","978-90-8593-564-3","","","","","","","","","QN/vanderSarlab","","",""
"uuid:e5e2515f-3a21-4831-b948-abbaa75de13f","http://resolver.tudelft.nl/uuid:e5e2515f-3a21-4831-b948-abbaa75de13f","Local Activism in Urban Neighborhood Governance: The case of Cairo, Egypt","Elwageeh, Aya (TU Delft Urban Studies)","van Ham, M. (promotor); Kleinhans, R.J. (promotor); Delft University of Technology (degree granting institution)","2023","This study investigates local activism in politically challenging contexts, focusing on Cairo. In such contexts, active resident groups strive for urban improvement, while governance arrangements often disregard citizen involvement in urban and public affairs. Cairo presents an exemplary case of local activism in a politically challenging and under-researched context. The study explores the characteristics, roles, and interrelations of active resident groups with local governance arrangements and their deviations from existing literature. It employs a qualitative methodology with observations and semi-structured interviews with local officials and active residents from nine different districts. The study uses Facebook to select, observe, and analyze the activities of multiple active resident groups and contributes to theoretical frameworks for analyzing local activism in complex contexts. It reveals the dominant and absent roles and the governance dimensions (un)attainable by active residents. It also traces the sources of limited local activism in the existing governance arrangements in Cairo, highlighting the importance and difficulty of changing governance arrangements in Egypt. The study broadens our understanding of local activism in the Global South beyond dominant forms of activism.","","en","doctoral thesis","","978-94-6366-709-8","","","","","","","","","Urban Studies","","",""
"uuid:c9cb4a2a-abeb-4de5-b0b0-5bebd3416407","http://resolver.tudelft.nl/uuid:c9cb4a2a-abeb-4de5-b0b0-5bebd3416407","A unified modelling framework for vibratory pile driving methods","Tsetas, A. (TU Delft Dynamics of Structures)","Metrikine, A. (promotor); Tsouvalas, A. (copromotor); Delft University of Technology (degree granting institution)","2023","The ambitious goals towards the decarbonization of the global energy sector have amplified the demand for renewable energy resources. Amongst the renewables, offshore wind possesses a pivotal role in this endeavour, showcasing remarkable growth in recent years. However, this rapid expansion has been accompanied by a series of technical challenges. Foundation installation comprises one of the most critical phases in the construction of an offshore wind farm and engineering advancements in this topic are vital to accommodate this developmental pace. Bottom-fixed foundations are primarily used to support offshore wind turbines and amongst the available concepts, the monopile is the foremost one. The installation of these substructures is most commonly performed via impact hammering. Notwithstanding the robustness and efficacy of this technique, major environmental concerns have been raised due to the significant levels of underwater noise pollution during driving. In view of this alarming issue, alternative and sustainable pile installation techniques have been progressively drawing attention during the last decade and an increasing number of research projects focus on their investigation and development.
At present, the offshore wind industry is increasingly adopting vibratory pile driving. The previous method has been successfully employed in onshore projects for decades, albeit its wider use in the offshore environment is hindered due to the incompleteness of available field observations. To boost the improvement of vibratory installation methods, a new technology has been recently proposed by the Delft University of Technology, namely the Gentle Driving of Piles (GDP). The preceding method aims to enhance the installation performance of vibratory driving for tubular (mono)piles and to reduce the associated noise emissions, via the simultaneous application of low-frequency/axial and high-frequency/torsional vibrations. Naturally, the shift to these technologies is accompanied by emerging research questions pertaining to pile installation, vibro-acoustic and post-installation performances. In this thesis, the development of an engineering-oriented modelling framework for axial vibratory driving and GDP is the primary objective, thereby focusing on the topic of sustainable monopile installation.","Pile driving; Monopile installation; Vibratory driving; Gentle Driving of Piles; Vibrations of shells; Soil dynamics; Thin-Layer Method; Green’s functions; Harmonic Balance Method; Friction fatigue; Friction redirection","en","doctoral thesis","","978-94-6366-716-6","","","","","","","","","Dynamics of Structures","","",""
"uuid:e6f2307f-0b46-402a-92d3-aa98a63754f6","http://resolver.tudelft.nl/uuid:e6f2307f-0b46-402a-92d3-aa98a63754f6","Exploring the potential of Safety Management Systems to support New Approaches based on Safety Fractals","Accou, B.O.R. (TU Delft Safety and Security Science)","Reniers, G.L.L.M.E. (promotor); Groeneweg, J. (copromotor); Delft University of Technology (degree granting institution)","2023","The concept of a safety management system (SMS) to control the risks of operational activities has already been introduced in high-risk industries some decades ago. Nevertheless, such an SMS is often criticized as burdensome and complex. Through its requirement to formalise all main activities, the SMS is perceived as bureaucratic and as a vehicle for pure compliance, exemplary for the old view on safety management. Furthermore, the SMS is often perceived as detached from an organisation’s core and operational activities, and as incompatible with local practice. It is questioned whether it can deliver the expected safe performance....","","en","doctoral thesis","","","","","","","","","","","Safety and Security Science","","",""
"uuid:bd895c0f-043b-43f0-a2a3-6e2d3df18121","http://resolver.tudelft.nl/uuid:bd895c0f-043b-43f0-a2a3-6e2d3df18121","Towards Closed-loop Maintenance Logistics for Offshore Wind Farms: Approaches for Strategic and Tactical Decision-making","Li, M. (TU Delft Transport Engineering and Logistics)","Negenborn, R.R. (promotor); Jiang, X. (promotor); Delft University of Technology (degree granting institution)","2023","Europe’s offshore wind capacity is expected to reach 450 GW by 2050, meeting 30% of Europe’s electricity demand. With the increase of installed capacity, the costs invested in O&M will also increase significantly considering O&M cost is one of the biggest contributors to life cycle costs. The improvement of O&M management for offshore wind farms, especially maintenance logistics, represents a significant cost-reduction opportunity and will continue to be a primary factor in shaping the future development of the offshore wind sector. Recent research provides clear insights into maintenance logistics management, categorizing decisions into three levels, strategic, tactical, and operational. Maintenance strategies and resource organization are strategic and tactical decisions respectively, with a long lasting influence on offshore wind farms. With sensors and communication technologies, wind farm owners/operators and service providers can use the health information of wind farms to design maintenance strategies and organize maintenance resources, and utilize new data to update decisions to realize a closed-loop manner. Thus the research question of this thesis is how to improve the effectiveness of maintenance strategy and resource organization for offshore wind farms and move towards a closed-loop decision-making approach? In this thesis, an open-loop predictive opportunistic maintenance strategy utilizing predicted component failures and maintenance opportunities is developed first. Then, the influence of inaccuracy or uncertainty in model parameters is quantified on maintenance performance and strategies. The significance of different uncertainties is ranked, and suggestions are provided to cope with the uncertain decision-making environment. Next, the approaches are proposed to organize the primary maintenance resources, i.e., spare parts and service vessels, to support the implementation of the open-loop maintenance strategy in a cost-effective manner. Finally, the open-loop maintenance strategy develops towards a closed-loop maintenance strategy that is able to capture dynamic wind farm states and mitigate the influence of model parameter uncertainties, reducing more revenue losses than open-loop approaches. Overall, this thesis provides a series of approaches for offshore wind farm owners and operators and maintenance service providers to instruct the strategic and tactical maintenance logistics for offshore wind farms, showing the potential for improving the effectiveness and moving towards a closed-loop manner.","Offshore wind energy; Operation and maintenance; Maintenance optimization; Spare parts inventory; Fleet size and mix","en","doctoral thesis","","978-90-5584-329-9","","","","","","2023-07-05","","","Transport Engineering and Logistics","","",""
"uuid:71338a17-78d9-44a9-96c5-15b4b841f6b8","http://resolver.tudelft.nl/uuid:71338a17-78d9-44a9-96c5-15b4b841f6b8","Extending the Thick Level Set Approach: Plasticity, Parallel Computing and Cohesive Cracks","Taumaturgo Mororo, L.A. (TU Delft Applied Mechanics)","van der Meer, F.P. (promotor); Sluys, Lambertus J. (promotor); Delft University of Technology (degree granting institution)","2023","Developing accurate and robust numerical approaches that are capable of modeling fracture in solids has been a challenging undertaking in the computational mechanics community for decades. Models based on a continuous formulation or on a discontinuous one have been proposed by numerous authors, expanding upon abilities and disadvantages of these approaches. However, models attempting to bridge these two approaches have been less often encountered in the literature.
Over the last ten years, a new approach for modeling fracture in solids has been developed, coined the Thick Level Set (TLS) method, in which the damage evolution is linked to the movement of a damage front described with the level set method. This model offers an automatic transition from damage to fracture and deals with merging and branching cracks as well as crack initiation in a easy and robust manner. Furthermore, the TLS in its new (second) version, coined the TLSV2, is able to model explicitly the displacement discontinuity at the position of a crack.
These TLS features are very beneficial for the modeling of cusp crack patterns in resin-rich regions of fiber reinforced polymer composites under mode II loading. In this process, plasticity might occur prior to fracture, which begins with a series of inclined cracks that eventually merge to form what is at higher scale of observation understood as a single crack. When the crack reaches one of the boundaries of these resin-rich regions, the localized deformation in these parts is a sliding one, which is expected to be traction-free.
This in situ process has been reported as one of the reasons for the differences in terms of fracture energy between mode I and mode II crack growth since forming cusps requires the emergence of more crack surface than forming a single straight crack. Therefore, in order to simulate this fracture process under realistic boundary conditions, a model based on the TLS can be embedded in a ‘macroscopic’ setup, such as three-point bend end-notched flexure. A monolithic scheme with extreme refinement in a zone of interest is the most straightforward approach; however, for a specimen with realistic dimensions, this can be computationally unfeasible due to the computational resources needed to solve the large systems of equations involved in such problem. An ability to comprehensively model this microscopic process could help to achieve a better understanding of the mechanism behind the observed dependence of the fracture energy on the mode of fracture, which may in turn improve macroscale simulations.
This work focuses on extending the TLS method in order to profit from its full capabilities to deal with simulations of failure in solids under quasi-static loading conditions. For this purpose, several original numerical and theoretical components are proposed for reaching qualitative agreement with experimental observations of cusp formation in polymer matrix. In this context, the primary application of this thesis relies on the experimental observations at the microscopic level of such process. However, it is worth mentioning that the numerical tools developed in this thesis are not limited to the problem of cusps; in fact, they can be either used or easily extended to simulate other problems, for instance crack growth through the microstructure of cementitious materials with different aggregates.
First, the TLS is combined with plasticity in order to deal with ductile fracture since polymers may behave plastically prior to failure, particularly when loaded in shear. To accommodate for plasticity, several changes to the TLS framework are introduced. A strength-based criterion for initiation of damage based on the ultimate yield surface of such plasticity model is proposed. A mapping operator for transferring plastic history is included if the integration scheme in a finite element changes due to the evolution of the level set field. Furthermore, a new loading scheme is devised in order to take into account permanent strain.
Next, a generalized framework for the TLSV2 is introduced. The TLSV2 couples continuous and discontinuous approaches within a single framework, where the continuum part allows for handling crack initiation, branching and merging, whereas the discontinuous part brings the capability to handle discrete cracks with large crack opening or sliding without heavily distorted elements, as well as the possibility to model stiffness recovery upon contact.
Two major issues with the TLSV2 method that have not been dealt with since its inception are addressed in this thesis, and solutions are proposed. Firstly, the method depends on identifying the location of the skeleton curve of the level set field, on which the discontinuity in the displacement field is evaluated. The problem of locating the skeleton curve can be a complicated task, even more so because topological events may emerge as the analysis progresses, such as crack branching. The skeleton curve is determined through a combination of ball-shrinking and graph-based algorithms and then mapped onto the finite element mesh. Secondly, the cohesive forces and displacement discontinuity of the TLSV2 are modeled using the phantom node method. Furthermore, a new approach to compute the non-local crack driving force is introduced, and model calibration is discussed. The degree of stiffness recovery under compression that is still needed for the continuum part is investigated.
The TLS can be a computationally demanding approach. Therefore, a domain decomposition strategy is introduced in order to obtain a parallel implementation of the TLS method. To handle the numerical components specific to the TLS analysis steps involving level set update, equilibrium solution, and damage front advance, a parallel strategy is introduced for each of them. The most demanding task in terms of computational cost, i.e., solving the linearized system of equations from the equilibrium problem, is performed with a parallel iterative method profiting from the adopted domain decomposition method. A communication strategy is provided to deal with enriched nodes and new nodes necessary for the phantom node method belonging to shared regions of subdomains. Collective communication strategies are also proposed to deal with operations related to the level set update, damage front advance, and skeleton curve.
Numerical experiments demonstrate the accuracy and efficiency of the proposed framework in handling simulations of failure analysis with complex crack patterns in a sequential and parallel context.","Thick Level Set; Plasticity; Parallel computing; Skeleton curve; Fracture mechanics","en","doctoral thesis","","978-94-6366-710-4","","","","","","","","","Applied Mechanics","","",""
"uuid:738394ab-c9a3-4c19-9a86-89ed0bed1b32","http://resolver.tudelft.nl/uuid:738394ab-c9a3-4c19-9a86-89ed0bed1b32","Cooperation between Vessel Service Providers for Port Call Performance Improvement","Nikghadam, S. (TU Delft Transport and Logistics)","Tavasszy, Lorant (promotor); Rezaei, J. (copromotor); Delft University of Technology (degree granting institution)","2023","Ports are vital for maritime logistics. With the growth of maritime traffic, ports, and their actor organizations have faced rising pressure. Improving port call performance, to accommodate more vessels in shorter times, is now on top of the agenda for many ports. The performance of ports in offering their vessels services can improve by developing cooperative relationships between the vessel service providers. Service providers can engage in cooperative relationships, share information regarding their resources' availability, and adjust their initial plans. Such synchronization can create a seamless sequence of services, shorten the vessel’s waiting times and eventually improve the port call performance. Despite the strong aspiration for this improvement, progress is still slow worldwide.
This thesis discusses that a crucial missing piece for the advancement of cooperation in ports is the perspective of service providers. The existing literature, generally, points out the benefits of cooperation for the port as a whole, assuming that the port service providers would cooperate if it benefits the whole port, regardless of the benefits for the cooperating parties. However, in major ports today, port services are offered by self-governed organizations each of which has its own goals. As these organizations run their own business and have their own resources and characteristics, they are likely to avoid actions and decisions that are not in line with their business, even if collective benefits exist. Therefore, considering the service providers’ perspectives when designing mutually beneficial cooperation strategies is crucial. To this end, this thesis aims to improve port call performance through cooperation among service providers, considering the perspectives of both vessels and service providers....","","en","doctoral thesis","","978-90-5584-331-2","","","","","","","","","Transport and Logistics","","",""
"uuid:64e15692-06d7-4e3a-9d51-97f4a07b403f","http://resolver.tudelft.nl/uuid:64e15692-06d7-4e3a-9d51-97f4a07b403f","One thing after another: The role of users, manufacturers, and intermediaries in iot security","Turcios Rodriguez, E.R. (TU Delft Organisation & Governance)","van Eeten, M.J.G. (promotor); Hernandez Ganan, C. (promotor); Delft University of Technology (degree granting institution)","2023","In recent years the number of Internet-connected devices (aka as Internet of Things (IoT)) has increased dramatically. IoT Manufacturers have launched into the market a variety of IoT products to make a profit, while users buy them for the convenience of the technology. Despite IoT technology’s benefits to society, infected IoT devices with malicious software (malware) are a serious security concern. For instance, in 2016, we witnessed one of the largest Distributed Denial of Service (DDoS) attacks facilitated by IoT devices. This attack disrupted major well-known websites, including Twitter, Spotify, Github, and others.
Infected IoT devices cause negative externalities. A negative externality is the cost that third parties, who are neither the seller nor the buyer of IoT devices, must incur to protect themselves against DDoS attacks.
In the traditional personal computer world, compromised machines can be remedied with self-service solutions like antivirus. However, there is a lack of such tools to help users remove malicious software once it has taken hold for the wide variety of IoT devices. This, in turn, creates usability issues for users in the IoT space. To remediate infected IoT devices, users may need to take different actions. These actions depend on the device type, its manufacturer, patches or software updates available, and available settings of the device.
Some Internet Service Providers (ISPs) (referred interchangeably as intermediaries in this dissertation) have undertaken the task of notifying users about infected IoT devices in their home network. These types of notifications can aid the threat detection mechanisms of infected IoT devices for users.
Considering that the IoT technology has certain limitations, and users will have to deal with infected IoT devices, and the aforementioned actors are involved, we set ourselves to answer the following research question: How can users mitigate infected IoT devices? And what role can manufacturers and intermediaries play in supporting them? To answer this question in short users require information and actionable advice to take appropriate actions. Manufacturers need to improve security practices, such as removing default credentials from the setup process of IoT devices. ISPs can facilitate threat detection through notifications and DNS-based prevention. The results of this dissertation, suggest that governments should incentivize intermediaries and manufacturers to address this issues, and collaboration among stakeholders is essential since users alone cannot mitigate infected IoT devices even though they are motivated.","Internet of Things; cleanup IoT malware; IoT malware remediation; User experience with IoT malware","en","doctoral thesis","","978-94-6419-829-4","","","","","","","","","Organisation & Governance","","",""
"uuid:e00f2539-c0b9-49a4-a20f-8a4d0e68cba7","http://resolver.tudelft.nl/uuid:e00f2539-c0b9-49a4-a20f-8a4d0e68cba7","SiC-deposited ceramic membranes for treatment of oil-in-water emulsions","Chen, M. (TU Delft Sanitary Engineering)","Rietveld, L.C. (promotor); Heijman, Sebastiaan (promotor); Delft University of Technology (degree granting institution)","2023","Water scarcity, population growth, and climate change are causing a shortage of water resources globally. Industries are turning to the reclamation and reuse of wastewater, including oily wastewater, which is a major byproduct of oil and gas extraction. The small droplet size of oil-in-water emulsions, however, makes them difficult to remove using traditional methods like coagulation and flocculation, gravitational settling, dissolved air flotation, hydrocyclone, and adsorption.
Membrane separation has emerged as one of the most promising techniques to deal with oil-in-water emulsions due to its high removal efficiency and small footprint. The main challenge for the wider adoption of membrane technology for oily wastewater treatment is membrane fouling. Membrane fouling is a pervasive problem in water purification membranes. It could cause serious negative effects, such as a decline in water production, higher operational pressure and associated higher energy consumption.
Ceramic membranes, particularly SiC membranes, are a promising method for removing small oil droplets from water. They are physically and chemically stable and have high fouling resistance to oil droplets. SiC membranes have better permeability and lower fouling tendency compared to other ceramic membranes. However, their high cost limits their widespread application in the market.
In this research, extensive literature reviews were first performed (Chapters 2 and 3) and then we proposed a new method, low-pressure chemical vapor deposition (LPCVD), to prepare SiC-deposited ceramic membranes for oily wastewater treatment. With LPCVD, a layer of SiC was deposited on alumina supports at a lower temperature (750 ˚C), compared to 2000 ˚C for commercial SiC preparations. Due to the low water contact angle (< 5˚) and negatively charged surface, these SiC-deposited alumina ceramic membranes are expected to be more fouling resistant to oil emulsions than the pristine alumina membranes. The performance of deposited membranes is influenced not only by the coated SiC layer but also by the filtration modes used for evaluation. As a result, we respectively used constant pressure and constant flux filtration to assess the fouling of ceramic membranes with and without SiC deposition. Additionally, the emulsion chemistry, such as surfactant concentration, pH, salinity, and Ca2+, plays a crucial role in the interactions between oil droplets and the membrane surface, which can cause membrane fouling. Understanding these mechanisms can be a crucial step towards the feasibility of using LPCVD to prepare SiC membranes for treating oily wastewater with lower fouling.
First, novel SiC-deposited ceramic membranes were developed by LPCVD at a relatively low temperature (750 ˚C) (Chapter 4). Different deposition times varying from 0 to 150 min were used to tune membrane pore size. The pure water permeance of the membranes only decreased from 350 L m-2 h-1 bar-1 to 157 L m-2 h-1 bar-1 when the deposition time was increased from 0 to 120 min. Correspondingly, the membrane pore size was narrowed down from 71 to 47 nm. Increasing the deposition time from 120 to 150 min mainly resulted in the formation of a thin, dense layer on top of the support instead of in the pores. Notably, the SiC layer rendered the pristine membrane surface more hydrophilic and negatively charged, effectively reducing membrane fouling during oil emulsion filtration.
Next, the fouling of SiC-deposited ceramic membranes and the pristine alumina membrane was respectively compared at constant pressure and constant flux filtration conditions (Chapter 5). The threshold flux of the membranes was first determined by flux-stepping experiments. Afterwards, membrane filtration was respectively conducted at below and above the threshold flux. In single cycle constant flux filtration experiment, the fouling tendency of the membranes was consistent with the results of threshold flux experiments. However, the inclusion of backwash in constant flux experiments led to a change in the fouling tendency, which was also dependent on the permeate flux. The improved surface hydrophilicity and charge made backwash more efficient for the modified membranes while extensive modification has a negative effect on membrane fouling resistance due to the huge loss in membrane permeance. In contrast, constant transmembrane pressure experiments showed that the order of membrane fouling was only related to membrane permeance, and no effect of surface properties was observed. Therefore, constant flux filtration experiments with backwash are recommended to be applied to evaluate the performance of the membranes with and without modification.
Finally, the impact of emulsion chemistry and operational parameters on the fouling of alumina membranes with and without a SiC deposition was systematically studied under constant flux filtration mode with backwash (Chapter 6). The results showed that the SiC-deposited membrane had a lower reversible and irreversible fouling when permeate flux was below 110 Lm-2h-1. In addition, a higher permeance recovery after physical and chemical cleaning was observed, as compared to the alumina membranes. The fouling of both membranes was decreased with the increase of sodium dodecyl sulphate (SDS) concentration in the feed, but to a higher extent in the alumina membranes. Increasing the pH of the emulsion could reduce the fouling of both membranes due to the enhanced electrostatic repulsion between oil droplets and membrane surface. Under high salinity conditions (100 mM NaCl), the screening of surface charge resulted in only a small difference in irreversible fouling between the alumina and SiC-deposited membranes. The presence of Ca2+ in the emulsion led to high irreversible fouling of both membranes, because of the compression of diffusion double layer and the interactions between Ca2+ and SDS. The low fouling tendency and/or high cleaning efficiency of the SiC-deposited membranes indicated their potential for oily wastewater treatment.
Overall, this dissertation shows that the fouling of SiC-deposited ceramic membranes is lower than that of the pristine alumina membranes towards oil-in-water emulsion treatment. Although there are still limitations, these SiC-deposited membranes show the potential for further development.
Despite the inconvenience of intermittent operation, the benefit of using intermittently-powered devices instead of ‘classical’ battery-based ones is threefold. The removal of batteries creates a more environmentally-friendly device, harvesting energy from ambient sources is sustainable and removing the battery can potentially lead towards perpetual operation—as long as there is an ambient energy source, battery-free devices will continue operating.
Challenges of battery-free devices however, still include basic features that are foundational to IoT devices. Interaction with battery-free devices has so far remained largely unexplored although reactive and screen-oriented systems are a significant part of today’s and future Internet of Things. Common tools used during development, such as debuggers and testing frameworks, are practically non-existent for intermittent devices. Even basic concepts such as keeping track of time need to be carefully considered on intermittently-powered devices. Finally, wireless networking of intermittently-powered devices is severely limited to only backscatter or one directional communication.
This dissertation addresses the challenges mentioned above by developing and deploying mechanisms that enable connected and fully interactive applications on battery-free devices. These mechanisms alleviate key challenges that hinder actual adoption and infrastructure-less deployment of these battery-free devices.","Battery-Free; Intermittent Computing; Wireless Networking; Internet of Things; Embedded Systems","en","doctoral thesis","","978-94-6384-453-6","","","","","","","","","Embedded Systems","","",""
"uuid:fb1e3e10-0495-43e8-a53c-299062dbe58f","http://resolver.tudelft.nl/uuid:fb1e3e10-0495-43e8-a53c-299062dbe58f","Assessment of bolted connections for supporting structures of offshore wind turbine towers: Mechanical performance and structural health monitoring","Cheng, L. (TU Delft Steel & Composite Structures)","Veljkovic, M. (promotor); Groves, R.M. (promotor); Delft University of Technology (degree granting institution)","2023","In the past two decades, offshore wind has emerged as a new source of renewable energy. This highlights the requirement for the utilisation of larger and more efficient offshore wind turbines (OWTs). The connections used in support structures of OWTs are critical to ensure the excellent structural performance of OWFs. An alternative option is the C1 wedge connection (C1-WC) to join virtually all the wind turbine generator (WTG) towers to their foundations. This connection shows promising potential in reducing construction, installation, and maintenance costs by eliminating the ring flange and using smaller diameter bolts.
Till now, C1-WC has undergone three generations of development. A more comprehensive research program is required to explore its implementation in a wind farm. The load transfer mechanism and critical component of C1 wedge connections are different to the conventional bolted ring flange (RF) connections. It is important to understand the mechanical behaviour of this connection. Meanwhile, support structures are exposed to the harsh environment during the service life of the OWTs. Material degradation and local cracks in the connection are inevitable to affect the serviceability of OWTs. A need for a reliable and rigorous structural health monitoring (SHM) system for the connection is evident. As one of the non-destructive techniques (NDT), Acoustic emission (AE) has been extensively used in early damage detection and real-time assessment of steel structures. Despite its successful applications, challenges still exist in using AE technique for monitoring applications, especially in analysing the recorded data. Therefore, the research aims to assist in understanding mechanical behaviour and evaluating the health status of the innovative connection.
An extensive experimental program was conducted to evaluate the static and cyclic behaviour of the C1-WCs. Additionally, a detailed 3D non-linear finite element (FE) model of the C1-WCs has been developed. The incorporation of material non-linearity and ductile damage allows the FE model to model the post-necking and final fracture of the connection. The FE model replicates with a good agreement the experimental tensile static and cyclic tests up to the final damage and reproduces the joint behaviour correctly. Parametric studies investigate the influence of bolt grade, the friction coefficient between contact surfaces, and the preloading force level on mechanical behaviour. Moreover, a quantitative comparison between C1-WC and two types of connections (RF connection and RF connection with defined contacts) is performed to provide practical insights into the selection and application of such connections and further optimization. FE-assisted analyses were performed to examine the effect of applied boundary conditions, bolt pretension level, and steel grade on the behaviour of the connections.
In addition to mechanical behaviour analysis. this research is also focused on developing data processing methods to address the challenges of AE monitoring for the C1-WCs. A hybrid model is proposed to identify the deformation stage of metal material. This method combines a self-adaptive denoising technique and an Artificial neural network (ANN). To reduce noise in the AE signals, a decomposition-based denoising method is proposed based on singular spectral analysis (SSA) and variable mode decomposition (VMD), referred to as SSA-VMD. After denoising, an ANN is constructed to identify the deformation stage of steel materials using features extracted from the filtered AE signals as input.
Fatigue damage of the C1-WCs could result in catastrophic failure of OWTs. Due to space constraints, it can be challenging to detect surface cracks in the lower segment holes of the C1-WC using commercial sensors. Thin PZT sensors are lightweight and small, making them suitable for use in restricted-access areas. However, their poor signal-to-noise ratio can limit their effectiveness in AE monitoring. A criterion for selecting the optimal thin PZT sensors is proposed and a configuration is designed for multiple sensors. Two signal processing methods are then proposed in terms of this issue. Firstly, a data fusion-based method is proposed to enhance the functionality of thin PZT sensors in AE applications. Convolutional neural networks (CNNs) combined with principal component analysis (PCA) are employed for signal processing and data fusion. Secondly, a baseline-based method is proposed to provide early warning of the fatigue damage of C1-WCs using thin PZT sensors. A benchmark model correlating to the damage state is created by breaking pencil leads. Multi-variate feature vectors are extracted and then mapped to the Mahalanois distance for identification.
Based on this research work, an efficient FE method has been developed to further improve the design of C1-WC. By providing an in-depth guideline for evaluating the mechanical performance of connections used in OWTs, this research has the potential to contribute to the development of more robust and reliable wind turbine structures. Moreover, the proposed signal processing methods for identifying the deformation stage and early fatigue damage can be further explored in structures with similar damage mechanisms. This can lead to the development of more accurate and effective methods for monitoring and assessing the health of offshore wind turbines, ultimately contributing to improved safety and reliability in the renewable energy industry.","C1 wedge connection; ring flange connection; tensile behavior; fatigue performance; Finite Element Modelling; acoustic emission; thin PZT sensors","en","doctoral thesis","","978-94-6366-707-4","","","","","","2024-07-03","","","Steel & Composite Structures","","",""
"uuid:b70b096f-8404-4d8f-8c92-5fa93be0ec9e","http://resolver.tudelft.nl/uuid:b70b096f-8404-4d8f-8c92-5fa93be0ec9e","A Quantitative Analysis of Electrochemical CO2 Reduction on Copper in Organic Amide and Nitrile-Based Electrolytes","Sajeev Kumar, A. (TU Delft Large Scale Energy Storage); Moura de Salles Pupo, M. (TU Delft Large Scale Energy Storage); Petrov, K.V. (TU Delft ChemE/Transport Phenomena); Ramdin, M. (TU Delft Engineering Thermodynamics); van Ommen, J.R. (TU Delft ChemE/Product and Process Engineering); de Jong, W. (TU Delft Large Scale Energy Storage); Kortlever, R. (TU Delft Large Scale Energy Storage)","","2023","Aqueous electrolytes used in CO2 electroreduction typically have a CO2 solubility of around 34 mM under ambient conditions, contributing to mass transfer limitations in the system. Non-aqueous electrolytes exhibit higher CO2 solubility (by 5–8-fold) and also provide possibilities to suppress the undesired hydrogen evolution reaction (HER). On the other hand, a proton donor is needed to produce many of the products commonly obtained with aqueous electrolytes. This work investigates the electrochemical CO2 reduction performance of copper in non-aqueous electrolytes based on dimethylformamide (DMF), n-methyl-2-pyrrolidone (NMP), and acetonitrile (ACN). The main objective is to analyze whether non-aqueous electrolytes are a viable alternative to aqueous electrolytes for hydrocarbon production. Additionally, the effects of aqueous/non-aqueous anolytes, membrane, and the selection of a potential window on the electrochemical CO2 reduction performance are addressed in this study. Experiments with pure DMF and NMP mainly produced oxalate with a faradaic efficiency (FE) reaching >80%; however, pure ACN mainly produced hydrogen and formate due to the presence of more residual water in the system. Addition of 5% (v/v) water to the non-aqueous electrolytes resulted in increased HER and formate production with negligible hydrocarbon production. Hence, we conclude that aqueous electrolytes remain a better choice for the production of hydrocarbons and alcohols on a copper electrode, while organic electrolytes based on DMF and NMP can be used to obtain a high selectivity toward oxalate and formate.","CO2 electroreduction; non-aqueous electrolytes; copper electrode; electrolyte effects; water effects","en","journal article","","","","","","","","","","","Large Scale Energy Storage","","",""
"uuid:e98ab258-5ec4-4536-a2a7-532e5666a0bb","http://resolver.tudelft.nl/uuid:e98ab258-5ec4-4536-a2a7-532e5666a0bb","Urban Food Production: Exploring the potential of urban agriculture for the decarbonisation of cities","ten Caat, P.N. (TU Delft Environmental & Climate Design)","van den Dobbelsteen, A.A.J.F. (promotor); Tenpierik, M.J. (promotor); Tillie, Nico (copromotor); Delft University of Technology (degree granting institution)","2023","The anthropogenic demand for food, energy and water (FEW) resources is growing, changing and increasingly concentrating in cities due fast urbanisation worldwide. Carbon dioxide emissions associated with the FEW supply infrastructure makes cities one of the main drivers of global greenhouse gas emissions. Urban food production (UFP) could potentially mitigate city’s carbon emissions by means of direct and indirect emissions cutbacks, respectively through proximity based advantages and recirculation benefits by integration with the urban resource infrastructure. The inherent complexity and comprehensiveness of food production makes it challenging to explore this method during the urban design process and provide holistic evaluations at an early stage.
This research investigates how urbanising the production of food can mitigate the carbon emissions of urban communities. Along the principles of the FEW nexus approach to resource management, a method and platform have been developed that support professionals such as urban planners and designers with the exploration of urban food production in the design process. The aim of this work is to transform cities into more sustainable and resilient places to live. This work hypothesises that urbanising the production of food resources and making urban food production an integral part of the urban resources infrastructure can help the decarbonisation of cities. The objective of this work is to develop a protocol and platform for a non-expert, multi-disciplinary urban design team that can guide the implementation and evaluation of a food production system. The platform, which has been coined the FEWprint, should guide the agro-urban designer during the exploration phase of the design process by providing quantitative feedback on various relevant indicators. The following main research question has been formulated based on the problem statement, hypothesis, research aim and objective: How could the urban food production design process be harmonised with the FEW nexus principles in order to lower the carbon footprint of the city?...
The research is built on open source data (in-situ and satellite measured as well as numerically modelled) from the Copernicus Marine Environment Monitoring Service, the Dutch Directorate-General for Public Works and Water Management (Rijkswaterstaat), the Royal Netherlands Meteorological Institute, and the Euro-CORDEX regional climate modelling experiment. It also uses the open source numerical modelling software Delft3D from Deltares. All other statistical models and algorithms developed during the research are published and available open source.
The thesis starts by demonstrating the value of probabilistic predictions and uncertainty quantification for coastal ecosystems. That is done by constructing an ensemble modelling framework where certain chosen numerical model inputs and model process parameters are perturbed, to which the simulated coastal chlorophyll-a concentration is sensitive. The model perturbation was implemented using Latin Hypercube Sampling with Dependence (LHSD), and more than 150 ensemble members were produced using the Delft3D model. This ensemble prediction system is then compared to the deterministic model setup. A range of verification metrics that describe the goodness-of-fit, accuracy, reliability, and discrimination properties of both modelling experiments were computed. Apart from the verification metrics, the value of probabilistic predictions was also showcased by evaluating the benefit of having temporal and spatial estimates of uncertainty by producing ensemble band, predictive uncertainty intervals and standard deviations maps.
In Chapter 3 of the thesis, we work towards the quantification of climate change induced uncertainties in coastal phytoplankton response. The first necessary step is a comprehensive data exploration and dimension reduction, which also provides a statistical underpinning of atmospheric variable selection for the climate impact studies conducted later in the thesis. Here a range of existing dimension reduction techniques are described and applied to seven atmospheric variables (air temperature, solar radiation, eastward wind, northward wind, air pressure, relative humidity, and total cloud cover) and the chlorophyll-a data at hand. These techniques are applied in a structured way to include spatial and temporal correlation, as well as functional features in the multi-dimensional data. The applied methods include Principal Component Analysis (PCA), Principal Component Regression (PCR), Partial Least Squares (PLS) Regression, multi-way models (PARAFAC, Tucker and N-PLS), Dynamic Factor Analysis (DFA), and Functional PCA. Room for dimension reduction in the atmospheric data was identified, underlying temporal patterns in the chlorophyll-a signal at different locations were revealed, structural similarities (characterized by a mean function and functional variation) in the Euro-CORDEX climate projections were found, and the most influential atmospheric variables (solar radiation and air temperature) were chosen.
Building on these findings, we propose a way to quantify uncertainties in the climate scenarios that are used for the climate impact studies. The basis of this research step is the development of a stochastic climate generator, which is first tested on the solar radiation variable. This climate generator takes the existing Euro-CORDEX scenarios (a combination of Representative Concentration Pathways and Generic Circulation Model forcings) and enriches them by generating numerous new synthetic scenarios around them. These new generated scenarios are representative of the original ones due to the way the stochastic climate generator is constructed. The basis of the climate generator is a Bayesian multi-layered (hierarchical) model. In this model there are model parameters representing variation in the long term trend, seasonal amplitude, time shift, and additive residual. The generator estimates the distribution of each model parameter with Bayesian inference, and using data from all scenarios. Then, when sampling from the parameter distributions, numerous climate trajectories can be constructed. The climate generator is successfully tested on the solar radiation variable and the generated synthetic radiation projections are used in a demonstration study where uncertainties are further propagated to chlorophyll-a concentrations using the Delft3D numerical model.
In the final research step of the thesis, this Bayesian stochastic generator is extended to air temperature. This way we have numerous (>100) radiation and temperature projections available to propagate climate induced uncertainties to coastal chlorophyll-a response once again, this time covering the entire 21st century. In order to translate the climate signal into chlorophyll-a response, we make use of a Bayesian structural time series model. This model follows a piecewise linear trend and continues to repeat its multi-seasonal behavior, learnt from the past data, and most importantly also includes linear effects of the two climate variables. For the training of this time series model, we construct a historical chlorophyll-a signal by fusing in-situ and satellite measurements. This fused signal helps us to take advantage of the more frequent satellite measurements while correcting them with the more accurate in-situ measurements that are also available for a longer historical period. The Bayesian structural time series model is then trained on the fused chlorophyll-a signal and used for long term projection, taking the generated radiation and temperature scenarios as regressors. Since our main interest is the phytoplankton spring bloom dynamics, as a last step we extract yearly spring bloom cardinal dates (beginning, peak, end) from the long-term chlorophyll-a projections using a non-parametric shape constrained method (log-concave regression). The final result is therefore the estimation of climate change induced uncertainty in the coastal phytoplankton spring bloom dynamics.","climate change; uncertainty quantification; coastal phytoplankton phenology; Bayesian models; data fusion; multivariate analysis","en","doctoral thesis","","978-94-6366-700-5","","","","","","","","","Statistics","","",""
"uuid:366ceaef-39cf-4d87-9883-cf942b4971c4","http://resolver.tudelft.nl/uuid:366ceaef-39cf-4d87-9883-cf942b4971c4","Experimental aeroelastic characterization based on integrated optical measurements","Mertens, C. (TU Delft Aerodynamics)","van Oudheusden, B.W. (promotor); Sciacchitano, A. (copromotor); Sodja, J. (copromotor); Delft University of Technology (degree granting institution)","2023","This thesis presents a novel measurement approach for aeroelastic wind tunnel testing. The key novelty of this approach is the integrated measurement of aerodynamic and structural quantities using an optical technique. The considered approach consists of combined measurements of flow tracer particles and structural markers using a Lagrangian particle tracking system. Based on these measurements, the quantities of interest for the characterization of an aeroelastic interaction, which are the three forces in Collar’s triangle (aerodynamic, elastic, and inertial), are determined. Currently, measurements in aeroelastic wind tunnel tests are typically performed with individual sensors for each quantity of interest (pressure transducers, strain gauges, or accelerometers) that are installed inside the experimental model and/or with a force balance that measures the total loads acting on the model. The integrated optical measurement approach is an advancement over this existing measurement technology because it provides field measurements of the aeroelastic structural response and the unsteady flow field around the experimental model, based on which the aerodynamic and structural load distributions can be determined, without requiring an instrumentation of the model with sensors. This measurement approach is therefore an effective way to produce experimental reference data to support the development of novel aeroelastic prediction methods with a potential to accelerate the technological development process for innovations in aeronautics in the future. The development and applications of the integrated optical measurement in this thesis are based on the measurements that were performed in three experimental campaigns in the wind tunnel. Each of the three experiments corresponds to one of the three main chapters of this thesis. All three experiments are performed on a largemodel scale, with dimensions on the order of 1m, which is a scale of high practical relevance for aeroelastic wind tunnel testing. The complexity of the three experiments, in terms of the aeroelastic phenomena that are observed, is increased incrementally, from a rigid-body motion, over a linear aeroelastic test case, to a nonlinear aeroelastic test case. Based on the observations and findings of the previous experiments, the data analysis methods for the subsequent experiments are selected and applied. The first measurements with the integrated approach...","experimental aeroelasticity; wind tunnel testing; flexible wing; gust response; unsteady aerodynamics; Lagrangian particle tracking; PIV","en","doctoral thesis","","978-94-6366-706-7","","","","","","","","","Aerodynamics","","",""
"uuid:76ff65e4-cf07-4ff4-b3b5-937860e0f675","http://resolver.tudelft.nl/uuid:76ff65e4-cf07-4ff4-b3b5-937860e0f675","Accelerating Programmer-Friendly Intermittent Computing","Kortbeek, V. (TU Delft Embedded Systems)","Langendoen, K.G. (promotor); Pawełczak, Przemysław (promotor); Delft University of Technology (degree granting institution)","2023","The Internet of Things (IoT) is taking the world by storm, from smart lights to smart plant monitoring. This revolution is not only present in consumers’ homes, but companies are also looking for more and more ways to monitor every aspect of their production process. This transition to ubiquitous monitoring is made possible by extremely low power embedded devices, mostly powered by batteries. However, with the projected number of IoT devices reaching tens of billions within the next few years, this growth will directly contribute to a massive increase in battery waste, negatively impacting the environment. This increase in battery waste alone is already a well-founded reason to explore alternative energy sources. However, batteries come with more downsides. Many of these IoT devices will operate in hard-to-reach places (e.g., embedded into walls), and the sheer quantity in which these devices will be deployed will make it nearly impossible to replace batteries periodically without employing a costly dedicated workforce...","intermittent computing; battery-free; compiler; interpretation; embedded; low-power; energy harvesting; non-volatile memory","en","doctoral thesis","","978-94-6473-147-7","","","","","","2024-06-29","","","Embedded Systems","","",""
"uuid:2c4855b0-96f8-4a0f-bbca-22e1dad25874","http://resolver.tudelft.nl/uuid:2c4855b0-96f8-4a0f-bbca-22e1dad25874","Transforming urban heating systems: Integrating perspectives on water use, committed emissions and energy justice in the city of Amsterdam","Kaandorp, C. (TU Delft Water Resources)","van de Giesen, N.C. (promotor); Abraham, E. (copromotor); Delft University of Technology (degree granting institution)","2023","","urban heating systems; water-energy Nexus; climate change mitigation; urban sustainability transitions","en","doctoral thesis","","978-94-93315-82-2","","","","","","","","","Water Resources","","",""
"uuid:abdccd21-e390-45f5-b7a3-985f9a8a682e","http://resolver.tudelft.nl/uuid:abdccd21-e390-45f5-b7a3-985f9a8a682e","Route Choice Behaviour under Uncertainty in Public Transport Networks: Stated and Revealed Preference Analyses","Shelat, S. (TU Delft Transport and Planning)","van Lint, J.W.C. (promotor); Cats, O. (promotor); van Oort, N. (copromotor); Delft University of Technology (degree granting institution)","2023","Arguably, nearly all real-world decisions, including travel choices, are inherently associated with subjective uncertainty where decision-makers’ personal evaluations play a significant role. In public transport networks, uncertainty due to waiting time and, recently, the COVID-19 pandemic possibly induce the most frustration and anxiety. Therefore, with the overarching aim of making public transport a viable and satisfying option, this thesis is dedicated to modelling and analysing the impact of such pervasive uncertainty on public transport travellers’ route choice behaviour.","","en","doctoral thesis","","978-90-5584-327-5","","","","","","","","","Transport and Planning","","",""
"uuid:a8225d35-bb57-4d76-a288-8f96d215f246","http://resolver.tudelft.nl/uuid:a8225d35-bb57-4d76-a288-8f96d215f246","Improving Environmental Sustainability of Regional Railway Services","Kapetanović, M. (TU Delft Transport and Planning)","Goverde, R.M.P. (promotor); van Oort, N. (copromotor); Delft University of Technology (degree granting institution)","2023","Regional non-electrified railways in Europe are facing significant challenges to improve energy efficiency and reduce greenhouse gas (GHG) emissions. In addition to GHG emission regulations, companies are also imposing voluntary emission reduction targets, not only because of corporate responsibility, but also in an attempt to improve their market share, company image, and value. Featured with low transport demand compared to the main corridors, complete electrification of regional lines is often not economically viable. The solutions are being sought in alternative energy carriers and catenary-free propulsion systems. The transition from conventional diesel traction is a complex and context-specific dynamic decision-making process that requires involvement of multiple stakeholders and consideration of numerous aspects. It requires in-depth analyses that include identification of available technology, design, modelling, and assessment of potential alternatives, with respect to the particular case-related constraints imposed by infrastructure, technical and operational characteristics (e.g., track geometry, speed, and axle load limitations, maintaining existing timetables, noise-free and emission-free operation in stations, etc.). Hence, the overarching aim of this thesis is to identify and assess potential solutions in reducing overall (Well-to-Wheel) energy use and GHG emissions from the operation of regional trains, focussing primarily on synergetic adoption of alternative propulsion systems and energy carriers. We use the case study of the Dutch Northern lines with rolling stock and train services of Arriva to undertake this research, providing several scientific and practical contributions.","","en","doctoral thesis","","978-90-5584-325-1","","","","","","2023-06-28","","","Transport and Planning","","",""
"uuid:904477fe-5ac7-41a9-806a-f6178f8ba11c","http://resolver.tudelft.nl/uuid:904477fe-5ac7-41a9-806a-f6178f8ba11c","High-frame-rate volumetric ultrasound imaging using dedicated arrays and deep learning","Ossenkoppele, B.W. (TU Delft ImPhys/Medical Imaging; TU Delft ImPhys/Verweij group)","de Jong, N. (promotor); Verweij, M.D. (promotor); van Sloun, R. J. G. (promotor); Delft University of Technology (degree granting institution)","2023","High-frame-rate volumetric ultrasound imaging is highly desired to enable novel clinical ultrasound applications. However, realizing high-quality volumetric ultrasound imaging at a high frame rates (>500 Hz) is challenging. Keeping the cable count and data rate of the transducer device at a realistic level without sacrificing image quality to an undesirable extend means that a dedicated design with carefully chosen trade-offs is required and powerful processing of the received signals is desired. This thesis describes the development of a high-frame-rate 3D ultrasound transducer through dedicated transducer design and explores the use of deep learning-based beamforming to achieve high-quality 3D imaging. Specifically, the first part of this thesis focuses on the development of an imaging scheme and the realization and testing of two prototype transducers for high-frame-rate 3D intracardiac echography (3D-ICE). The second part of the thesis implements deep learning in the image reconstruction process to improve the image quality of volumetric ultrasound. Deep learning-based beamforming is implemented and evaluated first for a miniature matrix array, which similar to the 3D-ICE design applies micro-beamforming to achieve cable count reduction and finally for a spiral array which uses a sparse distribution of transducer channels.","ultrasound; 3D; ICE; high frame rate; matrix transducer array; deep learning; beamforming","en","doctoral thesis","","978-94-6366-701-2","","","","","","","","","ImPhys/Medical Imaging","","",""
"uuid:5978034d-f9e5-4094-99dc-dd8591828125","http://resolver.tudelft.nl/uuid:5978034d-f9e5-4094-99dc-dd8591828125","Sandy beaches in low-energy, non-tidal environments: Unraveling and predicting morphodynamics","Ton, A.M. (TU Delft Coastal Engineering)","Aarninkhof, S.G.J. (promotor); Vuik, V. (copromotor); Delft University of Technology (degree granting institution)","2023","Sandy foreshores, beaches and dunes play an eminent role in flood risk reduction in coastal areas, reducing the impact of wind waves and storm surges on the hinterland. In some areas, sandy protection is naturally present. In other coastal areas, engineering solutions are needed to provide safety. “Soft” sediment-based solutions often serve multiple objectives, including flood safety, but also provide other ecosystem services. Knowledge of morphodynamics of these “soft” solutions (i.e. beaches) is crucial for protecting and managing coastal areas prone to flood risk. The aim of this thesis is to understand and quantify how hydrodynamic processes drive morphological development of low-energy, non-tidal, sandy beaches.","Sandy beaches; morphodynamics; low-energy; LakeSIDE","en","doctoral thesis","","978-94-6469-414-7","","","","","","","","","Coastal Engineering","","",""
"uuid:3fc78df4-ddf4-4dad-8ea7-016813d4debc","http://resolver.tudelft.nl/uuid:3fc78df4-ddf4-4dad-8ea7-016813d4debc","Understanding degradation mechanisms at railway transition zones using phenomenological models","Faragau, Andrei B. (TU Delft Dynamics of Structures)","Metrikine, A. (promotor); van Dalen, K.N. (promotor); Delft University of Technology (degree granting institution)","2023","Due to the current climate crisis, railway transport is receiving increased attention owing to its capability of running fully on electricity, which can be generated from renewable sources. High-speed railway networks and the new concepts, such as Hyperloop, are already competing with road and aviation transport. However, the increased demand on railway transport causes an acceleration in infrastructure degradation leading to an increased frequency of maintenance and repair operations. Consequently, what before was considered normal ""wear and tear"" of the infrastructure is quickly turning into serious challenges causing disruptions to the normal operation of traffic.
When it comes to track degradation, the so-called transition zones require significantly more frequent maintenance than the regular parts of the railway track. Transition zones in railway tracks are areas with substantial variation of track properties (e.g., foundation stiffness) encountered near rigid structures such as bridges, tunnels, culverts, or rail-crossings. The occurrence of differential settlements at transition zones has been known for a long time and a multitude of mitigation measures have been designed to cope with this problem. Nonetheless, the mitigation measures have had just limited success and in some cases have even exacerbated the problem. Although the failure of some mitigation measures stems from inadequate design and poor implementation, overall, the lack of efficiency of mitigation measures can be attributed to the lack of understanding of the main mechanism(s) that drive(s) the differential settlement. Therefore, to design efficient mitigation measures, one needs to advance the understanding of the physical processes leading to differential settlements at transition zones. This constitutes the first objective of this dissertation.
The settlement mechanisms are studied in this dissertation through models rather than in-situ measurements or lab experiments. The majority of previous studies have used models to (i) understand and (ii) predict the response of railway tracks at transition zones. Researchers aiming at (i) have usually used simplified phenomenological models in which system characteristics that are not of interest are excluded. More recently, the models' complexity has increased tremendously by incorporating many system characteristics, making these models ideal for (ii), but less ideal for (i) due to the many mechanisms simultaneously at play. This led to the second objective of this dissertation, which is to investigate the effect of specific characteristics of the railway system on the degradation at transition zones. In other words, the second objective entails improving the simplified models by incorporating additional characteristics and determining which of these characteristics is of importance and which can be neglected.
Naturally, this dissertation can only focus on a few of the many aspects involved in this complex problem, and the two main constraints are presented in the following. Improving the maintenance operations themselves by employing new technologies could lead to a reduction in the maintenance frequency. However, to develop a long-term solution, one should aim at eliminating the root cause. Therefore, this dissertation investigated the \emph{initiation} phase of the settlement, and not the accumulation phase. Furthermore, this dissertation focused on the differential settlement stemming solely from the amplification of stresses and strains that occur at transition zones, which is significant at relatively large train velocities. Consequently, this dissertation has not treated other sources of differential settlements, such as the different rates at which autonomous settlement develops in the open-track and at the man-made structure.
Using a simple phenomenological model representative of the railway track, Chapter 2 demonstrates that the response amplification at transition zones is caused by the interference between the steady-state field and the free field generated by the transition process. Consequently, the more pronounced the free field, the larger the resulting amplification. It also shows that the soft-to-stiff and stiff-to-soft transitions have significantly different behaviour, strongly suggesting the need of different mitigation measure designs for the two types of transition. Finally, the transition radiation energy is shown to be invariant between the soft-to-stiff and stiff-to-soft scenarios, finding which was unexpected considering the above-mentioned difference in behaviour.
Investigating the vehicle-structure interaction, Chapter 4 demonstrates that the amplification of the wheel-rail contact force caused purely by a change in foundation stiffness and damping (i.e., a track without initial imperfections) can be significant. Previous literature studies concluded the opposite; however, these studies considered only quasi-static velocities and small effective changes in foundations properties. The findings presented in this chapter, thus, supplement earlier findings to offer a more complete picture. Nonetheless, even though the vehicle-structure interaction leads to a stronger transition radiation, it leads to a reduction of the response amplification at the critical locations in transition zones where settlement is usually observed.
Chapter 5 identifies three response amplification mechanisms at transition zones in systems that have a periodic nature. The amplification is the product of a system with periodic nature and with a local inhomogeneity, and if one of these characteristics is omitted, the amplification does not occur. While these mechanisms can be influential for the railway over-head wires and for the emerging Hyperloop transportation system, they have a negligible influence in the conventional railway track. Consequently, for investigations focused on transition zones and response amplification at low frequencies, the periodicity of the railway track can be successfully approximated by the equivalent continuously supported one without neglecting influential amplification mechanisms.
Chapter 6 introduces the ballast settlement and investigates its influence on the transition process. It shows that the development of the initial settlement leads to a redistribution of the transition radiation energy during the transition not only between frequencies, but also between the soft and stiff media. This redistribution is mainly attributed to the separation between the beam and foundation at the settlement location. Consequently, if the developed settlement is not large enough to allow for this separation, the influence of the nonlinear foundation on transition radiation is negligible.
Chapter 8 investigates the influence of the foundation nonlocality on transition radiation. It shows that the nonlocality of the soil layer has an increasingly pronounced effect on the steady-state response with its decreasing shear stiffness. Consequently, modelling the nonlocality of the supporting structure can be important for railway tracks founded on soft soils. Furthermore, for ballasted tracks founded on soft soils, the response amplification at transition zones can be more pronounced in the soil layer than in the ballast layer depending on the transition type. This is caused by the vertical stiffness of the ballast layer can be significantly larger than the one of the soil. This finding suggests that soil settlement should be accounted for if the long-term behaviour is to be correctly represented.
The investigation of several mechanisms of response amplification at transition zones performed in this study has led to a deeper understanding of the mechanisms leading to differential settlement at transition zones in railway tracks. This knowledge can serve future researchers and engineering in designing more efficient mitigation measures.
Quantum networking has been studied for a few years already. Nevertheless, the current state of the art of quantum networks is somewhat comparable to that of the classical internet at the end of the 1960s: lots of interesting ideas, some experimental demonstrations, and very few reliable testbeds. Scaling up to larger networks of quantum computers requires joint efforts of physics, mathematics, electronics and computer science, at the very least. Bringing these disciplines together is a very bumpy road, given that we do not yet have standard quantum physical platforms to work with, nor universal frameworks and testbeds to validate our hypotheses against. One of the missing links between the highly-complex physical platforms and networks and the high-level descriptions of quantum networking applications is a framework that bridges that gap between these two, providing platform-independent abstractions of the underlying physics to programmers and users of a quantum network.
The goal of this thesis is threefold: discuss the requirements for such a framework of abstractions — which we refer to as an operating system — for quantum networks, propose a design for such an operating system, and implement and validate this design on a physical quantum network. Whilst we are interested in measuring the performance of the operating system, we consider our design to be best-effort, and thus we are primarily aiming at establishing a baseline for future research in this field. Nevertheless, we are after a fully-functional product that we hope can be used to push the boundaries of quantum networking demonstrations, and to better understand the challenges of designing and implementing efficient operating systems for quantum network nodes.","Quantum networks; operating systems","en","doctoral thesis","","978-94-6384-457-4","","","","","","","","","QID/Wehner Group","","",""
"uuid:aabdc210-7b2a-4e28-808b-9dce5c3b9a43","http://resolver.tudelft.nl/uuid:aabdc210-7b2a-4e28-808b-9dce5c3b9a43","Catalytic ceramic nanofiltration for direct surface water treatment and fenton cleaning","Lin, B. (TU Delft Sanitary Engineering)","Rietveld, L.C. (promotor); Heijman, Sebastiaan (promotor); Delft University of Technology (degree granting institution)","2023","Over the past decades, direct nanofiltration (NF) without pre-treatment has been widely recognized as an alternative for conventional membrane technologies in both drinking water and wastewater treatment, owing to its advantages in energy saving, low chemical usage and high permeate purity. As an alternative, ceramic NF has received growing attention in recent years, given its good robustness and stable separation capabilities as compared to polymeric NF membranes. Organic fouling of ceramic NF membranes remains the key problem affecting the performance of the membranes in water treatment. However, conventional forward flush with pure water is not effective for removing the organic fouling due to its sticky nature. Backwash with pure water has to be applied at high pressures, thereby having a risk of damaging the structure of the membranes. Therefore, chemical forward flush with strong acids, bases or chlorine is frequently required as a substitute for backwash and conventional forward flush, leading to more consumption of the chemicals. To apply innovative ceramic NF in direct surface water treatment, an eco-friendly cleaning strategy of using Fenton-based oxidation was studied in relation to the fouling characteristic of the membrane.
A literature study was done to review the present knowledge on using oxidation methods for fouling mitigation of ceramic membranes. It was found that existing studies predominantly were focused on direct oxidation of organic substances in feed water of ceramic membrane filtration. This kind of oxidation strategies could mainly reduce cake layer fouling of the membranes, while in many cases aggravating pore clogging due to an oxidation-induced conversion of large-sized organic molecules into smaller ones. Additionally, there is a risk of secondary pollution by using oxidation in the feed water, since the oxidants and potentially produced oxidation by-products can penetrate the membranes into permeate. However, little knowledge is available on using oxidation for cleaning fouling layers, in particular, on a ceramic NF membrane, in terms of the impact of its fouling characteristics on the efficacy of oxidative cleaning. It was thus recommended that, investigating the efficacy and mechanisms of an oxidative cleaning method for ceramic NF membranes, should be based on an in-depth understanding of fouling of the membranes...
The dissertation first explores the requirements for conceptualizing applied game engagement, identified through an analysis of three applied gaming projects and an empirical study. It then uses these requirements to develop the Applied Games Engagement Model (AGEM). The AGEM posits that engagement is the process of focusing attention on a task and that attention can be purposefully directed through design.
The practical use of the AGEM is then explored by analyzing applied games. The theory is extended with relevant game design knowledge and applied to game design practice. This results in the Lens of Engagement for Applied Games, a unique way to view the design of an applied game.
Overall, this dissertation provides a comprehensive perspective on applied game engagement, emphasizing the role of attention and its relation to game design. It offers a practical and workable method of considering and discussing game engagement, which can be used by anyone creating or studying applied games.","applied games; game design; engagement","en","doctoral thesis","","","","","","","","","","","System Engineering","","",""
"uuid:a05ddfd2-b82e-453d-a2c7-a7a9e4ce3082","http://resolver.tudelft.nl/uuid:a05ddfd2-b82e-453d-a2c7-a7a9e4ce3082","Development of metal contacts with screen printing for n+ polysilicon/SiO𝑥 passivated silicon solar cells","Chaudhary, A. (TU Delft Photovoltaic Materials and Devices)","Zeman, M. (promotor); van Swaaij, R.A.C.M.M. (promotor); Delft University of Technology (degree granting institution)","2023","The continued reliance on fossil fuels to satisfy the world energy demand is leading to climate change, accelerating the melting of polar ice shelf, and is dealing irreversible damage to the flora and fauna of earth, to name few of the adverse effects from fossil fuel utilisation. In addition to not being renewable, fossil-fuel resources are also limited. Therefore these resources cannot meet the energy demand at some point in future. The most plausible way is to utilise renewable sources of energy to meet the increasing demand of energy. The Sun, our closest star is the answer to this demand. Utilising the abundant solar radiation arriving at earth to generate electricity is a great way. A photovoltaic (PV) solar cell can achieve this by converting the incident sunlight directly to electricity.....
In order to investigate the potential of flexibility, this thesis presents a mathematical model and a heuristic algorithm (Adaptive Large Neighborhood Search, ALNS) for the simultaneous routing of shipments and vehicles. The proposed approach enables flexible routing and scheduling of vehicles, improving the overall efficiency of the transport system in a static setting as a proof of concept. The results of numerical experiments demonstrate that implementing the proposed approach with flexible services can result in 14% reduction in costs compared to existing methods that do not consider flexibility.
In dynamic planning, this thesis tackles the issue of service time uncertainty in synchromodal transport by using an online Reinforcement Learning (RL) approach, assisted by the ALNS algorithm. The proposed model-assisted RL integrates RL and ALNS to leverage the data-driven strengths of RL and the domain knowledge of ALNS. In this way, the model-assisted RL addresses the ""curse of dimensionality"" caused by the large state space and complex actions in synchromodal transport. The RL approach dynamically adapts to unexpected events that cause uncertainty by learning from real-time data collected from transport operators, terminal operators, and sensors, without requiring any prior information. The proposed approach was tested in various scenarios that included disturbances, disruptions, and a combination of different types of events, and was found to perform better than traditional waiting and average duration strategies in reducing delay, waiting time, cost, and emissions.
When it comes to preference-based planning, this thesis addresses the challenge of incorporating the heterogeneous and vague preferences of shippers and carriers. To account for carriers' preferences, a multi-objective optimization model that incorporates weight intervals is proposed to handle vague preferences. The model generates a Pareto frontier of solutions that best reflects the carriers' preferences, allowing them to make informed decisions. For shippers' preferences, the thesis employs multiple attribute decision-making and fuzzy set theory to address the heterogeneity and vagueness of preferences, respectively. The results demonstrate that incorporating preferences results in improved satisfaction among shippers by providing solutions with preferred attributes on cost, time, emissions, risk, and delay. By improving shipper satisfaction, carriers can benefit from increased customer loyalty and retention, leading to a competitive advantage in the market. Moreover, by considering various attributes, such as cost, time, emissions, risk, and delay, the model can help carriers make more informed and sustainable decisions, leading to improved environmental performance and compliance with regulations. Overall, incorporating preferences in planning can result in a win-win situation for both shippers and carriers, leading to improved operational performance and a sustainable competitive advantage.
In collaborative planning, this thesis examines the benefits of horizontal collaboration among carriers through the sharing of requests and the consideration of eco-labels. The thesis presents an auction-based mechanism to facilitate collaboration and enable distributed planning. Results indicate that this approach leads to increased request fulfillment, improved sustainability, and reduced costs compared to centralized and non-collaborative planning approaches. On the tested instances, the collaboration between carriers can result in significant increases in the proportion of served requests, with gains of 48% and 11% for synchromodal and unimodal carriers, respectively. Additionally, by taking into account eco-label preferences, the use of the highest or mixed eco-labels can lead to emissions reductions of up to 70% and 15%, respectively, compared to ignoring preferences. Compared to synchromodal carriers, unimodal carriers, especially truck carriers, need to share more requests in collaborative planning to reduce the overall cost. From a policy-making perspective, policymakers can take steps to promote the development of synchromodal transport by implementing incentives for collaborative planning and utilizing eco-labels to achieve sustainable synchromodal transport solutions.
In summary, this thesis provides solutions to address the gaps in synchromodal transport planning by proposing innovative mathematical models and algorithms. These methodologies aim to increase the flexibility, reliability, and sustainability of transport services while also reducing cost, time, emissions, and delay. Additionally, the proposed methodologies consider the preferences of both shippers and carriers, promoting a collaborative and eco-friendly approach to transport planning. The numerical experiments and case studies demonstrate the effectiveness and superiority of the proposed approaches compared to existing methodologies.","","en","doctoral thesis","","978-90-5584-326-8","","","","","","","","","Transport Engineering and Logistics","","",""
"uuid:0071a2f9-c56f-4f75-b6eb-63ebadadc918","http://resolver.tudelft.nl/uuid:0071a2f9-c56f-4f75-b6eb-63ebadadc918","Abstraction-Guided Modular Reinforcement Learning","Ponnambalam, C.T. (TU Delft Algorithmics)","Spaan, M.T.J. (promotor); Oliehoek, F.A. (promotor); Delft University of Technology (degree granting institution)","2023","Reinforcement learning (RL) models the learning process of humans, but as exciting advances are made that use increasingly deep neural networks, some of the fundamental strengths of human learning are still underutilized by RL agents. One of the most exciting properties of RL is that it appears to be incredibly flexible, requiring no model or knowledge of the task to be solved. However, this thesis argues that RL is inherently inflexible for two main reasons: 1. If there is existing knowledge, incorporating this without compromising the optimality of the solution is highly non-trivial, and 2. RL solutions can not be easily transferred between tasks, and generally require complete retraining to guarantee that a solution will work in a new task.
Humans, on the other hand, are very flexible learners. We easily transfer knowledge from one task to another, and can learn from knowledge that we learned in other tasks or that other people share with us. Humans are exceptionally good at abstraction, or developing conceptual understandings that allow us to extend knowledge to never-before seen experiences. No artificial agent nor neural network has displayed the abstraction and generalization capabilities of humans in such varied tasks and environments. Despite this, utilizing the human as a tool for abstraction is commonly done only at the stage of defining the model. In general, this means making choices about what to include in the state space that will make the problem solvable without adding unnecessary complexity. While necessary, this step is not explicitly referred to as abstraction, and it is generally not considered relevant to how RL is applied. Much of the research in RL is less focused on how the problem is modelled, and instead centers the development and application of computational advances that allow for solving bigger and bigger problems.
Applying abstraction explicitly is highly non-trivial, as confirming that an abstract problem preserves the necessary information of the true problem can generally only be done if a full solution is already found, which may defeat the purpose of finding an abstraction if such a solution cannot be found. When such a confirmation can be made, the abstraction can be the result of a very complex function that would be difficult for a human to define. In this work, human-defined abstractions are used in a way that goes beyond the initial definition of the problem.
The first approach, presented in Chapter 3, breaks a problem into several abstract problems, and uses the same experience to solve each at the same time. A meta-agent learns how to compose the learned policies together to find the optimal policy. In Chapter 4, a method is introduced that uses supervised learning to train a model on partially observable experience which is labelled with hindsight. The agent then learns a policy on predicted states, trading off information gathering with reward maximization. The last method presented in Chapter 5 is a modular approach to offline RL, where even with expert data, the method can become ineffective if the given data does not cover the entire problem space. This method introduces a second problem of recovering the agent to a state where it can safely follow the expert’s action. The method applies abstraction to multiply the given data and safely plan recovery policies. Combining the recovery policies with the imitation policy maintains high performance even when the expert data provided is limited.
In the methods developed in this research, a learning-to-learn component enables the agent to relax the usually strict requirements of abstraction, the parallel processing allows the agent to learn more from fewer samples, and the modularity means that the agent can transfer its knowledge to other related tasks.
This thesis presents a model tool to optimize the energy yield and impact on the environment of installing turbines in flood defences by altering the turbine placing. Mapping out the effects of turbines on the flow is the central question. To answer this question, this research consists of three parts: (1) measuring the field situation, (2) testing a turbine in the laboratory and (3) setting up an analytical model that is coupled to a regional flow model.
In the first part of this study (1), unique, high-resolution data of the flow through the Eastern Scheldt storm surge barrier and around the turbines were investigated. In particular, for the first time in the literature, commercial-scale turbines are used to determine the effect of tidal turbines on the water flow. The power output of the turbines is also quantified. The data is used to derive an analytical model of the flow around a turbine in a barrier. This model can calculate the power of tidal turbines and the resistance of the barrier and turbine for different forms of the installation and variable strength of the external flow.
In the second part of this study (2), these insights were refined in laboratory tests, in which the configuration of the turbine and barrier was varied. This method is more representative of real turbines because it has a larger scale factor (1:9) than is usual in the literature. The tests show that the generated power strongly depends on the position of the turbine relative to the barrier. The data also show that the combined resistance of a barrier and turbine is lower than the sum of the individual resistances. These outcomes are used to successfully validate the previously developed analytical model.
In the last part of this study (3), the developed analytical model was implemented in a larger-scale numerical flow model. In this larger-scale model, the small-scale flow around a barrier with turbines is linked in an efficient way to the large-scale water movement in a tidal basin. This makes it possible to optimize existing or new tidal power stations, both at the level of the entire barrier and at that of a single flow opening. The impact on the environment can therefore be determined with the model, even more accurately than was previously possible.
The research in this thesis shows that the effect of the turbines on the flow at a larger distance is smaller than previously thought. This offers the possibility, for example, to install more turbines and harvest more energy without exceeding the acceptable environmental impact (e.g. ecological effects). This study has contributed to confidence in the technical and economic feasibility of turbine installations that can be built in hydraulic engineering works in the Dutch Delta. The developed calculation tool is freely available to investigate energy yield and environmental effects of tidal energy projects worldwide.","tidal energy; hydrodynamics; modelling","en","doctoral thesis","","978-94-6483-182-5","","","","","","","","","Environmental Fluid Mechanics","","",""
"uuid:7b9395d3-a654-4a6e-8c98-35eb5901194e","http://resolver.tudelft.nl/uuid:7b9395d3-a654-4a6e-8c98-35eb5901194e","Towards a convergent approach to the use of data in digital health design","Pannunzio, V. (TU Delft Methodologie en Organisatie van Design)","Kleinsmann, M.S. (promotor); Snelders, H.M.J.J. (promotor); Delft University of Technology (degree granting institution)","2023","Digital health is a vibrant and dynamic field, encompassing subsets such as mobile health, health information technology, wearable devices, telehealth and telemedicine, and personalised medicine. While digital health adoption has been markedly accelerated by the covid-19 pandemic (Inkster et al., 2020), an evolving body of research has focused on describing and addressing specific challenges related to the design and evaluation of digital health technologies (Pagliari, 2007; Murray et al., 2016; Blandford et al., 2018; Marvel et al., 2018). This research articulates a need for novel, interdisciplinary design approaches to digital health innovation, integrating disparate sets of requirements such as clinical soundness, user-centeredness, technical interoperability, and cost-effectiveness (Cornet et al., 2019). In this complex domain, design and health disciplines are called not only to collaborate with each other, but also to learn to work with digital data as the raw material fueling digital technologies. This dissertation explores such challenges through a series of exploratory research efforts at the intersection of design, healthcare and digital data. These explorations are conducted within the context of the Cardiolab, a Delft Design Lab born out of a partnership between Philips Experience Design and Delft University of Technology. Throughout the dissertation, knowledge in this domain is gained through a mix of literature reviews and project-based action research (Somekh, 2005). In this way, the relevant scientific literature is connected and put in dialogue with real-life digital health design practice.","Design for health; Design for Healthcare; e-Health; Design Approaches; Design Methodologies; Data-enabled design; Convergence","en","doctoral thesis","","978-94-6384-454-3","","","","","","","","","Methodologie en Organisatie van Design","","",""
"uuid:2297978b-30e2-48e4-9e6a-e2fb61dcab94","http://resolver.tudelft.nl/uuid:2297978b-30e2-48e4-9e6a-e2fb61dcab94","From loose grains to resilient dunes","van IJzendoorn, Christa (TU Delft Coastal Engineering)","de Vries, S. (promotor); Reniers, A.J.H.M. (promotor); Hallin, E.C. (copromotor); Delft University of Technology (degree granting institution)","2023","Coastal dune systems provide valuable functions that are threatened by human activity and climate change. Preserving and strengthening coastal dunes through coastal management and the implementation of interventions require accurate predictions of coastal dune development. The development of coastal dunes is driven by complex interactions between aeolian and marine processes. The aim of this thesis is to determine how marine and aeolian processes influence coastal dune development on yearly to decadal scale. Specifically, the effect of sea level rise and aeolian processes related to grain size were investigated.","coastal dunes; sea level rise; aeolian sediment transport; grain size","en","doctoral thesis","","978-94-6366-695-4","","","","","","2023-05-30","","","Coastal Engineering","","",""
"uuid:98afe3ba-fa0d-4834-b802-60c29196ac35","http://resolver.tudelft.nl/uuid:98afe3ba-fa0d-4834-b802-60c29196ac35","Big slopes, little data: data-driven nowcasting of deep-seated landslide deformation","van Natijne, A.L. (TU Delft Optical and Laser Remote Sensing)","Lindenbergh, R.C. (promotor); Bogaard, T.A. (promotor); Delft University of Technology (degree granting institution)","2023","Landslides are a major geohazard in hilly and mountainous environments. We focus on slow-moving, deep-seated landslides that are characterized by gradual, non-catastrophic deformations of millimeters to decimeters per year and cause extensive economic damage. To assess their potential impact and for the design of mitigation solutions, a detailed understanding of the slope processes is desired. Moreover, where landslide hazard mitigation is impossible, early warning systems are a valuable alternative to reduce landslide risk.
Recent studies have demonstrated the effective application of machine learning for deformation forecasting to specific cases of slow-moving, non-catastrophic, deep-seated landslides. Machine learning, combined with satellite remote sensing products offers new opportunities for both local and regional monitoring of areas with unstable slopes and associated processes without costly and logistically challenging inspection of the landslide. To test to what extent data-driven machine learning techniques and remote sensing observations can be used for landslide deformation forecasting, we developed a machine learning based nowcasting model on the multi-sensor monitored, deep-seated Vögelsberg landslide, near Innsbruck, Tyrol, Austria. Our goal was to link the landslide deformation pattern to the conditions on the slope, and to produce a four-day, short-term forecast, a nowcast, of deformation accelerations.
Changes in hillslope hydrology shift the balance between the shear strength of the soil and the shear (sliding) force applied by the gravitational forces acting on the landmass. Therefore, precipitation, snowmelt, soil moisture, evaporation, and air temperature were identified as hydro-meteorological variables with high potential for forecasting deformation dynamics. Time series of those variables were obtained from remote sensing sources where possible, and otherwise from reanalysis sources as surrogate for data that is likely to be available in the near future. Deformation, the result of slope instability, was monitored daily by a local, automated total station.
Interferometric Synthetic Aperture Radar (InSAR) has shown to be a valuable resource of deformation information from space. However, due to the complex interaction with topography in mountainous environments, its potential is often questioned. We showed that 91% of the world’s slopes are observable by InSAR, given the presence of a coherent scatterer, i.e. a natural or man-made object that exhibits consistent radar reflection over time. A global map is provided to indicate the sensitivity of InSAR to assess downslope deformation on any particular slope. To quickly assess the presence of coherent scatterers, before further investigation, we developed an application in Google Earth Engine to estimate the presence and location of coherent scatterers on a slope. However, the current accuracy and temporal resolution of Sentinel-1 SAR acquisitions proved insufficient to identify the acceleration phases at Vögelsberg.
The five years of daily deformation and hydro-meteorological observations at the Vögelsberg landslide is quite limited for a machine learning model. Therefore, a nowcasting model of low complexity was required. To limit the number of parameters to be optimized, the model was designed to mimic a bucket model, a simple hydrological model. A shallow neural network based on long short-term memory, was implemented in TensorFlow, as custom sequence of existing building blocks. Furthermore, a traditional neural network and recurrent neural network were tested for comparison. Thanks to the limited complexity of the model, the major contributors could be determined by trial-and-error of nearly 150 000 model variations.
Models including soil moisture information are more likely to generate high quality nowcasts, followed by models based solely on precipitation or snowmelt. Although none of the shallow neural network configurations produced a convincing nowcast deformation, they provide important context for future attempts. The machine learning model was poorly constrained as only five years of observations were available in combination with the four acceleration events that occurred in these five years. Furthermore, standard error metrics, like mean squared error, are unsuitable for model optimization for landslide nowcasting.
We showed that landslide deformation nowcasting is not a straightforward application of machine learning. The complexity of the machine learning model formulation at the Vögelsberg illustrates the necessity of expert judgement in the design and evaluation of a data-driven nowcast of slowly deforming slopes. Furthermore, to prepare for unexpected modelling developments, a high level of project level data organisation is recommended. There is a long road ahead for the large scale implementation of machine learning in landslide nowcasting and Early Warning Systems. However, a future, successful nowcasting system will require a simple, robust model and frequent, high quality and event-rich data to train upon.","Deep-seated landslide; Machine learning; Remote sensing; Early warning systems; InSAR","en","doctoral thesis","","978-94-6384-442-0","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:315bdb50-a76b-4ce6-aa2b-fab05aa679b3","http://resolver.tudelft.nl/uuid:315bdb50-a76b-4ce6-aa2b-fab05aa679b3","The Bogeyman Unveiled: Safety and effectiveness within the Royal Netherlands Air Force","Boskeljon-Horst, L. (TU Delft Safety and Security Science)","van Gelder, P.H.A.J.M. (promotor); Dekker, S.W.A. (promotor); Delft University of Technology (degree granting institution)","2023","In my nearly 24 years as an aviation psychologist in the Royal Netherlands Air Force (RNLAF), I have seen first-hand the dynamics and complexity of the daily work situations of pilots and crew. I have also seen an organisation trying to enhance safety, prevent negative incidents and advocate the importance of learning. And I have seen this same organisation failing at all three. I became convinced that giving operators more discretionary space to use their expertise, developing a better understanding of successes and focussing on restorative instead of retributive justice are the keys to enhancing the safety and the effectiveness of the RNLAF.
The RNLAF is currently transitioning to a Fifth Generation Air Force (5GAF). In order to stay relevant and gain the competitive advantage it needs, the RNLAF not only wants new weaponry but also a different management style that will foster different behaviour in employees. Specifically, the 5GAF focusses on trust, accountability, more freedom and space to employees and more room for self-organisation rather than top-down control. In my opinion, more discretionary space, a better understanding of successes and focussing on restorative justice would provide more competitive advantage than any weapons system we could acquire.
Within the RNLAF context I studied the (in)ability to make sense of retrieved safety information and observations, how safety and effectiveness is achieved, and how this is hampered by safety beliefs and retributive response to undesired outcomes. My central research question is: How can we describe and enhance the safety and effectiveness of the RNLAF? The sub-questions all focus on understanding aspects of safety with the intention of enhancing it:
Three key concepts are relevant to my research: safety culture, just culture and compliance vs. adaptation. These three concepts take up a significant part of the literature focused on enhancing safety and therefore, put together, they might provide a solid explanation for not only the safety an organisation achieves but also the stalemate and plateauing results an organisation meets when trying to further enhance safety. Safety culture, just culture and compliance are interrelated. Rules and procedures are regarded essential elements of a safety culture. The response to violating rules and procedures shows the just culture of an organisation.
Safety culture, just culture and compliance provide a common thread in the safety documents of the Defence organisation appearing in the past six years. Documents show there is outside pressure to enhance the safety culture in the Defence organisation, an underdeveloped restorative just culture and a recognition that both compliance and proactive intervention (adaptation) are needed…
One of the most used and advanced qubits is the transmon, a LC oscillator with a capacitor in parallel with a non-linear inductive element called a Josephson junction. Conventionally, the Josephson junction is formed with an Al-AlO-Al tunnel barrier. Contrastingly, here we use a InAs nanowire covered with a thin layer of Al forming a S-N-S Josephson junction. Crucially, this junction is magnetic field compatible, allowing us to do experiments with cQED in a magnetic field. Additionally this junction is voltage-tunable, opening the path towards lower distortion voltage gates. This thesis focusses on measuring the flux noise in a magnetic field using the nanowire Josephson junction. To that end, the chapters address the necessary conditions to achieve this goal....","","en","doctoral thesis","","978-94-6419-836-2","","","","","","","","","QCD/DiCarlo Lab","","",""
"uuid:7d78fe3b-84cf-4c66-ac9b-5fe2b7c2d2d5","http://resolver.tudelft.nl/uuid:7d78fe3b-84cf-4c66-ac9b-5fe2b7c2d2d5","Het omgevingsplan met open normen - flexibel maar ook rechtszeker?","Boeve, M.N. (TU Delft Urban Development Management)","","2023","De Omgevingswet faciliteert het gebruik van ‘open normen’ op grotere schaal. Dat wordt best even wennen voor professionals. TU Delft-hoogleraar Marlon Boeve neemt deze aanpak onder de loep. Daarbij passeren ook de eerste praktijkervaringen de revue. Wat zijn de lessen uit de jurisprudentie?","","nl","report","Gebiedsontwikkeling.nu","","","","","","","","","","Urban Development Management","","",""
"uuid:a5c27498-55c7-4edb-b7b8-3f3ccf7b77c7","http://resolver.tudelft.nl/uuid:a5c27498-55c7-4edb-b7b8-3f3ccf7b77c7","Mass transfer and flooding phenomena in carbon dioxide electrolyzers","Baumgartner, L.M. (TU Delft ChemE/Transport Phenomena)","Vermaas, D.A. (promotor); Kleijn, C.R. (promotor); Delft University of Technology (degree granting institution)","2023","Electrochemical carbon dioxide reduction is a potential pathway to the sustainable production of hydrocarbon fuels and chemicals. This thesis explores the material science and reactor engineering of carbon dioxide electrolyzers.","CO2 Reduction; Electrochemical Engineering; Electrochemistry; Gas diffusion electrode; Bipolar membrane; pH imaging","en","doctoral thesis","","978-94-93330-16-0","","","","","","","","","ChemE/Transport Phenomena","","",""
"uuid:06ebcb2d-864e-4e5f-848f-fcb2a062bd16","http://resolver.tudelft.nl/uuid:06ebcb2d-864e-4e5f-848f-fcb2a062bd16","Facades-as-a-Service: A cross-disciplinary model for the (re)development of circular building envelopes","Azcarate Aguerre, J.F. (TU Delft Architectural Technology)","Klein, T. (promotor); den Heijer, A.C. (promotor); Konstantinou, T. (copromotor); Delft University of Technology (degree granting institution)","2023","Accelerating strategic investment in an energy- and material resource-efficient built environment
The de-carbonisation of the built environment hinges on the use of clean, renewable energy and the conservation of materials and components within circular reprocessing loops. The Façades-as-a-Service research concept aims to accelerate the rate and depth of building energy renovations – while safeguarding long-term responsibility over material resources – by creating a new value-chain based on the provision of integrated building envelopes under a performance contract.
The built environment is a major contributor to the resource management and sustainable development challenges we currently face on a global scale. The rate at which the building stock is improving, in terms of resource efficiency and greenhouse gas emissions (GHG), is far below what is needed to meet even the most conservative climate change and environmental impact mitigation goals (European Commission, Directorate-General for Energy 2020). The strategic investment of limited resources – energetic, material, and financial – which dictates the development of the built environment, is largely driven by individual decision-makers with particular fields of
knowledge, specific interests, and acting within diverse time-scales.
Improving the resource-efficiency of the built environment, in terms of the quality of new constructions and the rate and depth of technical building retrofits, is not only a question of technological readiness, but rather of business and economic incentives. Emerging theoretical frameworks, such as the Circular Economy (CE) and Product-Service Systems (PSS), aim to realign or create these incentives by operationalising the value of better individual decision-making processes, internalising soft values and costs, and developing long-term collaborative project execution mechanisms.
In line with these frameworks, the research elaborates a multi-perspective analysis for a new performance-based investment model to promote the energy transition through the accelerated implementation of high-performance building envelope technologies. Boundaries for the research scope are established, in both technological and managerial ranges, to enhance the applicability of the model and the scientific relevance of the results. Reference is made to specific case-studies, organisations, and regional characteristics, followed by discussions on the implications of such focus groups for the extrapolation of universally applicable conclusions. Finally, the model is evaluated to determine its rate of success at addressing the resource management and environmental impact challenges previously identified.
Results show that, while the implementation of potentially Circular Business Models such as Product-Service Systems is technically possible within the current economic, legal, and managerial landscape, it is by no means a simple or standardised process. Significant systemic changes must take place in order to enable and incentivise the mainstream implementation of performance-based models capable of aligning stakeholder incentives towards more energy-efficient and resource-regenerative building procurement practices. The main bottlenecks towards such innovation are highlighted, and cross-disciplinary recommendations are made regarding the validity, up-scalability, and future development of the proposed methodology.","Circular Economy (CE); Product-Service Systems (PSS); building economics; real estate management; Llfe-Cycle Cost Analysis (LCCA); Total Value of Ownership (TVO)","en","doctoral thesis","","978-94-6366-708-1","","","","","","","","","Architectural Technology","","",""
"uuid:3ec90e7c-c2e1-40f3-84b8-7b4a423a43b0","http://resolver.tudelft.nl/uuid:3ec90e7c-c2e1-40f3-84b8-7b4a423a43b0","Light and Spectra in the Wild - Spectral Structures of Light Fields: Measurement, Simulation and Visualisation","Yu, C. (TU Delft Human Information Communication Design)","Pont, S.C. (promotor); Eisemann, E. (promotor); Wijntjes, M.W.A. (copromotor); Delft University of Technology (degree granting institution)","2023","The study of the light field has become a valuable framework for capturing and analysing the complex distribution of light in natural environments. The directional, spatial, temporal and spectral structure of light, collectively influence the optical information available to an observer and thus impact our perception of the surrounding world. The extended definition of the light field, which is equivalent to the plenoptic function in perceptual studies, incorporates radiance as a function of spectral energy, position, direction, and time in space, quantifying all the optical information available to an observer. However, there is a considerable gap in measuring, describing, and visualizing the properties of the light field in the chromatic domain, which this thesis aimed to address. The thesis focuses on the research question of how to effectively describe, measure, simulate, and visualize the spatiotemporal dynamics of the spectral structure of light fields. To address this research question, We outlined four main objectives in the thesis, which are addressed in separate chapters. The first objective is to investigate the interplay between the colours of surfaces and light sources in 3D indoor scenes, and its effects on the spatial and angular distribution of light. The second objective was to quantify the directional and spatial variations of chromatic light field effects on correlated colour temperature and colour rendering. The third objective was to explore the objective measurement, description, and visualization of the 7D light-field properties of outdoor illumination. Finally, the fourth objective was to examine the relationship between image statistics and perceived time of day in Western European paintings from the 17th to 20th centuries to determine if the representation of lighting in paintings serves as a contextual cue for the time of day.","light field; art perception; colour science; lighting design; photometry","en","doctoral thesis","","9789493315754","","","","","","","","","Human Information Communication Design","","",""
"uuid:662b7fa8-5154-464f-bf7e-6ae5bf15c828","http://resolver.tudelft.nl/uuid:662b7fa8-5154-464f-bf7e-6ae5bf15c828","Machine learning and randomness in mechanical metamaterials","Pahlavani, H. (TU Delft Biomaterials & Tissue Biomechanics)","Zadpoor, A.A. (promotor); Zhou, J. (promotor); Mirzaali, Mohammad J. (copromotor); Delft University of Technology (degree granting institution)","2023","","Randomness; Mechanical metamaterials; Machine Learning; Additive Manufacturing","en","doctoral thesis","","978-94-6419-838-6","","","","","","2023-06-20","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:c565e266-94b9-4a80-afc4-4aa4fb5ce471","http://resolver.tudelft.nl/uuid:c565e266-94b9-4a80-afc4-4aa4fb5ce471","The Bits of Nature: Bioinspired bitmap composites","Cruz Saldivar, M. (TU Delft Biomaterials & Tissue Biomechanics)","Zadpoor, A.A. (promotor); Mirzaali, Mohammad J. (copromotor); Delft University of Technology (degree granting institution)","2023","In the vast domain of biomedical engineering, the challenge of developing synthetic materials that can replace damaged tissues has proved a daunting task. Millions of years of adaptation have provided natural tissues with multiple strategies that yield highly efficient mechanical properties that are not found in human-made materials. The first of these strategies relates to the material composition of living tissues. Natural materials tune their functionality thanks to the presence of multiple constituting phases with highly different properties (e.g., soft collagen and the hard mineral phase in the bone). The second strategy is manifested in the arrangement of these phases, where these constituents take a wealth of intricate geometries to strengthen and toughen their structures. For example, the hierarchical arrangement of different constituents at multiple length scales enables them to work in synergy to distribute the deformation energy within tissues, thereby delaying their critical failure. Yet another strategy is the use of functional gradients, where the volume fraction of one material changes across a relatively short interface, thereby attenuating the stress concentrations caused by the mismatch between the mechanical properties of the different constituents.
In recent years, replicating these exceedingly complex yet harmonious natural design paradigms has been a significant drive in the scientific community. Mainly achieved using multi-material additive manufacturing techniques, architected materials have been developed that implement some of the design strategies found in natural materials to achieve seemingly contradictory design objectives, such as simultaneously high strength and toughness. However, limitations in computational resources and standard processing methods hinder the complexity and multi-scale rationality of the design features that one can introduce within a construct. Bitmap multi-material 3D-printing techniques, however, offer the possibility to experiment with different design strategies at the level of individual microscale voxel, leading to the emergence of -by-es. In such approaches, the constituting material of each voxel can be individually selected, yielding unprecedented freedom to generate microarchitectures that seamlessly mimic the morphologies observed in natural tissues.
poverty. Chapter 5 addresses the discrepancy between the registered data-based measurements of neighbourhood characteristics, specifically the share of neighbours with foreign background and low income, and the individual perceptions of those characteristics by the inhabitants of the neighbourhood. The findings of the thesis confirm the validity of treating the neighbourhood as a social setting that interacts with the micro and macro contexts, rather than simply as an aggregated characteristic which can be controlled for.","","en","doctoral thesis","","978-94-6366-699-2","","","","","","","","","Urban Studies","","",""
"uuid:bc7256fb-9f21-4241-baf3-1f7aeaea5ea4","http://resolver.tudelft.nl/uuid:bc7256fb-9f21-4241-baf3-1f7aeaea5ea4","Advanced Bits-In RF-Out Transmitters","Beikmirza, M.R. (TU Delft Electronics)","de Vreede, L.C.N. (promotor); Alavi, S.M. (copromotor); Delft University of Technology (degree granting institution)","2023","The demand for faster mobile access and higher data throughput drives the evolution of wireless cellular communication, requiring larger modulation bandwidths and higher-order modulations and necessitating more efficient and flexible transmitter systems.
Simultaneously, the advancements in nano-scale CMOS technologies have made transistors smaller and better suited for digital signal processing, with improved high-frequency performance for RF mixed-signal circuits.
These advancements impact wireless RF transceivers creating the need to explore transmitter architectures beyond the level of the most established ones, which are exclusively analog up to date, by pushing them towards incorporating more digital circuitry. Consequently, the primary research question addressed in this is: “What are the potential performance advantages when the strength of (high-speed) digital CMOS is utilized within an RF front-end?”
To answer this research question, this thesis proposes new architectures for digitalintensive transmitter line-ups. These architectures aim to enhance linearity, bandwidth, and power efficiency, and enable the full utilization of CMOS technology in digital operations within the RF front-end....","digital-intensive transmitters (DTXs); digital power amplifier (DPA); RF digitalto- analog converter (RF-DAC); in-phase/quadrature (I/Q); multi-phase; balun; wideband; efficiency enhancement; digital predistortion (DPD)","en","doctoral thesis","","978-94-6384-459-8","","","","","","2024-06-19","","","Electronics","","",""
"uuid:f1e3f0a8-9270-4cf6-82af-592e13be7144","http://resolver.tudelft.nl/uuid:f1e3f0a8-9270-4cf6-82af-592e13be7144","Mitigating the autogenous shrinkage of ultra-high performance concrete by using rice husk ash","Huang, H. (TU Delft Materials and Environment)","van Breugel, K. (promotor); Ye, G. (promotor); Delft University of Technology (degree granting institution)","2023","Concrete made with Portland cement has good behaviour under compressive stress, but it is weak in tension. Cracking caused by tension is a typical problem in concrete practice. High autogenous shrinkage at early ages is one of the causes of cracking, especially in high/ultra-high performance concrete. In that stage shrinkage is strongly related to the decrease of relative humidity (RH) inside the concrete. To minimize the probability of cracking induced by autogenous shrinkage at early age, internal curing, which can provide moisture inside the concrete, has been proposed. Several internal curing agents, such as Super Absorbing Polymers (SAP) and saturated lightweight aggregate (LWA), are utilized in high performance concrete and have shown good results for mitigating autogenous shrinkage. The use of these agents, however, also have some drawbacks. Searching for an alternative internal curing methods for ultra-high performance concrete remains a challenge....","autogenous shrinkage; self-desiccation; pozzolanic reaction; rice husk ash; ultra-high performance concrete; internal curing","en","doctoral thesis","","978-94-6473-143-9","","","","","","","","","Materials and Environment","","",""
"uuid:e23dfeda-dcb1-4b4a-9cbe-45923435f0b4","http://resolver.tudelft.nl/uuid:e23dfeda-dcb1-4b4a-9cbe-45923435f0b4","ShoreScape: A landscape approach to the natural adaptation of urbanized sandy shores","van Bergen, J. (TU Delft Landscape Architecture)","Nijhuis, S. (promotor); Luiten, E.A.J. (promotor); Delft University of Technology (degree granting institution)","2023","Sandy shores around the world suffer from coastal erosion due to land subsidence, a lack of sediment input and sea level rise. This often leads to the construction of hard structures, such as sea walls and breakwaters, that consolidate the coastal zone but disrupt the dynamic system of coastal deltas. To compensate for coastal erosion in a more natural and systemic way, sand nourishments are now increasingly executed. This so-called ‘Building with Nature’ (BwN) technique uses natural resources and dynamics to restore sediment balance within coastal zones and promote coastal regeneration and dune formation. These dynamic nourishment techniques are still in development, placing new demands on coastal spatial planning. How can we position and tune these nourishment dynamics for land formation; not only to optimize coastal safety but also to integrate these dynamics with the ecological and urban functions of the coastal landscape? An integrated design approach is necessary to guide both land-shaping processes and adaptive urban and ecological configurations to support BwN-based dune-formation following nourishment and boost the buffer capacity of coastal zones.
This research aims to develop design principles for integral coastal landscapes that connect geomorphological processes, ecology and adaptive urban design to exploit their potential for the spatial development of multi-functional coastal landscapes— shore-scapes. It focuses on coastal configurations featuring pro-active sediment management through aeolian BwN techniques to build up the coastal buffer in a natural and multifunctional way.
The first step was to reframe BwN nourishment design as a landscape approach, employing natural onshore dynamics to sustain the coastal buffer and increase the multiplicity of the coastal landscape. The coastal landscape can be regarded as the result of the interaction between the geomorphological, ecological and urban system, in response to sea level rise. The mapping of their interactions (via literature review, fieldwork, GIS and CFD-modelling), identified three potential spatial mechanisms to support nature-based dune formation following nourishment: natural succession, dune farming and urban harvesting. To activate these processes for coastal reinforcement and landscaping, and bridge the spatial and time scales involved, three subsequent tools for dynamic design were defined: morphogenesis, dynamic profiling and aeolian design principles.
In the second half of the research, the BwN landscape approach and principles were contextualized and tested across four case studies, which revealed how coastal system’s characteristics and nourishment strategy affect dune formation. Responding to various nourishment and urban conditions, spatial arrangements were composed that enhance the aeolian build-up of coastal profiles and landscapes over time, supporting dune reinforcement, multifunctionality and
landscape differentiation.
The outcome of this research is threefold. First, BwN was redefined as a landscape approach that employs intersystemic land-shaping processes to support coastal safety, multifunctionality and spatial quality. Second, a set of validated design principles was developed for natural aeolian coastal adaptation following nourishment. Third, spatial arrangements were composed to illustrate how BwN processes ashore can be guided in space and time across various nourishment and urban contexts.
Global mean sea level has been rising at a rate of about 3.4 millimetres per year over the last 30 years. Regionally, however, sea level can be changing at a much higher or lower rate. That is because local processes, such as ocean dynamics and gravitational effects associated with continental ice mass changes, cause regional deviations from the global average. But what is causing sea level to change at a specific location? Is sea level changing because the oceans are warming, and thus expanding? Or because the ice from glaciers and ice sheets are melting? The attribution of sea-level change to these and other drivers can be done using a sea-level budget approach. Sea-level budget studies can be used to constrain missing or poorly known contributions and to validate climate models. While the global mean sea-level budget is considered closed within uncertainties, closing the budget on a regional to local scale is still challenging.
In this thesis, I focused on the question: Can we close the regional sea-level budget in the satellite altimetry era on a sub-basin scale consistently for the entire world? For this, we need not only high quality observations of sea-level change and each component, but also of the uncertainties within each process. Therefore, in Chapter 2 and 3, I explored the main drivers of regional sea-level change, focusing on the uncertainty characterization of each component. I then looked at which spatial scale is optimal for analysing the regional sea-level budget, and compared the sum of the drivers with the total observed change in these regions in Chapter 4.","sea-level change; sea-level variability; sea-level budget; observations","en","doctoral thesis","","978-94-6419-821-8","","","","","","","","","Physical and Space Geodesy","","",""
"uuid:d5548689-2e68-4960-ae7c-b475d82c7cd7","http://resolver.tudelft.nl/uuid:d5548689-2e68-4960-ae7c-b475d82c7cd7","Shortcuts towards fiber-based quantum networks","Avis, G. (TU Delft QID/Wehner Group)","Wehner, S.D.C. (promotor); Hanson, R. (promotor); Delft University of Technology (degree granting institution)","2023","The future quantum internet promises to create shared quantum entanglement between any two points on Earth, enabling applications such as provably-secure communication and connecting quantum computers. A popular method for distributing entanglement is by sending entangled photons through optical fiber. However, the probability of successful transmission decreases exponentially with the fiber length. This makes it challenging to realize large fiber-based quantum networks that create shared entanglement, let alone the construction of a quantum internet. Quantum repeaters have been proposed as a solution to mitigate losses by acting as intermediary nodes that divide long optical fibers into smaller segments. The required technology, however, is still under development. In this thesis we aim to expedite the realization of fiber-based quantum networks by identifying shortcuts towards that end.
One way in which we look for shortcuts is by identifying the technological advances that are required to build such networks. To achieve this we translate performance demands on the network to requirements on individual components, such as quantum repeaters. This way we are not only able to indicate how much development current-day technology still requires before functional quantum networks can be built, but also what specific set of improvements could be applied to state-of-the-art hardware to get there as soon as possible.
A specific promising shortcut that we investigate in this thesis is the construction of quantum networks using existing fiber infrastructure. As deploying optical fiber is costly, an economical method for building quantum networks would be to incorporate fiber that has already been placed in the field. Existing infrastructure however imposes restrictions on quantum networks, in particular on the possible locations where quantum hardware could be installed. An important question to answer is then how severe the effects of these restrictions are. We address this question by investigating the performance degradation caused by displacing nodes from their optimal location, and the increase in required technological advances when restrictions are taken into account. Additionally, we provide tools for choosing where to deploy quantum repeaters when subject to placement restrictions.
Finally, we also address the fact that quantum networks may need to provide entanglement to more than just two parties. When a network has many end nodes that require bipartite entanglement between different pairs of them, it is important that it is designed such that every end node is sufficiently connected to every other end node. We provide conditions to judge whether this is the case and a method to ensure the conditions are met. Alternatively end nodes could require multipartite entangled states shared by more than two of them, in which case specialized nodes may need to be included in the network. We investigate what such a node could look like and perform a thorough performance analysis.","quantum network; quantum repeaters; quantum repeater chains; entanglement; entanglement distribution; quantum information","en","doctoral thesis","","978-94-6483-200-6","","","","","","","","","QID/Wehner Group","","",""
"uuid:e3c4bcaa-e7fa-499c-85b0-8cb5d4f473d8","http://resolver.tudelft.nl/uuid:e3c4bcaa-e7fa-499c-85b0-8cb5d4f473d8","On Acoustic Emission Condition Monitoring of Highly-loaded Low-speed Roller Bearings","Scheeren, B. (TU Delft Ship and Offshore Structures)","Kaminski, M.L. (promotor); Pahlavan, Lotfollah (copromotor); Delft University of Technology (degree granting institution)","2023","Highly-loaded low-speed roller bearings form crucial connections in offshore structures, such as heavy-lifting vessels, single-point mooring systems, and wind turbines. In order to safeguard the integrity and reliability of these assets and their operations, a quantitative methodology for condition monitoring of the bearings can be of substantial value. To date, a number of assessment methods have been proposed to for this purpose, e.g. based on strain, vibration, lubrication, and acoustic emission (AE) monitoring. Despite their demonstrated potential for medium- and high-speed bearings (>600 rpm), no notable success has yet been reported in the assessment of low-speed bearings subjected to naturally-developing degradation. In this dissertation, a novel methodology for the analysis of damage-induced AE and inferring the bearing condition has been proposed. Acoustic emissions in this context are ultrasonic signals generated by the release of elastic energy in a material. In solid media, these signals propagate as stress waves and can be recorded by dedicated transducers.
A mathematical framework to describe the generation, propagation, transmission, and detection of transient ultrasonic waves in complex geometries has been presented. An assessment of inter-component stress-wave transmission has been performed utilising this framework. For a representative sheave bearing, results indicate that a transmission loss in the order of 15 dB is to be expected in the amplitude of the AE waves for a single rolling contact arrangement. In conjunction with a preliminary field trial regarding the ultrasonic background noise in representative operational conditions, this evaluation has shown that it is feasible to detect damage initiated AE signals from each of the rolling elements upon field implementations.
A waveform-similarity based clustering algorithm has been proposed for the
identification of damage-induced AE source mechanisms. Consistency in the source mechanism is theorised to indicate gradual progressive failure, such as crack growth. Through the descriptive framework, it has been shown that high similarity of the recorded signal must be the result of high similarity in the emitted source. Additional numerical verification of this assumptions on transfer path similarity has been performed, confirming the equivalence derived from the descriptive framework.
A low-speed run-to-failure test was performed with a purpose-built linear bearing segment, representative of the main bearing of a mooring turret, to assess the performance of the clustering algorithm. Intermediate and final visual inspections report the development of wear comprising erosion, surface roughening, pitting and surface initiated fatigue. In independent analysis of the recorded AE signals, several highly-consistent structures of clusters were identified over multiple measurement channels. The nose raceway could be identified as the source of these structures of clusters, which matched the observed evolution of localised damage during the inspections.
Based on the source-identified AE activity, a novel quantitative indicator has been proposed to infer bearing condition. The bearing condition index (BCI) adopts a value of 1 when the bearing is in good condition. The BCI drops in value as the bearing degrades, as represented by a more significant detection of clusters of similar AE signals within the normalised period of a load cycle over a multitude of measurement frequencies.
Run-to-failure experiments have been conducted to assess the proposed BCI. Intermediate and final inspections report the progressive erosion and surface roughening. Additional lubrication samples collected during these inspections contained high levels of particle contamination. A direct correlation between the AE hit-rate and the particle contamination of the lubricant was observed. Utilising progressive scaling based on cluster size, the excessive influence of lubrication contamination-induced AE signals on the BCI could be reduced, while still providing a timely warning.
In review, it is concluded that the proposed methodology can effectively describe the complex generation and propagation of AE due to damage evolution in highlyloaded low-speed roller bearings. The developed clustering method has shown to effectively identify patterns and trends in the AE signals at different stages of degradation, and provide the basis for filtering out noise-related signals. The formulated BCI can subsequently provide an intuitive indication of the condition of a low-speed roller bearing in an in-situ non-intrusive manner. As such, the methodology is believed to offer promising potential to contribute to the safe and continued operation of the offshore energy infrastructure.","Structural Health Monitoring; Aocustic Emission; Roller Bearing; Offshore","en","doctoral thesis","","978-94-6384-449-9","","","application","","","","","","Ship and Offshore Structures","","",""
"uuid:54431c82-65e6-4f82-b598-c5b7a27c1f93","http://resolver.tudelft.nl/uuid:54431c82-65e6-4f82-b598-c5b7a27c1f93","Utopia as Critical Method: A Comparative Analysis of Six Architectural and Literary Utopias","Čulek, J. (TU Delft Situated Architecture)","Kaan, C.H.C.F. (promotor); Havik, K.M. (promotor); Sioli, A. (copromotor); Delft University of Technology (degree granting institution)","2023","Utopia as a Critical Method is a comparative analysis performed through drawing and text, in which six architectural and literary utopias were examined together with the three historical contexts in which they were created. Looking at utopian works created roughly within the last century, the research examined the different worlds which the utopian authors imagined as a critical response to the issues and topics arising within their own historical contexts. The study addressed not only on the works as a whole, but also focused on their parts – namely the numerous social and spatial forms the authors have imagined and depicted. In this way, the dissertation was able to identify both the common and the discipline-specific forms which the utopian authors used, the various tools and techniques through which the critical aspect of their utopian works was developed (use of dichotomous relationships, mereological approaches, world reduction, and contextual verticals), as well as some of the most common topics which the utopian works addressed or revolved around (such as those of housing, work and production, technology, and governance). By distributing the social and spatial forms identified both within the utopian works as well as their respective contexts into three predominant scales – the small, medium and large – the research was also able to address and identify (in)commensurabilities between the different foci of utopian works across the fields of architecture and literature, as well as in relation to their three different historical periods. And while the use of utopia as a critical method through which we can simultaneously reflect on our own present, while speculating on potential futures has significantly declined (if not disappeared) in the architectural field, one of the goals of this research was to reignite the creative architectural interest in producing these imaginative and critical projects as a response to the numerous and multifaceted crises of our time.","","en","doctoral thesis","","","","","","","","","","","Situated Architecture","","",""
"uuid:62479ffc-c389-4d3d-8320-f7b3ad8d0829","http://resolver.tudelft.nl/uuid:62479ffc-c389-4d3d-8320-f7b3ad8d0829","Multiphase Flow Modelling of Electrochemical Systems: an analytical approach","Rajora, A. (TU Delft Energy Technology)","Padding, J.T. (promotor); Haverkort, J.W. (copromotor); Delft University of Technology (degree granting institution)","2023","The primary objective of this work is to provide new analytical models to support the theoretical understanding of multiphase flows in various electrochemical systems. Most of the previous works in this field use either experiments or numerical simulations to understand the hydrodynamics of the multiphase flows. In this thesis, various new analytical models are derived for different cell configurations such as PEM diffusion layer, flow-through electrolysers, parallel plate electrolyzers and zero-gap electrolysers. New design equations are provided that can be readily used as a first estimate for a new electrochemical cell. The novelty of this work lies in providing new analytical approaches to develop a theoretical understanding of electrochemical cells.","Multiphase flow; Electrolysis; Analytical modeling; Bubbles; Mathematical modeling; Hydrogen evolution","en","doctoral thesis","","978-94-6469-410-9","","","","","","","","","Energy Technology","","",""
"uuid:5fc6009a-d2dd-47d9-91d9-ca522d69f91d","http://resolver.tudelft.nl/uuid:5fc6009a-d2dd-47d9-91d9-ca522d69f91d","Advancements in Large-Scale Volumetric PIV and PTV","Saredi, E. (TU Delft Aerodynamics)","Sciacchitano, A. (promotor); Sciacchitano, A. (copromotor); Delft University of Technology (degree granting institution)","2023","Particle Image Velocimetry (PIV) is considered nowadays the state-of-the-art for non-intrusive and quantitative 3D velocity measurements. Its ability to measure the velocity field around complex geometries is a valuable tool that engineers can exploit for aerodynamic design optimization in various domains, such as aerospace, wind turbines and automotive, among others. Despite recent advancements, performing a PIV measurement in the industrial environment remains challenging due to several reasons: achieving large-scale measurements, complex geometries and high Reynolds numbers. The introduction of helium-filled soap bubbles, new Lagrangian Particle Tracking (LPT) algorithms and Robotic Volumetric PIV has allowed for the measurement of large-scale volumes around complex geometries. However, despite the described advancements, large-scale PIV and LPT measurements for industrial aerodynamics require further development to accelerate their applications. The first bottleneck considered is the maximum measurable velocity. For aerodynamic flows in the transport sector, the velocity is often larger than 50 m/s when considering aircraft and race cars. To apply the mentioned techniques, acquisition frequencies higher than the one commonly available are needed. The double-frame timing strategy, characterized by image pairs with a small time separation, is detrimental to the measurement accuracy, especially when low aperture systems, such as Robotic Volumetric PIV, are considered. This research has led to the development of novel acquisition strategies (chapters 3 and 4) that improve the accuracy of double-frame velocity measurements suited for high speed applications (U∞ > 50 m/s). Another current topic of research concerns the detection of data outliers in PIV measurements, which affect their reliability and trustfulness. In this thesis (chapter 5) a novel approach to outliers detection from time-averaged three dimensional PIV data is introduced. The principle invokes the physical mechanism of turbulence transport and is based on the agreement of the measured data to the turbulent kinetic energy (TKE) transport equation. The application of this new criterium to several experimental databases shows that spurious data can be detected more easily and unambiguously as an outlier along with a low fraction of false positives. This research also attempts to decrease the gap between Computational Fluid Dynamics’ (CFD) and experiments’ aerodynamic data. In chapter 6, the application of PIV data for data assimilation is discussed. Data assimilation is a discipline in which observation and numerical or theoretical models are combined. This can be performed with two possible aims: improving the observation with physics-based models or increasing the capability of the model to represent reality. In this thesis, the latter is considered. A novel state observer technique is investigated for the assimilation of three-dimensional velocity measurements into computational fluid dynamics simulations based on Reynolds-averaged Navier–Stokes (RANS) equations. The state observer approach locally forces the solution to comply with the reference value, with increasing benefits when the density of forced points, or forcing density, is increased.","Quantitative flow visualization; Particle Image Velocimetry; lowspeed aerodynamics; outlier detection; data assimilation","en","doctoral thesis","","978-94-6384-456-7","","","","","","2024-04-30","","","Aerodynamics","","",""
"uuid:1cc9228c-514c-42ee-9edb-6d7596d66f11","http://resolver.tudelft.nl/uuid:1cc9228c-514c-42ee-9edb-6d7596d66f11","Modeling of blood flow in aorta: MRI-based computational fluid dynamics of aortic hemodynamics","Perinajová, R. (TU Delft ChemE/Transport Phenomena)","Kenjeres, S. (promotor); Lamb, H.J. (promotor); Delft University of Technology (degree granting institution)","2023","Aortic aneurysm, a balloon-like enlargement of the healthy artery, is a cardiovascular disease with one of the highest mortality rates. These numbers are due to the usual late diagnosis of the aneurysm, which is often asymptomatic until a fatal event occurs. Such an event can progress to aortic dissection or rupture. Due to the urgent nature of a rupture, we require early detection of asymptomatic aneurysms and proper evaluation of the rupture risk. The clinical guidelines suggest a close follow-up of the luminal size evolution, with advice for surgery based on threshold values. The thresholds are based on the annual maximum arterial diameter or growth rate. However, these guidelines are lacking in many cases.","Computational Fluid Dynamics; 4D-flow MRI; Aorta; Simulations","en","doctoral thesis","","978-94-6483-167-2","","","","","","2023-12-13","","","ChemE/Transport Phenomena","","",""
"uuid:c53da6a5-948a-490d-9061-1f650f7a6125","http://resolver.tudelft.nl/uuid:c53da6a5-948a-490d-9061-1f650f7a6125","Approximations and transformations of piecewise deterministic Monte Carlo algorithms","Bertazzi, A. (TU Delft Statistics)","Jongbloed, G. (promotor); Bierkens, G.N.J.C. (copromotor); Delft University of Technology (degree granting institution)","2023","This thesis studies methods to improve the applicability and the performance of Markov Chain Monte Carlo (MCMC) algorithms based on Piecewise Deterministic Markov processes (PDMPs). First, we discuss the key ideas that lay the foundations of the field of MCMC, spanning from the Metropolis-Hastings algorithm to PDMC methods, emphasising a common structure underlying most non-reversible MCMC algorithms studied in the literature. The rest of the thesis is divided in two parts, respectively treating approximations and transformations of PDMC algorithms.
In the first part we introduce several discretisation schemes that approximate a given PDMP and study the properties of the proposed algorithms in detail. This area is of fundamental importance to make PDMPs widely applicable, as indeed the PDMPs considered in the MCMC literature typically cannot be simulated exactly because of either complicated deterministic dynamics or because the random event times are distributed according to an exponential distribution with non-homogeneous rate. In the latter case, existing approaches to simulate the random event times are applicable exclusively when the rate is of simple form, a requirement that covers only toy models from the MCMC literature. In this thesis we introduce and study a wide variety of time discretisations of PDMPs of any order of accuracy, which can now be used as a basis for MCMC algorithms. We study two types of discretisations: the first kind is obtained generalising the principle behind classical Euler schemes, while the second is based on splitting schemes.
In both settings, we establish the dependence of the error on the step size of the discretisation. For suitable Euler schemes we prove uniform in time estimates on the weak error, a particularly challenging result which gives that the error is fully controlled by the step size and does not depend on the time horizon. Moreover, for approximations of PDMPs obtained with Euler-based schemes we obtain error bounds in Wasserstein and total variation distance using the coupling approach.
For our approximations based on splitting schemes we mainly focus on the Zig-Zag sampler (ZZS) and Bouncy Particle Sampler (BPS) and study the best splitting scheme in terms of bias in the invariant measure. For both samplers we obtain conditions ensuring existence and uniqueness of a stationary distribution for the approximation process, as well as exponential convergence to such a distribution. Importantly, we show that symmetric splitting schemes are of second order, although they only require one computation of the gradient of the negative log-likelihood per iteration. Another important novelty we introduce is the possibility to correct the introduced bias via a skew-reversible Metropolis-Hastings acceptance-rejection step. This allows us to design the first unbiased, PDMP-based MCMC algorithms that can be applied effortlessly to sample from any target probability distribution. Our numerical experiments show that the remarkable properties of PDMPs give their approximations excellent convergence properties improving over benchmark methods such as Hamiltonian Monte Carlo and the unadjusted Langevin algorithm.
The second part of the thesis concerns transformations of PDMPs. First, we discuss space transformations of PDMPs, in which case the main goal is to improve the performance of PDMC algorithms when the target distribution $\pi$ is anisotropic. Our proposal is to design PDMC algorithms that learn adaptively the covariance structure of $\pi$ and use this information to tune the velocity of the underlying PDMP, i.e. the directions that the PDMP is more likely to explore. Finding a good set of directions requires knowledge of the target $\pi$, and hence information on previous positions of the process needs to be used. In a similar fashion, we introduce adaptive PDMC algorithms which automatically tune the refreshment rate of the process, i.e. the frequency at which the current velocity vector is replaced with an independent draw from a suitable distribution. For these algorithms we carefully study the convergence to the target distribution by establishing ergodicity, which is challenging for such non-homogeneous Markov processes. Moreover, we test our algorithms on some benchmark examples, on which we observe relevant improvements over the standard, non-adaptive samplers.
In the last chapter of the thesis we consider time transformations of (piecewise deterministic) Markov processes, with an emphasis on improving the convergence of MCMC algorithms. In particular, we study the effect on the properties of a Markov process of a change of the speed of time, where importantly changes in speed depend on the state of the process. This notion can prove helpful in the context of multimodal target distributions, in which case we argue that communication between different modes can be improved by increasing the speed of time when the process is located in low density regions. We connect various properties of a process to those of a related time-changed process, such as a connection between the stationary distributions, the generators, non-explosivity, ergodicity and rate of convergence to the limiting distribution. For PDMPs we show that suitable time transformations can make a geometrically ergodic Markov process uniformly ergodic, a remarkable property which means that the initialisation of the process does not affect the speed of convergence. We apply our theorem to time transformations of the Zig-Zag process, demonstrating the applicability of our conditions. By applying this framework to PDMPs we define several novel processes which have dynamics depending on a user-chosen, interpretable speed function.","MCMC algorithms; non-reversibility; Piecewise deterministic Markov processes; Bayesian statistics; computational statistics","en","doctoral thesis","","","","","","","","","","","Statistics","","",""
"uuid:46e2e85d-3a66-47cc-acc0-ab541a8fa8a9","http://resolver.tudelft.nl/uuid:46e2e85d-3a66-47cc-acc0-ab541a8fa8a9","Commuting behaviour and subjective wellbeing: A longitudinal perspective","Tao, Y. (TU Delft Urban Studies)","van Ham, M. (promotor); Petrović, A. (copromotor); Delft University of Technology (degree granting institution)","2023","This thesis has investigated the relationship between daily commuting behaviours and long-term subjective wellbeing from a longitudinal perspective. The underlying problem that motivated the thesis is the inconsistent research evidence on the commuting-wellbeing relationship, and more importantly, the insufficient theoretical conceptualisation of this relationship. As a response to the gap between theoretical understandings and empirical research, this thesis used a processual approach to frame the commuting-wellbeing relationship as an interdependent process over time. To operationalise this processual approach, two ways forward were proposed for longitudinal research, namely retrieving the upstream process that leads to changes in commuting behaviours and enriching the contextual understanding of commuting-wellbeing relationships. The upstream process of commuting changes pertains to the reason for people to (not) change their commuting behaviours, while the contextual understanding relates to the commuting-wellbeing relationship as time- and place-specific. Following these two ways forward, the empirical analysis of this thesis drew upon the nationwide panel data from China, the Netherlands and the United Kingdom to longitudinally investigate the relationships between commuting behaviours and subjective wellbeing over time. The aim of this thesis is not to identify a unidirectional commuting-wellbeing causality uniform to the general population and across research areas, but to acknowledge, operationalise and better understand the interdependent commuting-wellbeing relationships situated in the life courses of people and the socio-spatial contexts of places.","","en","doctoral thesis","","978-94-6366-697-8","","","","","","","","","Urban Studies","","",""
"uuid:5cf48a49-596c-475a-bb0a-de916915f4b7","http://resolver.tudelft.nl/uuid:5cf48a49-596c-475a-bb0a-de916915f4b7","Supplementary Power Controllers for Modern VSC-HVDC transmission links: Control design and advanced modelling methods for point-to-point and multi-terminal VSC-HVDC networks","Perilla Guerra, A.D. (TU Delft Intelligent Electrical Power Grids)","van der Meijden, M.A.M.M. (promotor); Rueda, José L. (promotor); Delft University of Technology (degree granting institution)","2023","","Voltage source converters; multi-terminal HVDC networks; power systems; RMS simulations","en","doctoral thesis","","978-94-6384-451-2","","","","","","2025-06-12","","","Intelligent Electrical Power Grids","","",""
"uuid:53e46ea5-5802-42cf-814f-4bb2bf76aadc","http://resolver.tudelft.nl/uuid:53e46ea5-5802-42cf-814f-4bb2bf76aadc","Improving iron oxide-based adsorbents for phosphate recovery from surface water using Mössbauer spectroscopy as main analytical tool","Belloni, C. (TU Delft RST/Fundamental Aspects of Materials and Energy)","Brück, E.H. (promotor); Witkamp, G.J. (promotor); Dugulan, A.I. (copromotor); Delft University of Technology (degree granting institution)","2023","This thesis focuses on recycling resources while preserving water quality and availability. This concept is at the basis of a healthy and sustainable society yet works needs to be done. Water scarcity will be a growing challenge that humanity will have to face in the coming years, due to poor resource management and the climate change crisis. Waters cover 70 % of our planet, but only 3 % of it is freshwater, and only 1 % is easily accessible. Already more than 2 billion people live in water-stressed countries.
Moreover, in some ways, this thesis will show how there is a thin line between resources and waste, nutrients and pollutants, impurity and added value. This thin line is both defined by our everyday life choices, the name we give to things, and their related connotation....","Phosphate recovery; Iron oxide nanoparticles; Mössbauer Spectroscopy; Water Technologies; Adsorption; Doping","en","doctoral thesis","","978-90-8593-559-9","","","","","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:eb5c1ae4-f580-4fa0-9faa-3cbb94f04ee8","http://resolver.tudelft.nl/uuid:eb5c1ae4-f580-4fa0-9faa-3cbb94f04ee8","Behaviour and Stability of Interconnected Systems: From Biological Applications to Opinion Dynamics","Devia Pinzon, C.A. (TU Delft Team Tamas Keviczky)","Keviczky, T. (promotor); Giordano, G. (promotor); Delft University of Technology (degree granting institution)","2023","An interconnected system is composed of multiple well-defined self-contained subsystems that interact among them and that together create collective behaviours. We can find many examples of interconnected systems in real life. Ranging from biological systems, such as the growth and interaction of populations in diverse and spatially distributed environments, to electric grids connecting power-generating sources, buildings and infrastructures in a country. When studying interconnected systems, a fundamental and natural question is how the properties and characteristics of the individual subsystems and the way they are connected relate to the collective behaviour of the complete system. That is the driving question of the present dissertation. Given that interconnected systems can be found in a wide variety of contexts, their representation and specific research interests can be equally varied. Because of this, it is impossible to answer the aforementioned question uniquely for all interconnected systems, and specific cases must be considered. In this dissertation, we consider two types of interconnected systems: a general class of uncertain multiple-input-multipleoutput (MIMO) systems, and agent-based opinion formation models. The investigation of uncertain MIMO interconnected systems is focused on providing topology-independent conditions for robust stability. The primary motivation for this approach is that, in real systems, it is costly or even impossible to have complete and accurate information on the network topology and subsystem parameters and dynamics. However, it is of critical interest to guarantee the system’s stability. Therefore we need stability conditions that require only partial information about the network and the subsystems to ensure the system’s stability. By studying these systems both in the time and frequency domain, we are able to provide conditions thatmeet these requirements. As for agent-based opinion formation models, we assume that each individual (or agent) in a population has an opinion about a statement. By exchanging opinions among themselves, the agents update their own internal opinion, resulting in a collective dynamic of opinion evolution. When studying these systems, the interests shifts from stability conditions, to a characterisation of the relation between the agents’ individual traits and qualitative properties of the opinion distribution in the population. Several techniques and approaches to analyse opinion formation models are proposed and applied to multiple models, one of which is new to this dissertation. The collective study of the previously mentioned interconnected systems requires the use of multiple and diverse analysis techniques and approaches, from analytical methods based on the Nyquist criterion, Bauer-Fike theorem, and Lyapunov functions to qualitative and numerical analysis techniques like histograms and binomial proportion confidence intervals. It is our hope that some of the presented results, methods, or ideas may advance the knowledge frontier in this scientific field, sparkle new research directions, and either directly or indirectly prove some value to society.","Interconnected systems; Robust stability; Agent based opinion formation models; Classification-based opinion formation; Linear systems; Nonlinear Systems; Network dynamics; Dynamical networks; Opinion dynamics; Social systems","en","doctoral thesis","","978-94-6384-440-6","","","","","","","","","Team Tamas Keviczky","","",""
"uuid:64c9ded0-950e-4e9f-8032-c691ae6c8deb","http://resolver.tudelft.nl/uuid:64c9ded0-950e-4e9f-8032-c691ae6c8deb","Safety as Airline Business Aspect: From Data to Action by A Value Model for Big Data and Feedback Method for Small FlightStories","Dijkstra, A. (TU Delft Control & Simulation)","Dekker, S.W.A. (promotor); Stoop, J.A.A.M. (promotor); Delft University of Technology (degree granting institution)","2023","This thesis proposes the of integrating safety, economics, and passenger experience and draws on the author's research and experience in aviation to develop a more comprehensive approach to airline business management. The research closes the gap between business and Safety Management Systems in airlines by introducing two novel and complementary concepts: the Airline Value Production Management Model and FlightStory as a tool to enable pilots as intelligent feedback providers.
The thesis discusses two interconnected research projects aimed at improving safety and efficiency in airline operations. The first project, AVPMM, focuses on network performance management, while the second project, FlightStory, aims to empower flight crew as intelligent feedback providers.
This research thesis focuses on airline safety and value production management, with an emphasis on using big data and feedback methods to improve safety and value production. The author presents a specific solution called FlightStory, which empowers flight crew as intelligent feedback providers. The thesis includes a literature review, research questions and methods, and an evaluation of FlightStory's effectiveness. The AVPMM model is proposed as a logical extension of the increase of lower specific levels into network, region, route, and flight. The thesis also discusses the challenges of conducting research in a company context and provides recommendations for further research.
The author shares three war stories from their experience in the aviation industry, highlighting common problems in safety management during flight operations and how it relates to network business decisions. The author aims to develop a new solution for integrating safety and business management in international commercial aviation.
The thesis discusses the challenges of current feedback systems in aviation safety reporting, including the reductionist approach, the lack of qualitative data, and the bias towards Safety-I events. The review suggests the need for a reporting system that collects organizational factors and takes safety out of its silo and into the context of other key performance indicators. The author developed an app designed to collect FlightStory data from the crew, inspired by sensemaking and storytelling concepts.
The thesis proposes an Airline Value Production Model for managing safety in the business context of value production. The review also discusses the lack of an explicit value production model and the need to manage safety as a business aspect, integrated with other essential variables such as economy and customer experience.
The research projects provide innovative feedback methods and an integrated approach to value production management, which can be viewed as disruptive innovations. The thesis concludes with a vision of a Value Production Centre (VPC) that integrates business and safety management using the AVPMM model. The VPC aims to provide a holistic approach to value production management, where safety is not just a compliance issue and operational constraint but an integral part of the business strategy. The thesis provides a valuable contribution to the aviation industry by proposing a new approach to safety management that integrates with business management and value production. The FlightStory app and AVPMM model offer practical solutions for improving safety and efficiency in airline operations, and the research provides a foundation for further development and implementation of these solutions.","Safety; Safety Management; Storytelling; Airline Management; Cybernetics; Value production; Integrated Management","en","doctoral thesis","","978-94-93315-71-6","","","","","","","","","Control & Simulation","","",""
"uuid:9a773783-9771-4537-85d3-341fd00ac376","http://resolver.tudelft.nl/uuid:9a773783-9771-4537-85d3-341fd00ac376","Controlled nano-patterning using focused electron beam induced deposition","Mahgoub, A.M.I.M. (TU Delft ImPhys/Hagen group)","Hagen, C.W. (promotor); Kruit, P. (promotor); Delft University of Technology (degree granting institution)","2023","Focused electron beam induced processing (FEBIP) comprising FEBID (deposition) and FEBIE (etching) is a direct write or etch, single step technique for high resolution nano-patterning. The whole process takes place inside a single tool, the scanning electron microscope (SEM). A focused electron beam hits the sample in the presence of a precursor gas which contains the element to be deposited. The precursor molecules adsorb to the surface of the substrate. The adsorbed precursor molecules are dissociated with a certain probability (given by the dissociation cross section) by the primary, secondary and back scattered electrons into a deposited fragment and volatile byproducts. FEBID provides great potential for 3D nano-printing due to its flexibility and the absence of resists and subsequent processing steps.
The work described in this thesis was part of a Marie Skłodowska-Curie Training Network on ‘Low energy ELEctron driven chemistry for the advantage of emerging NAno-fabrication methods’ (ELENA). In particular, three challenges to the FEBID process were addressed to achieve control over the process for nanofabrication, i) the purity of the deposits, ii) the speed of the process and iii) control over the 3D-shape of deposits....","","en","doctoral thesis","","978-94-6366-685-5","","","","","","","","","ImPhys/Hagen group","","",""
"uuid:0f61f871-7c9c-47fc-a542-10883fb2d4de","http://resolver.tudelft.nl/uuid:0f61f871-7c9c-47fc-a542-10883fb2d4de","Miniature sensorized platform for engineered heart tissues","Dostanic, M. (TU Delft Microelectronics; TU Delft Electronic Components, Technology and Materials)","Sarro, Pasqualina M (promotor); Mastrangeli, Massimo (copromotor); Delft University of Technology (degree granting institution)","2023","The high death toll of cardiovascular diseases worldwide and the lack of effective treatments for them are the main motivation for developing alternative and more efficient models for cardiac drug development and disease research. The missing link between current laboratory research on static in vitro and animal models and the clinical stage research on human patients could be created using the rapidly emerging Organ-on-Chip (OoC) technology. Themicrophysiological models developed within OoC research combine devices made of biocompatible, soft materials and human-origin organ-specific cell types, which are then exposed to flow, chemical, electrical or biomechanical stimuli.
Modeling a human cardiac in vivo environment in an artificial model represents quite a challenge from several aspects. First, cardiac tissue in vivo is exposed to a strong coupling between different biomechanical and electrical stimuli that need to be faithfully captured by an in vitro model. Furthermore, such an in vitro model should recapitulate the complexity of cell-cell and cell-extracellular matrix (ECM) interactions between different cardiac cell types, while obtaining physiologically relevant responses. This thesis addresses the first challenge, in an attempt to engineer a dynamic, artificial microenvironment, suitable for the growth, monitoring, and stimulation of hiPSC-based engineered cardiac tissues (EHTs).....","Engineered heart tissue; Heart-on-chip; Organ-on-chip; Microfabrication; Polymer processing","en","doctoral thesis","","","","","","","","","","Microelectronics","Electronic Components, Technology and Materials","","",""
"uuid:92342a96-7343-4d5e-adad-7b5095cc0666","http://resolver.tudelft.nl/uuid:92342a96-7343-4d5e-adad-7b5095cc0666","When is subjective objective enough?: Frequentist analysis of Bayesian methods","Franssen, S.E.M.P. (TU Delft Statistics)","van der Vaart, A.W. (promotor); Szabó, B.T. (promotor); Delft University of Technology (degree granting institution)","2023","In this thesis, we investigate the properties of Bayesian methods. In particular, we want to give frequentist guarantees for Bayesian methods. A Bayesian starts with specifying their apriori belief as a probability distribution, the prior distribution. The prior is their inherently subjective beliefs. After a Bayesian has specified their prior, they collect data and compute the posterior distribution. For a Bayesian, this posterior distribution encodes their new beliefs on the world. However, this prior was subjective. Thus the posterior is also subjective. So we can wonder, will this posterior distribution give a better representation of reality? Will it be more accurate? The posterior distribution quantifies a subjective belief of uncertainty. How reliable is this quantification of uncertainty?
These questions lie at the foundation of this thesis. They have been answered for certain classes of prior distributions. However, they have not been fully answered for all distributions in use. In this thesis, in the introduction, we explain the foundational statistical theory to study these questions. In particular, we show how to apply Schwartz theorem and the Bernstein-von Mises theorems to study posterior distributions. We then turn to novel research.....","","en","doctoral thesis","","978-94-6384-455-0","","","","","","","","","Statistics","","",""
"uuid:8fa320aa-a1b8-4ca2-9e9d-4428911aa02d","http://resolver.tudelft.nl/uuid:8fa320aa-a1b8-4ca2-9e9d-4428911aa02d","Tracking organoid cell fate dynamics in space and time","Zheng, X.Z. (TU Delft BN/Sander Tans Lab)","Tans, S.J. (promotor); van Zon, J.S. (promotor); Delft University of Technology (degree granting institution)","2023","Throughout the lifetime of living systems, tissue homeostasis and renewal constantly take place to confront challenging conditions, both internally, such as cell aging, and externally, such as infections, so that health can be maintained. Such processes require a tight balance between cell proliferation and differentiation. When homeostasis is disturbed, diseases like cancer can develop. Therefore, understanding the regulation of tissue homeostasis is a key question in biology. However, directly monitoring the dynamics of proliferation and differentiation in live animals remains extremely challenging. Common methods, such as immunostaining and single-cell RNA sequencing, require killing the animal and fixing the cells. Therefore, they can merely provide information in a single time frame. As a result, lineage tracing techniques are introduced, where cells are labeled with a heritable marker that can be detected in progeny after a certain period by fluorescence microscopy or sequencing. Nevertheless, they only produce lineage dynamics indirectly.","","en","doctoral thesis","","","","","","","","","","","BN/Sander Tans Lab","","",""
"uuid:b1026e8c-817e-4037-b181-331dd15aea3a","http://resolver.tudelft.nl/uuid:b1026e8c-817e-4037-b181-331dd15aea3a","GO Barometer: meer wantrouwen en onvoldoende capaciteit binnen het vakgebied","Heurkens, E.W.T.M. (TU Delft Urban Development Management); Lammers, I. (TU Delft Practice Chair Urban Area Development); Verwayen, A.B. (TU Delft Practice Chair Urban Area Development)","","2023","De tweede editie van de GO Barometer is uit! De Stichting Kennis Gebiedsontwikkeling (SKG) brengt ook dit jaar de stand van zaken binnen het vakgebied van gebiedsontwikkeling in kaart. Gebiedsontwikkeling is een zaak van lange adem, dus er zijn veel overeenkomsten met 2022 – maar toch ook enkele opvallende verschillen. Vooral het stijgende onderlinge wantrouwen tussen partijen is opvallend. Daarnaast zet onvoldoende personele capaciteit de uitvoering van uitdagende ruimtelijke projecten verder onder druk.","Gebiedsontwikkeling; Samenwerken","nl","report","Gebiedsontwikkeling.nu","","","","","","","","","","Urban Development Management","","",""
"uuid:4b5044b3-3718-42e6-ba31-f27c9b984c15","http://resolver.tudelft.nl/uuid:4b5044b3-3718-42e6-ba31-f27c9b984c15","Are the Moons of Jupiter Unique?: Thermochemical Disk Modeling of Moon Formation","Oberg, N.O. (TU Delft Astrodynamics & Space Missions)","Vermeersen, L.L.A. (promotor); Kamp, I.E.E. (promotor); Cazaux, S.M. (copromotor); Delft University of Technology (degree granting institution)","2023","The practice of astronomy is in many ways an intrinsically introspective endeavour. A significant fraction of astronomical motivation is derived from the desire to understand whether a habitable planet such as the Earth is a unique object, and, by extension, whether the inhabitants of the Earth themselves collectively represent a unique phenomenon. By definition, a world is considered potentially habitable if it is theoretically capable of supporting liquid water at its surface. But by focusing solely on strictly Earth-like planets, we risk overlooking other potentially habitable options. In fact, the majority of the worlds known to host liquid water oceans in the solar system are not Earth-like at all. These other worlds do however share a singular defining characteristic: they are the icy moons that orbit the gas giant planets. Their oceans are concealed below kilometers of frozen crust. In the solar system at least three moons are known to host an ocean with a high degree of confidence (Europa, Enceladus, and Titan), and another four are suspected (Ganymede, Callisto, Mimas, and Dione). Hence, any hope of answering the question as to how unique the phenomena of life on Earth really is may hinge predominantly on answering a seemingly unrelated question: how unique are the icy moons?
The formation of gas giants appears to be accompanied by the formation of moons, as, at least in the solar system, the two appear inseparable. The gaseous planets Jupiter, Saturn, and Uranus, are each attended by a retinue of moons both regular and irregular. The regular satellites tend to orbit in a single plane, in the same direction, and on nearly circular paths. These peculiar properties are also exhibited by the planets, and hence it is considered likely that some similar process has been at play to form them both. That process is the formation within a swirling disk of gas and dust. Planets form within disks that surrounded a star (a circumstellar disk) while the moons would have formed within a disk surrounding their planet (a circumplanetary disk, or CPD).
The last decade of strides made in the observation of circumstellar disks has revolutionized our understanding of the planet formation process. To what extent might the moon and planet formation process be similar? To what extent might we be able to extrapolate our understanding of circumstellar disks down to the scales characteristic of circumplanetary disks? Is there a smooth continuum in physical processes, connecting the formation of large moons, with the formation of the smallest planets? In this work we have extended the theoretical tools used to explore planet formation down into this new regime. On the observational side, as the scale of the astrophysical object shrinks, the capabilities of the instrument must rise commensurately to observe it. We are now at the earliest possible stage of directly observing CPDs to gain insights beyond the theoretical into how giant planet moon formation actually proceeds…
This thesis studies cognitive healthy centenarians as extreme controls in the context of aging and AD. Based on a large cohort of data, this thesis indeed shows that some centenarians escaped the buildup of some neuropathologies, indicating resistance to these neuropathologies. Contrarily, this thesis also shows that average levels of AD-associated neuropathologies increase with age in non-demented individuals, whereas these neuropathologies decrease with age in AD cases. Most intriguingly, this thesis shows that some centenarians with the highest cognitive performance, did accumulate the highest levels of some neuropathologies, yet remained cognitive healthy. This thesis then speculates that these observations point towards a resilience to these neuropathologies by these centenarians.
To better understand the resilience and resistance mechanisms in centenarian brains, this thesis then continues with investigating brain proteomics in the context of the degree of AD pathology (Braak stages) as well as age. As a first characterization, clusters of Braak stage-related and age-related proteins are identified that separately are associated with specific biological processes. Some Braak stage-related proteins demonstrate a deviated abundance in centenarians compared to AD (at the Braak stage IV), indicating that these proteins may contribute to the resilient mechanisms of tau accumulation in centenarian brains. A remarkable finding regarding the age-related proteins is that centenarian brains are, in a median of, 18-years “younger"" in their protein expression, when compared with non-demented controls, again hinting towards a resilience to age-related diseases.
To further explore the possible role of aging behind AD, this thesis studies the extend and locations of brain somatic mutations. We show that the number of excitatory neuron specific-somatic mutations increases with age, but there is no significant difference between AD and non-demented individuals. Interestingly, certain somatic mutations occurred more frequently in the brains of AD patients.
Concluding, this thesis demonstrates the value of cognitive healthy centenarians in studying brain aging and neurodegenerative diseases. In doing so, it reveals that the relationship between brain aging and neurodegeneration is extremely complex and deeply entangled. Nevertheless, basic processes that are altered during brain aging are identified, which brings targets to counteract the molecular disorder that leads to neurodegeneration, including AD, closer.","Alzheimer’s disease; Aging; Centenarian; Neuropathology; Neuropsychology; Proteomics; Somatic Mutation","en","doctoral thesis","","978-94-6469-390-4","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:cfe84a26-e30f-430d-8ad1-3d503e780e36","http://resolver.tudelft.nl/uuid:cfe84a26-e30f-430d-8ad1-3d503e780e36","Structured Kinetic Modeling for Rational Scale-down and Design Optimization of Industrial Fermentations","Tang, W. (TU Delft BT/Bioprocess Engineering)","Noorman, H.J. (promotor); van Gulik, W.M. (copromotor); Delft University of Technology (degree granting institution)","2023","","","en","doctoral thesis","","","","","","","","","","","BT/Bioprocess Engineering","","",""
"uuid:a84f6c95-9f6d-4a09-9a20-368028d9eed9","http://resolver.tudelft.nl/uuid:a84f6c95-9f6d-4a09-9a20-368028d9eed9","Grond en gebiedsontwikkeling: voorzichtig op weg naar verbetering","van Zoest, S.P. (TU Delft Practice Chair Urban Area Development); Daamen, T.A. (TU Delft Urban Development Management)","","2023","De relatie tussen grond en gebiedsontwikkeling wordt niet alleen steeds belangrijker, maar ook steeds complexer. Een groep experts ging vorige maand met elkaar in gesprek over de vraag hoe de rol van grond in gebiedsontwikkeling kan worden verbeterd.","","nl","report","Gebiedsontwikkeling.nu","","","","","","","","","","Urban Development Management","","",""
"uuid:82404585-8ab8-4427-af32-b3e56406c825","http://resolver.tudelft.nl/uuid:82404585-8ab8-4427-af32-b3e56406c825","Bias and debiasing in data-driven crisis decision-making","Paulus, D. (TU Delft Organisation & Governance)","van de Walle, B.A. (promotor); Janssen, M.F.W.H.A. (promotor); de Vries, G. (copromotor); Delft University of Technology (degree granting institution)","2023","The United Nations estimates that hundreds of millions of people worldwide are affected by complex crises. Examples are the protracted conflict in Yemen, climate change-induced displacement, and the COVID-19 pandemic. These crises have severe implications for societies. To mitigate crises’ effects, crisis response organizations strive to make data-driven decisions. However, these crises are complex: they involve many actors with different mandates and objectives that face uncertain information as well as decision urgencies. These issues can lead to systematic errors within collected crisis data, i.e., data bias, and challenge decision-makers cognitive information processing capacities by inducing cognitive bias....","","en","doctoral thesis","","978-94-6384-444-4","","","","","","","","","Organisation & Governance","","",""
"uuid:1ebb628d-ecbe-49d9-b132-3a8440119f69","http://resolver.tudelft.nl/uuid:1ebb628d-ecbe-49d9-b132-3a8440119f69","The effect of uncertainties on the performance of real-time control of urban drainage systems","van der Werf, Job (TU Delft Sanitary Engineering)","Langeveld, J.G. (promotor); Kapelan, Z. (promotor); Delft University of Technology (degree granting institution)","2023","REAL-time control (RTC) is a technique used to dynamically control urban drainage systems to utilise the existing infrastructuremore optimally. It can be used to achieve a number of objectives aiming to improve the functioning of the urban drainage system, typically through the reduction of pollution. When heavy rainfall occurs, combined sewer systems (CSSs) can cause combined sewer overflows (CSOs) to discharge diluted, yet untreated, wastewater into receiving water bodies. These discharges can lead to ecological damage and pose a public health hazard, resulting in more stringent legislation necessitating the reduction of CSO discharges. To achieve this, expensive upgrades to the urban drainage system (UDS) have traditionally been used. RTC can reduce or negate the need for these expensive upgrades by fully utilising the existing infrastructure. RTC increasingly relies on more complex algorithms and data streams due to the rise of cheaper computing power and sensors, leading to a better understanding of the systems and more potential for dynamic optimisation. Uncertainties (inherent to modelling and monitoring exercises) can affect the functioning of these RTC procedures, but the influence of uncertainty on the performance of RTC procedures is poorly understood. This is an often quoted reason against the implementation of RTC strategies as a whole. The aim of this thesis is therefore to increase the understanding of how uncertainties can affect the performance of RTC procedures. Using three case studies (urban drainage systems of WWTP Eindhoven, Hoogvliet and Dokhaven) and both heuristic and real-time optimisation procedures, this aimwas assessed.","Combined Sewer Overflows; Real-time Control; Uncertainty Analysis; Urban Drainage Systems","en","doctoral thesis","","978-94-6384-443-7","","","","","","","","","Sanitary Engineering","","",""
"uuid:32c437c1-b0eb-48a5-8a42-cdf9fb396a7f","http://resolver.tudelft.nl/uuid:32c437c1-b0eb-48a5-8a42-cdf9fb396a7f","Conversion of Polymeric Substrates by Aerobic Granular Sludge","Toja Ortega, S. (TU Delft Sanitary Engineering)","de Kreuk, M.K. (promotor); Pronk, M. (copromotor); Delft University of Technology (degree granting institution)","2023","Domestic wastewater is treated prior to its return to natural water bodies, to minimize its polluting effect. Biological wastewater treatment removes organic matter and nutrients from the wastewater, by employing the activity of microorganisms, which consume polluting compounds present in wastewater to grow. One of such technologies is aerobic granular sludge (AGS), which consists of self-immobilized microorganisms growing in spherical biofilms. The granular structure facilitates the separation between treated water and the biomass due to its excellent settling properties. This way, energy and space are saved in comparison to flocculent sludge-based treatment.
Despite its many advantages, the granular structure can pose some challenges too, particularly regarding the degradation of polymeric substrates. The higher mass-transfer resistance in granules compared to flocs challenges the degradation of these substrates, which have a size spanning from a few kDa to several micrometres. Polymeric substrates, furthermore, need to undergo hydrolysis before microorganisms can take them up, which is generally a slow process. Most AGS applications rely on microbial selection driven by the application of a sequencing batch reactor (SBR) cycle. The cycle consists of an anaerobic substrate feeding and a subsequent aerobic starvation period, which selects for intracellular polymer-storing organisms, such as polyphosphate accumulating organisms (PAO) and glycogen accumulating organisms (GAO). Substrates that experience high mass-transfer limitation and low degradation rates may interfere with the microbial selection strategy applied to AGS, especially when they are not (fully) taken up in the anaerobic feeding period and continue degrading aerobically in the next cycle phase. Some lab-scale studies have reported detrimental effects of polymeric substrates in AGS structure and activity, while others have managed to maintain a stable granule bed and suggest that the microbial utilization of polymeric substrates can contribute to good nutrient removal. The degradation of polymeric substrates by full-scale aerobic granules is still poorly understood.
First, we contribute with resources we created and which are used throughout the thesis. Namely, we introduce a novel dataset of information-seeking dialogues: MANtIS, as well as a library to train and evaluate models for the task of conversation response ranking: transformer-rankers.
Considering a two-stage pipeline for conversational search, we propose approaches for retrieval and also for re-ranking responses. We start by empirically comparing sparse and dense approaches for the first-stage retrieval of responses for dialogues. Next, we go to the second stage of the pipeline and use notions of difficulty to improve response re-rankers. We start with a curriculum learning approach that starts with easy dialogues and moves progressively to harder ones during training. We also investigate how difficult a dialogue can be when predicting the relevance of responses, by proposing models which allow for estimating their uncertainty.
Finally, we move on to evaluating what is the behavior and limitations of retrieval and ranking models for conversational search. We start by evaluating what is the effect of categories of language variations of queries in retrieval pipelines. Additionally, we evaluate what are the capabilities of heavily pre-trained language models for different conversational recommendation tasks.
With this thesis, we make scientific contributions to the field by providing resources, improving retrieval and re-rankers, and enabling a better understanding of models. We hope our contributions can be used as a foundation for future work in conversational search, enabling agents that can improve information-seeking interactions.","conversational search; ranking models; model understanding","en","doctoral thesis","","","","","","","","","","","Web Information Systems","","",""
"uuid:6733411b-b3e8-4027-b935-16ffd6262e8a","http://resolver.tudelft.nl/uuid:6733411b-b3e8-4027-b935-16ffd6262e8a","Can I touch you online?: Embodied, Empathic Intimate Experience of Shared Social Touch in Hybrid Connections","Lancel, K.A. (TU Delft System Engineering)","Brazier, F.M. (promotor); Delft University of Technology (degree granting institution)","2023","Experience of touching and feeling touched is fundamental to human well-being, of safety and trust. Being in touch with others can be emotional and spiritual, it enables space for movement and transformation: to touch, kiss, play, dance, make love, tune and breath together.
Until recently, research into Human Computer Interaction has focussed on the performative potential of technology and physiological aspects of social touch; and less on human experience. However, recent research shows that ethical aspects of vulnerability, inclusiveness, agency, autonomy, responsibility and response ability, and trust are core to human experience of technically mediated social touch. Recent neuroscience research focuses on mirror neuron activity in empathic processes through touch; on synaesthetic mirror-touch perception; and on body ownership perception in visuo-haptic motor data interaction.
Media Performance Art has started to explore digital systems for shared experience of sensory, intercorporal connections and emphatic spectatorship with human and non-human others, in various hybrid social and spatial configurations.
This thesis expands these emergent and fragmented foci in a new, interdisciplinary Art, HCI, Design and Neuro Science perspective, for distributed, hybrid, XR, online, human-agent and robot interaction.
The thesis shows the importance for new performance scripts, for orchestrating ‘Shared Social Touch’: Shared embodied intimate experience of technically mediated social touch, for multiple participants.
A first interaction model for orchestrating social touch: ‘Can I Touch You Online?’ (CITYO) is presented to this purpose. This novel interaction model has been tested internationally, in six participatory case-studies, Artistic Social Labs (ASL). These ASLs have made use of innovative A.I. Facial Technologies, Streaming platforms and Multi-Brain Computer Interfaces (BCI) in multi actor networks. They have been designed to facilitate a new sense of bodily togetherness between familiar and unfamiliar others, lovers, friends, family, and strangers.
The literature, and testing insights, show that performance scripts for Shared Social Touch experience rely on the design of a) Sensory Disruption (of physical touching and being touched, in reciprocal influence, and shared empathic vulnerable interplay) combined with b) Shared Reflection on the experience, through hosted dialogue.
The research method has been based on combined Artist Research and Research through Design.
The CITYO interaction model support these characteristics and present new perspectives for Art, Design, HCI and Science, and Education, on emotional well-being (including social connection, disconnection, and isolation (e.g. through trauma, dementia, depression); neurodiversity and autism) design of e-learning and presence design; in hybrid, A.I., XR, mixed and merging realities.
In genomic data analysis, analysts often compare and contrast new genomic data to an established reference to reduce costs. However, this approach biases comparisons in favor of population-specific genetics since such references encode only a fraction of the genetics of a given population. To address this bias, I propose a method that accounts for population variability in a way that integrates it directly into the comparison process. This integration ensures that the contrast between sample and reference becomes smaller and closer to personalized, so they are treated the same way regardless of the underlying population. The method improves genome characterization and simplifies downstream analyses that rely on these comparisons. As a result, a more accurate portrayal of the genetics of a given population as a whole is obtained.
In non-invasive sequencing-based prenatal testing, we rely on circulating cell-free DNA from maternal plasma to detect pathogenic variants that may affect the fetus. A healthy baseline, which describes the normative state, is generally required to determine the presence of such variants. However, because this DNA is a mixture of maternal and much lower fetal proportions, it remains difficult to disentangle the two, primarily because of biological and technical biases. While this bias can partially be mitigated by changing the baseline and thus contrasting within the individual DNA mixture rather than to a divergent population of mixtures, further improvements are still needed. I present a generalized framework in which the signal-to-noise ratio can be further improved by fully exploiting the information in sequencing data, allowing for more robust predictions at even earlier stages of pregnancy.
The composition of the gut ecosystem can have short- and long-term effects on our health. It is therefore important to understand how it is formed and how a healthy balance can be maintained for as long as possible to preserve our health. To do this, ecosystems must be stratified and compared based on health indices. I show in extremely contrasting Dutch subpopulations that we can obtain valuable characteristics of divergent health states by comparing the gut ecosystems of centenarians with those of Alzheimer's patients. However, significant efforts are required to enable these comparisons due to the many organisms present and the technological limitations in measuring them, introducing bias at all levels.","bioinformatics; population genetics; population graphs; prenatal testing; metagenomics","en","doctoral thesis","","978-94-6366-687-9","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:610c0657-9706-4fde-90ce-6bc9ecd14620","http://resolver.tudelft.nl/uuid:610c0657-9706-4fde-90ce-6bc9ecd14620","Automated and high-throughput reactivity analysis in homogeneous catalysis: The deactivation complexity of Mn(I) hydrogenation catalysts","Hashemi, A. (TU Delft ChemE/Inorganic Systems Engineering)","Pidko, E.A. (promotor); Gaigeot, M.P. (promotor); Delft University of Technology (degree granting institution)","2023","In this thesis, I highlighted a number of projects aimed at developing and testing new simulation methods for studying complex reactive systems, with a particular emphasis on simulation strategies based on the concept of bonding graphs. These mathematical structures provide useful tools for a variety of algorithms developed over the last few decades. Through automated analysis of exhaustive exploration trajectories, I have been able to capture serendipities that could escape the expert heuristics or otherwise needed expertise in different disciplines to be interpreted correctly. Such discoveries could range from very obvious one-step reactions that were just not “normally” considered to multistep complex reactions that were not imaginable to the expert. With automated reactivity screenings on in silico catalyst libraries, I have taken a big step towards “rational”catalyst design.","Automation; High-throughput analysis; Homogeneous catalysis; Deactivation","en","doctoral thesis","","978-94-6366-688-6","","","","","","","","","ChemE/Inorganic Systems Engineering","","",""
"uuid:70db51fd-8a61-4074-8c84-3520854e51f8","http://resolver.tudelft.nl/uuid:70db51fd-8a61-4074-8c84-3520854e51f8","Exploiting the potential of 3D borehole seismic data for high-resolution imaging and velocity estimation, a full wavefield approach","El Marhfoul, B. (TU Delft ImPhys/Medical Imaging; TU Delft ImPhys/Verschuur group)","Verschuur, D.J. (promotor); Slob, E.C. (promotor); Delft University of Technology (degree granting institution)","2023","Geophysics is a branch of physics that is mainly concerned about under- standing and describing the physical behaviour and activities of the earth’s geological system. Usually, seismic data is acquired at the surface and the corresponding signals go through a sequence of preprocessing steps to filter out the noise and enhance the quality of the measurements. These mea- surements are then transformed into a so-called reflectivity image (snapshot in time) of the subsurface via the deployment of the so called migration al- gorithms. Extensive studies and great effort is usually made to determine a suitable acquisition geometry design for optimal illumination of every imaging grid point in the subsurface in the studied area. However, within the geo- energy industry it has always been an undisputed believe that improved and better results can be obtained only if more data is acquired with denser sam- pling at both the source’s and receiver’s side. This ”linear” way of thinking is also consistent with the conceptual assumptions of most current migration algorithms.
The challenge is of course to achieve the same, or maybe even better, results with less data. This is in accordance with the currently ongoing en- ergy transition, which is forcing the geophysical scientific community to shift their focus from the acquisition and processing of large and expensive surface seismic surveys toward optimized management, in terms of data acquisition, processing and production, of the existing hydrocarbon-based reservoirs. Es- pecially datasets with sparse acquisition geometry like 3D Ocean Bottom Node (OBN) and 3D Borehole Seismic Data (BSD) surveys, where we have measurements at a limited number of sensors along the ocean bottom or in the borehole but usually with dense sources sampling at the surface, can greatly benefit from such a development.
3D borehole geophysics, which is the main subject of this thesis, has for a long time been an underdeveloped and, therefore, an unappreciated compo- nent within most geophysical organisations. This is mainly because accurate results are usually obtained only in the immediate vicinity of the borehole and
their quality decays rapidly in the lateral extent. However, and especially in the marine case, 3D BSD surveys are rich in higher-order scatterings that can have significant added value when combined with unconventional and non- linear inversion-imaging algorithms like Full Wavefield Migration (FWM) and Joint Migration Inversion (JMI). Furthermore, in combination with modern measurements techniques (like Distributed Acoustic Sensing (DAS) technol- ogy), continuous and permanent monitoring of existing and new reservoirs – whether hydrocarbon-based or geothermal – can easily be realised.
In this thesis the recently developed inversion-imaging algorithms FWM and JMI are extended to the 3D case and further engineered to properly han- dle the special acquisition geometry of 3D BSD surveys and exploit the full potential of the total wavefield available in 3D borehole seismic data. First, a more complete and comprehensive derivation of the involved gradients, for the reflectivity image and velocity model update, is presented. This makes the combination of one-way tomography of the direct wavefield with reflec- tion tomography of the other energy modes (primary reflections, higher-order scatterings of the up- and down-going wavefield) a straightforward process. Then, an effective strategy of the application of the 3D JMI algorithm to 3D BSD is developed and, with the presented examples, it will be demonstrated that, for instance, the standard and conventional separation of the up- and down-going wavefield of 3D BSD becomes an obsolete process. Along the same lines, we will show that integration of surface seismic data and 3D BSD, or even multi 3D BSD surveys, in one inversion process produces more accurate and geologically consistent solutions. Next, the capability of the 3D FWM algorithm together with 3D BSD surveys for solid reservoir monitoring will be demonstrated. After that the challenges of the current acoustic imple- mentation of the FWM JMI algorithms will be discussed, especially the effect of the mode converted waves on the velocity model gradient. Finally, some suggestions are made for further enhancement of the JMI algorithm, partic- ularly at the side of the migration velocities update. This can be achieved by the combination of complementary and effective objective-functions, which makes the JMI algorithm more robust especially in the case of geological environment with high velocity contrast.","","en","doctoral thesis","","978-94-6384-447-5","","","","","","","","","ImPhys/Medical Imaging","","",""
"uuid:b1b8748f-9f00-4720-bcb0-c0c7d7ac95fe","http://resolver.tudelft.nl/uuid:b1b8748f-9f00-4720-bcb0-c0c7d7ac95fe","Changing Minds: Towards Water-Based Architecture and Public Space for the Future Urban Archipelago","Hein, C.M. (TU Delft History, Form & Aesthetics); Harteveld, Maurice (TU Delft Urban Design); De Martino, P. (TU Delft History, Form & Aesthetics); Hanna, J.M.K. (TU Delft History, Form & Aesthetics); Tabakovic, M. (TU Delft Urban Design); Donkor, C.E. (TU Delft History, Form & Aesthetics)","","2023","This blog contribution supports the Urban Archipelago expo at Nieuwe Instituut (NI) in Rotterdam, designed to consist of four elements: a map, a view, a model, and a series of films that depicted a future of living with water, as well as a booklet that documented student work. The expo has been part of the Water Cities Rotterdam, which opened with the work of Kunlé Adeyemi (NLÉ) on 13 May 2023.","port-cities; public space; Public Space; urban design; water and the city; Urban Design; architecture; urban history; Urban History; water management; Water levels; Water governance; Water safety; coastal flood; Climate change adaptation and mitigation; Weather impact; people; urban culture; urban society","en","report","","","","","","","","","","","History, Form & Aesthetics","","",""
"uuid:bd310c9f-7169-40df-82b0-3be1e22df4c8","http://resolver.tudelft.nl/uuid:bd310c9f-7169-40df-82b0-3be1e22df4c8","More with Less: Exploring sustainable design through application and development of an integrated multi-level design approach","Scheepens, A.E. (TU Delft Design for Sustainability)","van Engelen, J.M.L. (promotor); Diehl, J.C. (promotor); Delft University of Technology (degree granting institution)","2023","This research project explores the application of the design approach of Eco-efficient Value Creation and the model of the Eco-cost/Value Ratio to practical cases, in order to advance the potential contribution of designers to accelerating the transition towards an environmentally sustainable society.
The Eco-efficient Value Creation approach is aimed at enabling designers to effectively create design solutions which combine a low environmental impact with a high customer perceived value, in order to achieve an increase in sustainable design solutions capturing market share over unsustainable design solutions currently on the market.","","en","doctoral thesis","","978-94-6384-439-0","","","","","","","","","Design for Sustainability","","",""
"uuid:fb05b2ac-b0e0-4f21-9b13-59f8994ff670","http://resolver.tudelft.nl/uuid:fb05b2ac-b0e0-4f21-9b13-59f8994ff670","Border Formation: The Becoming Multiple of Space","Tona, G. (TU Delft Theory, Territories & Transitions)","Schoonderbeek, M.G.H. (promotor); Sohn, H. (copromotor); Delft University of Technology (degree granting institution)","2023","This doctoral thesis examines the militarization of the Southern border of Hungary as a process of spatial formation, expanding the debate on borders from the political to the architectural arena. Combining spatial theory with empirical research on the case study, the thesis rethinks the border as a complex spatial system, with an agency of its own. From this perspective, it contests the enforcement of spatial boundaries from the above and related ideas of fixity. It brings attention to the agency of space in the advancement of a material becoming; the role of migration in the redefinition of meanings and functions of space; and the action of technologies in the strategic manipulation of measures and scales. While conceptualizing the border as a space in formation, this thesis builds a diagrammatic method of study and moves the research in an onto-epistemological direction. With the aim of fostering a change in those structures that control the partition and governance of space, this doctoral study calls the discipline of architecture to review its questions, methods, and practices. It invites to use architectural knowledge to engage with borders’ complexity and challenge their established meanings and makings.","formation of space; border spatiality; spatial becoming; Hungarian-Serbian border; border militarization","en","doctoral thesis","","978-94-6366-678-7","","","","","","2023-05-17","","","Theory, Territories & Transitions","","",""
"uuid:a5ad83c4-f85b-4cc3-b775-7959236b37f1","http://resolver.tudelft.nl/uuid:a5ad83c4-f85b-4cc3-b775-7959236b37f1","Halide solid electrolytes: From structure to properties","van der Maas, E.L. (TU Delft RST/Storage of Electrochemical Energy)","Wagemaker, M. (promotor); Ganapathy, S. (copromotor); Delft University of Technology (degree granting institution)","2023","Batteries are an important aspect of sustainable energy technologies, as they can be used either for the storage of electric energy for the grid or for the electrification of the transport fleet, making these sectors less reliant on fossil fuels (chapter 1). The Li-ion battery has revolutionized the world in many ways, enabling portable electric devices as honored by the Nobel prize in 2019 to John B. Goodenough, M. Stanley Whittingham and Akira Yoshino. As the Li-ion battery is quite a mature technology by now, large gains in performance parameters (especially energy density) will need alternative battery concepts and new chemistries. There are many possibilities, and one of them is a switch from liquid to solid electrolytes (chapter 2). The work presented in this thesis investigates the structure-to-property relationship of halide solid-electrolytes Li₃M(III)X₆. For solid electrolytes to replace liquid electrolytes, the material needs a combination of properties. An important property is the ionic conductivity, which should be high enough for room-temperature operation of the battery and determines, among other design parameters, the rate-capability (or power density) of the battery. Another property that is important is the electrochemical stability window, which determines the electrochemical stability of the electrolyte in contact with the electrodes of the material. Both of these properties are strongly related to the crystal structure and chemistry of the solid electrolyte (chapter 2). Therefore, both the structure and properties are investigated using a variety of techniques, mostly x-ray and neutron diffraction, AC-impedance and solid-state NMR relaxometry (chapter 3). The work is presented in four data containing chapters: • Chapter 4: The materials investigated show very complex behavior relating to diffusion on short time scales, as investigated by NMR T₁-relaxometry. The first chapter therefore provides an in-depth introduction to solid-state NMR relaxometry and spectral density fitting. Using two examples, namely Li₆PS₅X, a sulfide solid-electrolyte class previously studied in the research group, and halide Li₃YCl₃Br₃, it is illustrated how multiple jump processes can present in the curve of the relaxation rates vs. inverse temperature. • Chapter 5: In this chapter, aliovalent substitution in Li₃InCl₆ with Zr(IV) is explored. The Zr(IV) replaces the In(III) and introduces an additional Li-vacancy. The substitution can also affect the crystal structure of the material, affecting ionic diffusion in other ways than changing the charge carrier concentration. Using combined x-ray and neutron diffraction, it is found that the ordering of the In(III) and Zr(IV) is affected by the substitution. This affects also the diffusion on short timescales, as can be observed with NMR relaxometry as well as from the solid-state NMR lineshape. The combination of the structure solution and the puzzle pieces provided by solidstate NMR suggest, that the structural change induced by the substituent leads to more three-dimensional conduction. • Chapter 6: While chlorides have higher electrochemical stability, bromide anions are more polarizable and may have lower association energy with Li, which can lead to higher Li-ion conductivity. This chapter investigates the trade-off between ionic conductivity and electrochemical stability in materials Li₃YClBrₓCl₆₋ₓ. It is found that 75% Br is most beneficial for ionic conductivity rendering a very conductive material (~5 mS/cm at room temperature), higher concentration of bromine indeed lower the electrochemical stability window. The introduction of 25% Br, however, also leads to an increase in ionic conductivity while preserving the electrochemical stability. This suggests that Br-substitution can be a viable method to increase the ionic conductivity of Li-ion conducting chlorides while preserving the electrochemical stability. • Chapter 7: The Li₃M(III)Cl₆ (M(III)= Ho, Y, Dy, Tm) usually are reported to crystallize in a trigonal crystal structure. This paper shows that synthesizing these materials by co-melting with some LiCl deficiency stabilizes an orthorhombic phase of the material. Both of these structures are based on quasi hexagonally close-packed Cl atoms, with the M(III) and Lithium on octahedral sites. The different crystal symmetry is caused by a change in the arrangement of the cations. The orthorhombic phase has ~8 times higher ionic conductivity compared to the trigonal phase. Ab initio molecular dynamic simulations revealed that this is due to a fast conduction pathway along the c-direction of the crystal structure. This path corresponds to jumps between face-sharing octahedra. Therefore, it is likely that the cation arrangement in the orthorhombic structure is favorable for that diffusion path, leading to an increase in ionic conductivity. It is interesting to compare the effect of the different material design strategies aliovalent substitution (Chapter 5), halogen alloying (chapter 6) and tuning of the crystal structure (Chapter 7) on the properties of interest for Li₃M(III)X₆ solid electrolytes. The electrochemical stability window is indeed higher for chlorides than for bromides, but it is found that 25% Br substitution preserves the stability of the chloride in Li₃YCl₆. For ionic conductivity, the largest increase is observed for halogen alloying (factor ~40 increase in ionic conductivity when substituting 25% of the chlorine with bromine atoms), followed by the trigonal to orthorhombic phase transition (factor ~8 improvements) and, lastly, aliovalent substitution (factor ~1.6 improvement). Regarding the measurement methods, two notable findings were found. Firstly, this thesis showed that x-ray diffraction data is important in this system to reach reliable occupancies in the crystal structure solution (chapter 5), as neutrons scattered on lithium and most of the M(III) have a 180°phase shift and therefore cancel their signal when occupying the same site. Lastly, it is shown that the complex shapes of the NMR T₁ relaxation rates can be explained using a superposition of individual, BPP-type jump processes. Fitting such a model is complex, and data measured at multiple larmor frequencies should be used to increase the reliability of the fit. To perform such a fit, a programm was developed in the scope of this thesis to simultaneously fit such measurements and analyze the error associated with the parameter by sampling the posterior probability distribution of the parameter using a Markov chain Monte Carlo sampler.","halide solid electrolytes; Solid-state batteries","en","doctoral thesis","","978-9464-693-836","","","","","","","","","RST/Storage of Electrochemical Energy","","",""
"uuid:a3fb56dd-0f74-449f-8e85-2ffaeb63a65c","http://resolver.tudelft.nl/uuid:a3fb56dd-0f74-449f-8e85-2ffaeb63a65c","Bridging intermittency: with iron electrodes","Weninger, B. (TU Delft ChemE/Materials for Energy Conversion and Storage)","Mulder, F.M. (promotor); van Ommen, J.R. (promotor); Delft University of Technology (degree granting institution)","2023","We have to overcome the intermittent nature of renewables to master the energy transition. Harvesting renewable electricity is only part of the solution. Energy storage is another part and the main challenge of our time. Only with efficient storage solutions can big industries switch to renewables.
Electricity storage is most efficient with batteries while industrial sites and synthetic fuel production require a sustained hydrogen input to drive the processes. The aim of this thesis was the research and development of storage solutions mainly based on earth-abundant iron to bridge intermittency. The following scientific questions were at the basis of the conducted research:
1. Combined battery and electrolyser: is it possible and reasonable to develop a device that serves two purposes? Do the materials endure and support this double functionality?
2. Multiple electrodes: nickel is required for electricity and oxygen storage; iron is required for electricity and hydrogen storage. Is it possible to store electricity, oxygen and hydrogen in one electrochemical cell? Is it possible to decouple the electricity input from the oxygen and hydrogen output? Can a single electrode be used for two purposes simultaneously? Are configurations with multiple electrodes scalable to larger arrays?
3. Fundamentals of iron electrodes: Which phases occur for the first and second iron discharge plateau? And why are iron electrodes less responsive to higher discharge rates?
4. Sustained hydrogen from intermittent sources: Decoupling of the electricity input and the hydrogen output is possible with an electrochemical cell consisting of at least three electrodes. Is more sustained hydrogen from intermittent sources also feasible with a standard electrochemical cell with two electrodes?
5. Doped iron electrodes: Iron electrodes can have a limited rechargeability and can show gas accumulation inside the electrode. Does the addition of dopants enhance the ability of the iron electrode to recharge? Do these dopants enhance performance and the material utilisation?
Battolyser
NiFe batteries are known to be practically indestructible. However, these NiFe batteries have the disadvantages of hydrogen and oxygen production and selfdischarge which makes them inefficient as a battery. In the battolyser we promote this “hydrogen-side-effect”, and obtain an energy-efficient device that produces hydrogen with excess energy with the potential to reduce undesirable renewable electricity curtailments. Such a device can be operational around the clock: either the surplus of electricity is used to charge the battery and to produce hydrogen or electricity is provided to consumers.
The battolyser will supply hydrogen when overcharged, following an intermittent pattern of renewables availability. Therefore, downstream infrastructure needs the capability to handle an intermittent hydrogen input or requires a hydrogen storage infrastructure. Under these conditions the battolyser has the potential to become an essential single-combined tool for the energy transition since renewable electricity can be stored and excess electricity can be converted efficiently into hydrogen.
Multi-Controlled (MC-)electrodes
Then we demonstrated that we could supply a sustained hydrogen output from an intermittent energy input and that time shifting the hydrogen output comes at low energy costs. We accomplished that by creating electrochemical systems consisting of more than two electrodes within a single electrochemical cell. Here the storage electrodes can be charged/discharged while gas production, hydrogen and oxygen, can occur simultaneously and at independent rates. We also demonstrated that storage electrodes can serve two different processes at the same time. The proposed concept of MC-electrodes allows for controlling and scaling up multi-electrode configurations to larger arrays. Most importantly we used it for decoupling the electricity input from the hydrogen output by the combination of an iron storage electrode with two gas evolution electrodes, one for hydrogen evolution and one for oxygen evolution with two independent circuits. The position of the electrode phases in the Pourbaix diagram indicates that charging the iron electrode together with oxygen production requires most of the energy while little energy is required to generate hydrogen from previously charged iron electrodes. Independent operation of both circuits enables decoupling of the electricity input and the hydrogen output, and the iron storage electrode serves as an electrochemical storage reservoir.
Time-shifting 50% of the hydrogen production requires only 5% of the energy while 95% of the required energy can be fed through a main controller when electricity is cheap and abundant. Moreover, hydrogen can later be provided from reduced iron electrodes with a substantial reduction of backup power. Compared to electrolysers, the electricity storage requirement is reduced by 85% to provide the same amount of hydrogen, using the previously reduced iron oxidation. In other words, seven times more hydrogen can now be provided from existing backup power, which can serve as a booster for delayed hydrogen generation.
Half-cell used as Hydrogen Storage and Production cell (HSP-cell)
We reduced the complexity of the system by combining the iron storage electrode with a bifunctional electrode for oxygen and hydrogen production which led to the concept of the HSP-cell. The HSP-cell is a simple half-cell, consisting of two electrodes which makes it easily scalable to larger bi-polar configurations. The HSP-cell can utilize the entire capacity of the iron electrode, comparable to the iron-air battery or battolyser, but delivers hydrogen instead of electricity. Both configurations can operate as a low-cost sink to store energy in reduced iron and both systems can use excess electricity for direct hydrogen generation to reduce undesirable curtailment of renewable power.
The replacement of the nickel hydroxide battery electrode by a thin bifunctional nickel metal electrode provides space and allows to increase the storage density. Considering only the iron electrode (and omitting counter electrode, electrolyte, casing, valves or other parts), a storage density of 0.78 Ah/cm3 is currently feasible, equivalent to 29 kgH2/m3 or to a compressed hydrogen storage density of 500 bar. The stored hydrogen can be released easily and controlled by applying a current. This reduces the safety risk associated with the storage of compressed hydrogen gas. During electrochemical hydrogen release, only hydrogen is generated inside the cell, which offers an oxygen-free hydrogen gas output even at low discharge rates.
The HSP-cells can be configured in a self-sustaining manner and in a way to provide a sustained hydrogen output from an intermittent input by simultaneous and phase-shifted operation of several units. The concept can provide sustained hydrogen to industrial processes or synthetic fuel production with an overall efficiency including storage and production which exceeds 80% when operated at 40 ◦C. Therefore, the HSP-cell has the potential to become an essential device to boost the energy transition.
Doped Iron electrodes
The iron electrode is the common part of all previously discussed configurations. Having an optimal iron electrode is essential since the iron electrode determines the rate capabilities and the efficiencies. In the battolyser thin iron electrodes suffice because the nickel electrode is capacity limiting. Thicker iron electrodes can be used in the iron-air battery/battolyser, in the MC-cell and in the HSPcell.
We developed a strategy to produce sintered iron electrodes to study the phase behaviour of the electrode in operando by means of neutron diffraction. The study revealed that substantial amounts of iron hydroxide were inside the bulk of the sample which could not be reduced back to metallic iron upon charging. We concluded that the electrochemical circuit within the electrode must be interrupted, and it is our hypothesis that gas accumulation within the cell negatively affected the ionic conductivity. We assume that gas accumulation within the electrode replaces electrolyte which increases the ionic resistance for phase transition. As a consequence, the inserted charge shifts from battery charging with phase transition to hydrogen evolution.
We wanted to improve the material utilization of the sintered iron electrodes and therefore needed to improve the ability of these electrodes to recharge. For this purpose, we added either zirconia oxide or alumina oxide to the electrodes. By adding metal-oxides to the electrode-composition we enhance the processability of the materials and the electrode performance.
With the new synthesis strategy, we produced thick sintered iron electrodes which show a volumetric storage density of up to 0.8 Ah/cm3 and reach areal storage densities of up to 160mAh/cm2. These values are among the best reported values in literature for sintered iron electrodes. In the process we may create a sulphur free system which potentially reduces corrosion issues, and which potentially reduce the deterioration of air electrodes.
Bridging intermittency with iron electrodes
Summing up, the creation of an energy system based on renewables confronts us with the intermittent nature of renewable power generation. To bridge the intermittency we need storage solutions for electricity and hydrogen. With a sustained hydrogen output synthetic fuels based on renewables could be produced on a large scale. With the nickel-iron battolyser and the iron-air battolyser we can store electricity and we can convert excess electricity into hydrogen to overcome the curtailment-problem. With the concept of MC-electrodes and of the HSP-cell we can efficiently control, store, and postpone the hydrogen output, to provide a more sustained hydrogen output. The iron electrode is present in all configurations and recharging was the main challenge. We addressed the issue of rechargeability with a modified synthesis strategy for sintered iron electrodes doped with Zr and Al instead of sulphur. Electrodes produced with this strategy may have the potential to perform as effective sintered iron electrodes.
With these new simple concepts and cost-efficient iron electrodes we offer new tools to support and accelerate the storage and conversion of renewable power, which is necessary for the energy transition and to overcome intermittency. We have to speed up the energy transition to limit the impact of climate change.","battolyser; battolyzer; hydrogen storage; hydrogen production; multi-controlled electrodes; hsp-cell; iron electrode","en","doctoral thesis","","978-94-6483-023-1","","","","","","2023-11-16","","","ChemE/Materials for Energy Conversion and Storage","","",""
"uuid:1c1796bd-9a12-4c82-b717-561acdeafea0","http://resolver.tudelft.nl/uuid:1c1796bd-9a12-4c82-b717-561acdeafea0","Another Hit On The Wall: Confined Wave Impacts on Hydraulic Structures","de Almeida Sousa, E. (TU Delft Hydraulic Structures and Flood Risk)","Hofland, Bas (promotor); Jonkman, Sebastiaan N. (promotor); Antonini, A. (copromotor); Delft University of Technology (degree granting institution)","2023","Hydraulic structures are crucial for navigation, water management and flood protection in low-lying coastal and delta regions. Their importance is expected to continue growing in the coming years, because of the consequences of climate change and the continuous development and urbanization of these regions combined with more strict safety requirements. These factors will lead to the construction of a series of new hydraulic structures around the world. In addition, existing hydraulic structures will be renovated after reaching the end of the envisaged design lifetime, and/or due to the previously described modification of load conditions and/or safety standards. Wave loads play a significant role in the stability of these hydraulic structures and a knowledge gap was identified regarding the characterization of confined wave impacts acting on vertical hydraulic structures with overhangs. For this type of wave impact, no validated load prediction method or design approach was previously available. This research addresses this knowledge gap, providing an experimentally calibrated load prediction model and a design approach for characterizing confined wave impact loads acting on vertical hydraulic structures with overhangs.
In a GEDM, a local field is enhanced into a nonlocal field and the nonlocal field is the output of the enhancement. Displacement-based GEDM enhances local displacement fields into nonlocal displacement fields instead of enhancing local equivalent strain fields into nonlocal equivalent strain fields, as in strain- and stressbased GEDMs. The key ingredient of the proposed extension is a transient internal length scale that tends to zero as the damage parameter tends to one. Various expressions for this transient internal length scale are proposed, formulated, and discussed. Also, the need for correction on the gradient activity operator in mode-II failure is demonstrated. To this end, an anisotropic formulation of the displacementbased GEDM is formulated and used to control the material failure mechanism in mode-II failure. Examples of the new model regularization capabilities are compared to the original/classical displacement-based GEDM with a constant internal length scale.
Despite the existence of spurious damage growth in mode-I failure for two dimensional problems (4-point bending beam example) for both the transient isotropic and the transient anisotropic versions, spurious damage growth is eliminated for mode-I failure in one-dimensional problems. Also, the proposed transient isotropic model eliminates spurious damage growth for mode-II failure in two-dimensional problems. However, the damage migration issue is not solved. This issue is addressed by the implementation of the transient anisotropic model. The transient anisotropic model has no damage spreading and damage migration issues in mode-II failure and realistic damage initiation and propagation are guaranteed. These features enable the representation of failure patterns i.e., thin crack-like shear-band. In practical terms this leads to a non-broadening shear fracture process zone in the wake of the crack tip, addressing one of the main criticisms of existing gradient damage models. Applicability of the proposed models is demonstrated by representative one- and two-dimensional examples.","Gradient-enhanced damage model; transient; anisotropic; displacement smoothing","en","doctoral thesis","","","","","","","","","","","Applied Mechanics","","",""
"uuid:caa3cf7f-d438-40ea-9c31-0033f8c38b1f","http://resolver.tudelft.nl/uuid:caa3cf7f-d438-40ea-9c31-0033f8c38b1f","Spectral Modelling of Coastal Waves over Spatial Inhomogeneity","Akrish, G. (TU Delft Environmental Fluid Mechanics)","Reniers, A.J.H.M. (promotor); Zijlema, Marcel (copromotor); Smit, Pieter (copromotor); Delft University of Technology (degree granting institution)","2023","Spectral wave models are widely used for wave prediction over large spatio-temporal scales. Over global scales, spectral models (e.g. WAM and WAVEWATCH III) are used regularly by environmental modelling centers, such as the European Centre for Medium-Range Weather Forecasts (ECMWF) and the American National Center for Environmental Prediction (NCEP), in order to support human activity at sea. Along the coasts, practitioners rely on spectral models which are designated to the coastal environment (e.g. SWAN and TOMAWAC) for applications such as coastal hazard assessment, future coastal development, planning of defense strategies for coastal safety, evacuation planning of coastal communities and so forth.
An important property that characterizes the spectral approach and enables its applicability for large scales is efficiency. This property is achieved owing to the simple wave description that underlies its formulation. Specifically, the spectral approach represents ocean wave fields as quasi-Gaussian, quasi-homogeneous and quasi-stationary processes. These convenient statistical properties provide a full statistical description of wave fields based on the energy spectrum alone, and therefore, allow to describe the waves in the ocean in a complete statistical sense through the solution of a single transformation equation - the energy balance equation.
The validity of this statistical modelling framework is based on the weak (in the mean) wave forcing and the dispersion effects. These two agents provide reasonable justifications that the deviation from the assumed statistical properties (i.e. Gaussianity, homogeneity and stationarity) is kept negligible in the course of wave evolution. While these arguments are reasonable in the open ocean (where dispersive effects are strong and wave processes are characterized by large scales), they become somewhat loose for the coastal environment (where wave dispersion weakens and wave processes develop rapidly). Evidently, processes like medium-induced wave interferences and energy exchanges due to shallow water nonlinearity are not properly represented under this statistical framework.
This study is set forward with the aim of advancing the spectral modelling capabilities in coastal waters by allowing the development of inhomogeneous and non-Gaussian statistics. To this end, the effort of this work is directed to three different parts, concerning three principle issues. The first part considers the formal connection between the classical deterministic formulation (e.g. the Euler equations) and the statistical formulation given by the so-called Wigner-Weyl formulation (a statistical framework that includes the information of wave interferences and reduces to the energy balance equation when interference effects are negligible). The second parts aims to generalize the Wigner-Weyl formulation (which presently accounts for wave-bottom interactions) to allow for the interaction of waves and ambient currents. Finally, the third part is devoted to the investigation of the quadratic modelling approach which defines the starting point for the present phase-averaged formulation of shallow water nonlinearity.
The objective of the first part of this study is achieved by showing the equivalence between a formal definition of the Dirichlet-to-Neumann operator of waves over variable bathymetry and the Weyl operator of the dispersion relation. This equivalence opens the door to a formal use of Weyl calculus, based on which the Wigner-Weyl formulation is formally derived. This result establishes the desired formal link between the deterministic formulation for water waves and the statistical formulation given by the Wigner-Weyl formulation, which includes the energy balance equation as a statistically well-defined limiting case. In the second part of this study, the Wigner-Weyl formulation for water waves is extended to account for wave-current interactions. The outcome is a generalized action balance model that is able to predict the evolution of the wave statistics over variable media, while preserving statistical contributions due to wave interferences. Comparisons with results of the SWAN model and the REF/DIF 1 model through several examples verify model performance and demonstrate that retention of interference contributions is essential for accurate prediction of wave statistics in shear-current-induced focal zones. Finally, the third part of this study explores the predictive capabilities of the quadratic approach. This is performed by analyzing the nonlinear properties of six different quadratic formulations, three of which are of the Boussinesq type and the other three are referred to as fully dispersive formulations. It is found that while the Boussinesq formulations predict reliably the nonlinear development of coastal waves, the predictions by the fully dispersive formulations tend to be affected by false developments of modulational instability. As a result, the predicted fields by the fully dispersive formulations are characterized by unexpectedly strong modulations of the sea-swell part and associated unexpected infragravity response. Additionally, this part of the study also presents an attempt to push the limits of the predictive capabilities of the quadratic approach. The outcome is the model QuadWave1D: a fully dispersive quadratic model for coastal wave prediction in one dimension. Based on a wide set of examples (including monochromatic, bichromatic and irregular wave conditions), it is found that the new formulation presents superior forecasting capabilities of both the sea-swell components and the infragravity field.
In summary, the overall effort of this study provides an additional step toward the broader goal of efficient and accurate spectral modelling capabilities of coastal waves. This step includes strengthening the theoretical foundations of the spectral approach, improving the spectral description of wave transformation over spatial inhomogeneity and helping to minimize the errors associated with the spectral formulation of shallow water nonlinearity. Ultimately, this study also points on and prepares the background to additional required model developments.","Spectral modelling; Statistical wave modelling; Quadratic modelling; Coastal waves; Wave interference; Wave nonlinearity; Wigner distribution; Weyl rule of association","en","doctoral thesis","","978-94-6366-691-6","","","","","","","","","Environmental Fluid Mechanics","","",""
"uuid:dd3bff55-b684-47cc-96f3-6ff109d345a0","http://resolver.tudelft.nl/uuid:dd3bff55-b684-47cc-96f3-6ff109d345a0","Analysis of thermoplastic composites and conduction welded joints","Tijs, B.H.A.H. (TU Delft Aerospace Structures & Computational Mechanics)","Bisagni, C. (promotor); Turon Travesa, A. (promotor); Delft University of Technology (degree granting institution)","2023","Thermoplastic composites enable new manufacturing techniques such as conduction welding to make the aviation industry more sustainable, while at the same time, provide great benefits to cost-efficient high-volume production. One of the benefits of welding is that it reduces the amount of mechanical fasteners required. Fastener-free joining also poses new challenges, because the performance of these highly loaded structural joints relies heavily on the performance of the thermoplastic polymer matrix. Furthermore, there is currently not much understanding of the mechanisms involved in thermoplastic welded joint failure, and the numerical and experimental methodologies, originally developed and validated on thermoset composites, have not yet been fully assessed for thermoplastic composites. On top of that, the process conditions to manufacture these new structures may have a significant influence on the mechanical performance of the material and can thus play an important role in the design of thermoplastic composite structures.
The objective of this research is to analyse matrix dominated failure of thermoplastic composites and conduction welded joints and to develop both experimental and numerical methodologies to support the design of thermoplastic composites structures. The research addresses important linkages between the three main pillars of Manufacturing, Experimental and Numerical analysis....","Thermoplastic composites; conduction welding; virtual testing; continuum damage model; cohesive zone model; interlaminar; fracture toughness; fiber-bridging; characterization","en","doctoral thesis","","978-94-6473-101-9","","","","","","","","","Aerospace Structures & Computational Mechanics","","",""
"uuid:b2d29368-cf59-4c2e-be32-60e794212d0a","http://resolver.tudelft.nl/uuid:b2d29368-cf59-4c2e-be32-60e794212d0a","Highly Efficient Inductive Power Transfer: Variable Compensation for Misalignment Tolerance and Voltage/Current Doubler for Battery Interoperability","Grazian, F. (TU Delft DC systems, Energy conversion & Storage)","Bauer, P. (promotor); Dong, J. (copromotor); Delft University of Technology (degree granting institution)","2023","Wireless charging has the potential to speed up the transition to electric vehicles (EVs) because it is intrinsically a user-friendly technology. Furthermore, it is essential when charging completely autonomous EVs, and it enables the charging of EVs in motion without using overhead cables. The most common technology used in EV wireless charging is inductive power transfer (IPT) with magnetic resonance coupling. This is based on the magnetic field exchange between coupled coils connected to compensation networks to minimize the circulating reactive power. IPT systems have two main variables influencing their operation: the coupling factor between the coils depending on their alignment, and the equivalent load based on the battery charging profile.
The coils' alignment and load operating conditions might vary when considering different applications. Nevertheless, all IPT systems share the same challenges: ensuring a highly efficient power transfer, guaranteeing that the intentionally radiated electromagnetic field (EMF) is both safe for the living beings in the surroundings and lower than the recommended electromagnetic compatibility (EMC) limits, and providing interoperability between IPT charging stations and EVs produced by different manufacturers. This thesis explores these matters. For instance, the content is divided into three main parts: conventional inductive power transfer systems, variable compensation, and voltage/current doubler (V/I-D) converter.","Power Electronics; Wireless Power Transfer; Wireless charging; Resonant converter; Inductive power transfer; Electric vehicle (EV)","en","doctoral thesis","","978-94-6483-055-2","","","","","","2024-01-01","","","DC systems, Energy conversion & Storage","","",""
"uuid:7e7749e5-f5bc-4fba-be46-c896cad7c4c8","http://resolver.tudelft.nl/uuid:7e7749e5-f5bc-4fba-be46-c896cad7c4c8","Assessing yeast proteome dynamics using high-resolution mass spectrometry","den Ridder, M.J. (TU Delft BT/Industriele Microbiologie)","Daran-Lapujade, P.A.S. (promotor); Pabst, Martin (copromotor); Delft University of Technology (degree granting institution)","2023","Mass spectrometry-based cellular proteomics has taken a prominent role in many fields of research, including life sciences, biotechnology and microbial ecology. Still, advanced mass spectrometry-based proteomics methods are not routinely applied to microbes. The cell factory and model organism Saccharomyces cerevisiae, for example, is very well characterized, however, many research questions surrounding proteome dynamics under different growth conditions, and the regulation of its complex metabolic network via post-translational modifications, remain to be answered. Therefore, the aim of this thesis was to establish and apply optimized protocols to enable the large-scale quantitative analysis of yeast proteome dynamics under highly controlled conditions. In addition, a novel mass spectrometric approach was established to quantify the degree of modification of metabolic enzymes, that allows for a better understanding of their role in metabolic regulation.","Proteomics; Yeast; Mass spectrometry","en","doctoral thesis","","","","","","","","","","","BT/Industriele Microbiologie","","",""
"uuid:8c0d814c-8cdd-49d8-ae82-2042fd31e324","http://resolver.tudelft.nl/uuid:8c0d814c-8cdd-49d8-ae82-2042fd31e324","Adsorption of organic micropollutants by zeolite granules and subsequent ozone-based regeneration","Fu, Mingyan (TU Delft Sanitary Engineering)","van der Hoek, J.P. (promotor); Heijman, Sebastiaan (promotor); Delft University of Technology (degree granting institution)","2023","Organic micropollutants (OMPs) that occur in the aquatic environment at trace levels are emerging concerns to society. Domestic wastewater is an important source. OMPs end up in surface water and groundwater via conventional municipal wastewater treatment plants (WWTPs), penetrating drinking water. Comprising pharmaceuticals, personal care products, pesticides, industrial chemicals, and other compounds, OMPs are persistent in water and can lead to adverse effects on human health under longterm exposure. As WWTPs are not designed to remove OMPs, various post-treatment technologies have been developed to remove OMPs from wastewater effluents over the last decades, including activated carbon adsorption, ozonation, and membrane filtration. However, the performance of these technologies is significantly influenced by natural organic matter (NOM). In the combined application of ozonation and activated carbon adsorption, the operational costs and the environmental impact are relatively high because of the off-site thermal treatment of the exhausted carbon. The AdOx technology aims to establish a new barrier by applying sequential adsorption and oxidation to remove OMPs from municipal wastewater effectively. As an alternative adsorbent for activated carbon, zeolite possesses uniform pores (0.6-1.0 nm) that appropriately match the molecules of OMPs. This uniform framework can potentially exclude the large molecules of most NOM fractions in wastewater. This innovative technology, selective adsorption of OMPs on zeolite granules followed by on-site ozone-based regeneration of the granules loaded with OMPs, can lead to the next generation of OMPs removal, characterized by high removal efficiencies, low costs, and low environmental impacts....","adsorption; organic micropollutants; ozone; regeneration; transformation products; wastewater; zeolite granules","en","doctoral thesis","","978-94-6366-689-3","","","","","","","","","Sanitary Engineering","","",""
"uuid:28c38358-a1ca-423c-97fb-841471138e56","http://resolver.tudelft.nl/uuid:28c38358-a1ca-423c-97fb-841471138e56","Developing Data-enabled Design in the Field of Digital Health","Jung, Jiwon (TU Delft Methodologie en Organisatie van Design)","Snelders, H.M.J.J. (promotor); Kleinsmann, M.S. (promotor); Delft University of Technology (degree granting institution)","2023","The research question of this doctoral thesis is: What can be the future impact of design (activities) in digital health, given the rise of data collection and analysis technologies? I answered this question on three knowledge levels: design vision, knowledge-generating approach, and design tool. In Chapter 1, I envision design activities for the collective computing era (an upcoming modern computing era with complex systems of massive social interaction through various connected computing devices) that data collection and analysis technologies are a part of. Based on the literature review and informants’ interviews, I developed a design vision that demonstrates the changes posed in design activities (design tasks, processes, and the designer’s role) due to the upcoming collective computing era, and provides guidance for adopting the changes. Consequently, the vision proposes that design tasks in the collective computing era move towards designing ‘complex system(s)’ and testing these within ‘society as a lab’. The vision’s guidance states that designers can approach these tasks by addressing communities and engaging with their data. In terms of the design process, the vision claims the ‘coexploration’ of the design problem and solution spaces. To tackle such change, the guidance suggests designers: the flexible combination and analysis of mixed data, working on social forces at a system level, and developing through multiple soft launches with modular designs. Finally, the designer’s role becomes conducting an ‘accountable implementation.’ The vision recommends approaching accountable implementation by incorporating a transdisciplinary vision of the value and control of the design output....","Design vision; Knowledge-generating approach; Design tool; Design for health; Digital health; E-health; Data-enabled design; Design methodologies; Design method; Machine learning for design; Design for Healthcare","en","doctoral thesis","","978-94-641-9760-0","","","","","","","","","Methodologie en Organisatie van Design","","",""
"uuid:0d49cb3e-6dd8-4a9e-abc6-b847de938aea","http://resolver.tudelft.nl/uuid:0d49cb3e-6dd8-4a9e-abc6-b847de938aea","How a changing climate is changing behavior: household adaptation to floods","Noll, B.L. (TU Delft Policy Analysis)","Filatova, T. (promotor); Need, Ariana (promotor); Delft University of Technology (degree granting institution)","2023","Floods appear in many of the world's oldest stories (i.e. Noah and the Arc in the Abrahamic religions, Manu in Hinduism, and the Gun-Yu myth in Chinese mythology). When observed historically, they often have an element of mysticism about them, symbolizing eradication and rebirth. In the present, however, there is little that is mystical about the devastation brought on by floods as they cause more destruction annually than any other hazard. With much of the modern development taking place along the coast or near riverways, assets and livelihoods are increasingly concentrated in exposed areas. By-products of climate change such as sea level rise and extreme precipitation events increasingly devastate these regions; with the projection that the risk of floods will continue to increase in the future.
Top-down, government-led adaptation to floods on its own cannot contend with growing risk; rendering household participation essential. Governments, risk modelers, scientists, and other interest groups (i.e. NGOs) need a solid understanding of household behavior in order to formulate strategies and engage stakeholders across scales to address climate-induced risks. This dissertation devotes its attention to better understanding households' perceptions, intentions, and behavioral drivers and their dynamics, concerning floods in various social, geographical, cultural, and environmental contexts. More concretely, the principal research objective of this dissertation is:
To progress toward an understanding of how households perceive, are affected by, and adapt to floods in various contexts over time.
Following a comprehensive review and analysis of prior empirical research on household flood adaptation, this dissertation presents the analysis of a panel survey carried out between 2020-2021 aimed at collecting data to tackle the aforementioned objective. Focusing on large urban centers in the United States, China, Indonesia, and the Netherlands I use various statistical techniques and methods to analyze the survey data and study a range of aspects from household perceptions as they concern floods and climate change, to reported adaptation behavior. The survey solicits information on 18 adaptation measures that range from inexpensive, actions that do not require considerable effort (i.e. having an emergency preparedness kit, emergency coordination with one's neighbor, etc.), to costly measures that require a substantial time investment (i.e. elevating one's home, waterproofing one's windows, etc.)
In analyzing how household adaptation decisions are influenced, depending on the \textit{type} of measure and the context in which the household resides, this dissertation offers insight into which socio-behavioral drivers and barriers of household adaptation are generic and those which may vary depending on the institutional and environmental conditions. A household's perceived ability to cope, and the emotion, ``worry,'' plays a substantial role in driving household adaptation intention. In contrast, the financially calculated risk-based drivers: the perceived probability of a flood happening and the perceived damage should a flood occur, generally have a more subdued effect on household adaptation intentions. This is related to the fact that not all households have sufficient capacity or awareness to subjectively assess the probability and damage of a potential flood. Individual risk-uncertainty - a trait more frequently found in populations historically more vulnerable to floods (i.e. women and lower educated) has a large detrimental effect on households' intention to pursue flood adaptation measures.
While internal perceptions are critical to consider, external factors can have an equally potent role in affecting household adaptation behavior. I examine the effect of context at multiple scales in this dissertation, assessing the role of social expectations, perceptions of government measures, and national culture on household adaptation decisions. Households use their observations of what others (i.e. their social network, the government) are doing with respect to flood adaptation, to inform their decisions. The degree to which both external and internal factors influence household adaptation decisions can differ based on the cultural and geographical context. Various factors have a weaker or stronger influence and at times even the opposite effect on adaptation behavior, depending on where the household resides.
While internal and external perceptions are requisite considerations in understanding household behavior, it is likewise crucial to account for experiences and the co-benefits of various household adaptive actions. The effects of prior flood experiences and the benefits of taking adaptations together are additional key considerations when studying household flood adaptation, due to the economic benefits that can arise from undertaking measures together. Furthermore, prior experience with floods can motivate adaptation behavior, but substantial financial damage from a flood impedes a household's adaptation intention; as their focus is on recovery, not adapting.
The findings in this dissertation are of use to scientists, modelers, risk specialists, and policymakers; whether they are designing models, a communication strategy, or a policy aimed at encouraging household action. With the effects of climate change increasingly affecting communities across the globe, households are having to contend with hazards that are more extreme and frequent than in the living memory of humanity. Unless immediate action is taken across scales, the harrowing effects of climate change are expected to increasingly threaten extensive populations globally. This dissertation provides insights into how households think, perceive, behave, and learn over time concerning one of the most deadly and damaging hazards: floods.
However, in the field of satellite Remote Sensing of agriculture, waterlogging has so far received little attention. Previous related research focused on remote sensing of inundation or monitoring surface water, but waterlogging in agriculture is an overlooked subject. Little is known about how waterlogging is present in (irrigated) agriculture and what the ability of different remote sensing techniques is to detect and monitor waterlogging. Therefore, this thesis aimed to extend knowledge on how waterlogging influences agricultural monitoring with satellite remote sensing. Ultimately, to set footsteps towards monitoring waterlogging with satellite remote sensing.
The results presented evolve around a sugarcane plantation in Xinavane, Mozambique. The plantation served as a case study to demonstrate different satellite remote sensing observations in the context of waterlogging. First, the case study is presented and a describption is provided on the ground data collected. By providing remote sensing evaporation estimates, the high demand of irrigation water is illustrated. Vast quantities of water is needed to sustain sugarcane crop growth in the semi-arid environment of the plantation.
To continue, with a thorough literature review and the case study it is demonstrated waterlogging is a major issue burdening crop productivity. By assessing different remote sensing evaporation algorithms the results showed currently available evaporation estimates interpret waterlogging stress as a need to irrigate. This implies, before evaporation estimates from satellite data can play a role in optimizing field-scale water use in irrigated areas, evaporation algorithms must be able to identify water stress only in the case of water deficit in the root-zone. Throughout the chapter the presence of waterlogging or crop response to waterlogging is illustrated in different satellite remote sensing observations. In sum, the results imply a need to integrate observations of multiple sensors and potentially ancillary data (e.g. DEMs) to unravel how to monitor waterlogging with satellite remote sensing.
In search for the influence of waterlogging on agricultural monitoring, the research continued by comparing optical vegetation indices, radar vegetation indices, and sugarcane yield over the growing season in the plantation. The analysis gave an interesting and unexpected result. Contrary to the expectation the results showed a negative correlation between the Cross Ratio (CR) and sugarcane yield over the growing season. A modeling study proved the negative correlation results from a change in the sugarcane's internal composition which affects the dielectric constant of sugarcane canopies observed. The chemical composition of plant water in sugarcane changes over the growing season. As a consequence of sucrose accumulation in the stalk, water is increasingly bound to sucrose and this process lowers the dielectric constant.
%The results predominantly show a decrease in observed vegetation water content, as a result of a change in chemical composition due to an increase in sucrose accumulation, lowers the backscattered signal.
To follow up, active and passive microwave observations, optical vegetation indices, and production data are evaluated in different seasons. In addition to a temporal change of sucrose and moisture, the results showed vertically the sucrose-moisture distribution changes as well over the growing season. Therefore, the vertical distribution of sucrose - plant moisture influences the dielectric constant and, hence, the backscattered signal. The results highlight the VV backscatter responds to the stalk biomass, which is also the reservoir of sucrose in the sugarcane crop.
Finally, the influence of waterlogging on Sentinel-1 backscatter was detected through benchmarking with passive microwave observations, optical vegetation indices, and production data in a period where waterlogging was reported. Despite a thick sugarcane canopy, an increase in VH and VV polarizations was observed as a result of waterlogging. The increase was present at all stages during the growing season. The difference in backscatter as a result of waterlogging was highest in the VH backscatter. Also, the effect of waterlogging is translated through to the CR, which proves CR can play a role in the discrimination of waterlogging.
The results presented in this thesis help to further understand the influence of waterlogging in agricultural monitoring. Also, this work shows to correctly interpret irrigation estimates and crop development, waterlogging and sucrose development need to be flagged or otherwise considered during the growing season. Especially radar observations from Sentinel-1 backscatter appeared to be useful in monitoring waterlogging and sucrose development.","Waterlogging; Agricultural monitoring; Sugarcane; Irrigated agriculture; Sentinel-1 backscatter","en","doctoral thesis","","","","","","","","","","","Water Resources","","",""
"uuid:6d68b9c6-4c0f-427a-9566-78ad9362d338","http://resolver.tudelft.nl/uuid:6d68b9c6-4c0f-427a-9566-78ad9362d338","Platform Ecosystems: Exploring Participation and Performance","Sobota, V.C.M. (TU Delft Economics of Technology and Innovation)","van Beers, Cees (promotor); Ortt, J.R. (promotor); van de Kaa, G. (promotor); Delft University of Technology (degree granting institution)","2023","Platforms are often seen as the most influential organizational form of our time. Harnessing the strengths of external parties allows for unprecedented innovation (e.g., Facebook, iOS). Platforms aggregate and match participants in fragmented markets (e.g., Craigslist, Marktplaats, Airbnb). As such, platforms often become the epicenters of industries and have often replaced incumbents. What leads to market power and growth of platforms? Understanding this is important if we want to create platforms where they are beneficial to the economy and society and counteract or regulate them where they are harmful. This dissertation investigates how platform participation and platform performance are related to each other. Participation refers to installing and using a technology. From the economics perspective, performance includes mostly financial indicators such as revenues or profit. However, it can also concern other indicators, for instance, the participation of complementors or users. Under network effects, current participation increases the platform’s value to future users, which is closely linked to performance. This dissertation consists of four chapters that together address the main research question. It draws on evolutionary economics, platform economics, and strategic management. It consists of conceptual (Chapters 2, 5, and parts of Chapter 3) and empirical studies (Chapters 3 and 4).","","en","doctoral thesis","","","","","","","","","","","Economics of Technology and Innovation","","",""
"uuid:8cabdf81-f503-463f-89d7-7a2874d3f876","http://resolver.tudelft.nl/uuid:8cabdf81-f503-463f-89d7-7a2874d3f876","Moral Values, Behaviour, and the Self: An empirical and conceptual analysis","van den Berg, T.G.C. (TU Delft Transport and Logistics)","Chorus, C.G. (promotor); Kroesen, M. (promotor); Corrias, L.D.A. (copromotor); Delft University of Technology (degree granting institution)","2023","","Moral Values; Moral Behaviour; Moral Self; Narrative Identity; Moral Foundations Theory; Moral Psychology; Phenomenology; Ricoeur; International Crimes","en","doctoral thesis","","978-94-6483-127-6","","","","","","","","","Transport and Logistics","","",""
"uuid:55b7c07d-9b1b-4e0f-b935-cbfa434e3f9a","http://resolver.tudelft.nl/uuid:55b7c07d-9b1b-4e0f-b935-cbfa434e3f9a","Evaporation of the miombo woodland of southern Africa: A phenophase-based comparison of field observations to satellite-based evaporation estimates","Zimba, H.M. (TU Delft Water Resources)","Savenije, Hubert (promotor); Coenders-Gerrits, Miriam (copromotor); Delft University of Technology (degree granting institution)","2023","Through precipitation retention and evaporation (by both interception and transpiration), woodlands play a significant role in the global moisture cycle. Evaporation is the largest, but at the same time, the most difficult flux to observe in a woodland. Accounting for woodland evaporation is important for hydrological modelling for the efficient development and management of water resources. Assessing evaporation is a challenging undertaking that involves the use of a wide range of equipment and requires skilled personnel. Much work has been conducted on assessing evaporation in agricultural crops. Even satellite data-based models are largely structured to assess evaporation in agricultural crops to the exclusion of understanding evaporation dynamics in natural woodlands, especially in African ecosystems. However, evaporation in woodland surfaces accounts for a significant portion of the water cycle over the terrestrial land mass. Understanding the characteristics of woodland ecosystem evaporation like interception and transpiration, is key to monitoring climate impact on woodland ecosystems, which is important for hydrological modelling and the management of water resources at various scales. One of the key aspects to enable this understanding is the knowledge of woodland phenological interaction with climate variables and the seasonal environmental regimes. “Vegetation phenology” refers to the periodic biological life cycle events of plants, such as leaf flushing and senescence, and corresponding temporal changes in vegetation canopy cover. Solar radiation, temperature and water availability (i.e., rainfall and soil moisture) are some of the key environmental variables that influence plant phenology. The attributes of woodland phenology, solar radiation, temperature and water availability differ across the diverse ecosystems globally, therefore, requires better understanding at a more local or regional level. Yet, evaporation of natural woodlands, especially in African ecosystems, with respect to phenological phases, are poorly characterised. This is largely because phenological studies have mainly focused on northern mid-latitude regions to the exclusion of other regions like the miombo of southern Africa. For increasing the predictive power of hydrological models, it is important to account for the interaction of woodland phenology with climate variables over the seasons and to characterise evaporation. This thesis aims at understanding the miombo woodland evaporation as a consequence of the vegetation phenological interaction with environmental and hydrological variables across seasons. Based on information in public domain, this study is the first independent field observation data-based characterisation of actual evaporation of the miombo woodland. The miombo is a heterogeneous woodland of the genus Brachystegia with the dominant species in the study location being Bauhinia petersenia, Brachystegia longifolia, Brachystegia boehmii, Brachystegia speciformis, Jubenerdia paninculata, Pericopsis angolensis, Uapaca kirkiana and Uapaca sansibarica. Unique phenological attributes are the simultaneous leaf fall, leaf flush and leaf colour changes that normally occur in the dry season between May and October. Most miombo woodland species are broad leaved and have developed dry season coping mechanisms such as deep rooting (capacity to access deep soil moisture and ground water) and vegetation water storage. The canopy closure is varied across the miombo woodland strata and is influenced by several factors including rainfall, soil type, soil moisture and nutrients, species diversity and temperature. These phenological attributes are species dependent, with varied response to phenological stimuli. This study sought to answer the question on the role of the phenology of the miombo woodland in the evaporation dynamics. The thesis also endeavoured to show how phenology, potentially, affects satellite-based evaporation estimates of the miombo woodland. The Luangwa Basin in southern Africa, a largely miombo woodland covered basin, was used as the study area. This basin was chosen because it is located in both the dry miombo woodland and wet miombo woodland in the Zambezian miombo woodland which is the largest strata of the miombo woodland. Furthermore, the Luangwa Basin is located in Zambia which is described as the country possibly with the highest diversity of trees and is said to be the centre of endemism for Brachystegia, with 17 species.
To answer the questions on the importance of the phenology of the miombo woodland on the evaporation dynamics, the study used a coupled approach by applying both satellite data and field observations. Phenological changes of the miombo woodland across seasons were assessed using satellite-based data, the normalised difference vegetation index (NDVI) and leaf area index (LAI). Satellite-based data, land surface temperature (LST) and normalised difference infrared index (NDII), were used as proxies for climate variables canopy temperature and canopy vegetation water content. Point scale field estimates of evaporation across three different phenophases of the miombo woodland were obtained using the Bowen ratio distributed temperature sensing (BR-DTS) system. By measuring profiles of air temperature and wet bulb temperature, the evaporation could be estimated via the Bowen ratio method (BR-DTS). Six satellite-based evaporation estimates were compared across different phenophases of the miombo woodland. This was meant to observe the phenophases in which significant diferences in the trend and magnitude of satellite-based evaporation estimates occured. The general water balance approach was used to assess annual actual evaporation at basin scale. Consequently, satellite-based evaporation estimates were compared to the BR-DTS-based evaporation estimates at point scale and the water balance-based evaporation at basin scale. Results, based on satellite data, show that the phenology of the miombo woodland, i.e., changes in woodland canopy cover and photosynthetic activities, have a season-dependent correlation with climate variables. Woodland canopy cover, across phenophases and seasons, appear to be more influenced rather by water than temperature. This may explain the particular species-dependent buffering mechanisms during water limited conditions i.e., leaf shedding, deep rooting systems with access to ground water, and the vegetation water storage mechanisms. In agreement with available literature in public domain it appears there is little variation in canopy cover/closure (i.e., proxied by LAI) in wet miombo woodland in the dry season. At the wet miombo woodland site in Mpika, Zambia, the BR-DTS observations showed that, across the different phenophases, the actual evaporation trend and magnitude appeared to be more associated with the available energy than the changes in the woodland canopy cover. Further analysis showed that the net radiation has a greater influence on actual evaporation as it accounted for more variations in the actual evaporation compared to the changes in the woodland canopy cover (i.e., NDVI). The energy partitioning showed that available energy expenditure varied with phenological season. In the green down phenophase during the cool dry season the available energy was largely partitioned as sensible heat flux. As the temperature and net radiation begun to increase in the early dormant phenophase during the late cool dry season (July August) the available energy appeared to be equally partitioned between sensible and latent heat flux. In the late dormant phenophase during the early warm pre-rainy season (i.e., September) available energy was largely partitioned as latent heat flux. In the green-up phenophase during the late pre-warm rainy season (i.e., October) and early rainy season (i.e., November to December) the avialable energy was largely partitioned as latent heat flux. During the rain days the available energy appeard to be equally partition between latent and sensible heat flux. It appears that as the net radiation and canopy cover increased the available energy was largely partitioned as latent heat flux during the dry season. A remarkable observation was the continued rising trend of actual evaporation even during the lowest woodland canopy cover period in August and September. The rising trend in actual evaporation during the dry season may be due to the developed dry season water stress buffering mechanism such as deep rooting with access to moisture in deep soils and possibly access to ground water. The trend of the BR-DTS-based actual evaporation of the miombo woodland in the dry season points to the interaction between hydro-climate variables (i.e., precipitation linked soil moisture and net radiation) and the plant phenology. When compared to field observations, at point scale, all satellite-based evaporation estimates underestimated actual evaporation of a wet miombo woodland in the dry season and part of the early rainy season. Substantial underestimations were in the dormant and the green-up phenophases. Additionally, except for the WaPOR, the trends of all other satellite-based evaporation estimates differed from that of field observations. Plausible explanations for the behaviour (trend and magnitude) of satellite-based evaporation estimates in the dry season include the non-integration of soil moisture directly into the modelling of transpiration and the optimisation of the rooting depth. For instance, the use of proxies such as the NDVI and LST for soil moisture in surface energy balance models, such as SSEBop, results in uncertainities as the proxies are unable to take into account other factors that influence the sensible heat flux. In MOD16 the use of relative humidity and vapour pressure difference as proxies for soil moisture may be a source of uncertainty in estimating transpiration. On the other hand it has been observed that direct integration of soil moisture in the MOD16 algorithm appeared to improve the accuracy of actual evaporation estimates. This may explain why the WaPOR which integrate soil moisture stress in the algorithm appeared to have a smilar trend to field observations and also had higher estimates of actual evaporation compared to the other satellite-based evaporation estimates. It has also been shown that optimising the rooting depth improves the accuracy of transpiration estimates in vegetation with a dry season. Most miombo woodland species are deep rooting with access to deep soil moisture and potentially groundwater. Therefore, direct integration of soil moisture into the algorithms for the satellite-based evaporation estimates and optimising the rooting depth is likely to improve the accuracy of actual evaporation estimates for the miombo woodland.
The phenophase-based comparison at pixel scale in dry miombo woodland and wet miombo woodland and at the Luangwa Basin miombo woodland scale showed similar results. In all three scenarios substantially high coefficients of variation in actual evaporation estimates among satellite-based evaporation estimates were observed in the water limited, high temperature and low woodland canopy cover conditions in the dormant phenophase. The coefficients of variation in actual evaporation estimates were also substantially high in the green-up phenophase at the boundary between the dry season and the rainy season. The lowest coefficients of variation in actual evaporation estimates were observed in water abundant, high temperature, high leaf chlorophyll content and high woodland canopy cover during the maturity/peak phenophase. The high coefficients of variation in actual evaporation estimates, among satellite-based evaporation estimates, in the dormant and green-up phenophases, points to the challenge of estimating the actual evaporation of the miombo woodland in the dry season and early rainy season. The same scenario emerged as was observed at point scale, with reference to field observations, in which satellite-based evaporation estimates which directly integrate soil moisture in their algorithm appeared to have higher estimates of actual evaporation in the dormant phenophase in the dry season. For instance, the FLEX-Topo and WaPOR integrate soil moisture in their algorithms. Compared to each other the FLEX-Topo and WaPOR appeared to have no statistically significant (p-value > 0.5) differences in their trends and mean estimates of actual evaporation in the dormant phenophase in the dry season. Compared to the FLEX-Topo and WaPOR the other four satellite-based evaporation estimates, GLEAM, MOD16, SSEBop and TerraClimate showed statisticantly significant (p-value < 0.05) differences in the trend and mean estimates of actual evaporation in the dormant phenophase in the dry season. Considering the canopy phenology and the associated physiological adaptation of the miombo woodland plants in the dry season, it appears that the direct integration of the soil moisture in the algorithms and optimising the rooting depth is likely to improve the accuracy of the satellite-based evaporation estimates. In the maturity/peak phenophase(s) during the mid-rainy season, compared to other satellite-based evaporation estimates, the MOD16 appeared to have significantly (p-value < 0.05) higher estimates of actual evaporation. The plausible explanation for this observation could be that the interception module of MOD16 is more responsive to the miombo woodland phenology. The wet miombo woodland intercepts between 17-20 percent of rainfall annually.
Compared to the general annual water balance-based actual evaporation all six satellite-based evaporation estimates underestimated actual evaporation of the Luangwa Basin. The implication of this observation is that satellite-based evaporation estimates likely underestimates evaporation even in non-miombo woodland such as the mopane woodland that are also part of the larger Luangwa Basin vegetation landscape. However, for a comprehensive overview of the performance of the satellite-based evaporation estimates there is need for vegetation type and land-cover type based assessments of actual evaporation for the Luangwa Basin. At both point and basin scale-based assessments, there was a negative linear relationship between the spatial resolution of satellite-based evaporation estimates and the estimated actual evaporation. Satellite-based evaporation estimates with fine spatial resolutions showed lower underestimates compared to those with coarser resolutions. The implication is that the finer the spatial resolution the lower the underestimation. However, at both assessment scales, the linear relationships between the spatial resolutions and the evaporation estimates were statistically insignificant (i.e., p-value > 0.05). The reason for this outcome is exhibited in that some satellite-based evaporation estimates with relatively coarser spatial resolutions, i.e., SSEBop at both point and basin scale and TerraClimate at basin scale, underestimated less compared to MOD16 which had a finer spatial resolution. Furthermore, at basin scale a coarser spatial resolution estimate FLEX-Topo and a finer spatial resolution estimate WaPOR showed similar magnitude of actual evaporation in the dormant phenophase in the dry season. The implication of this observation is that other factors (i.e., heterogeneity in the landscape, model structure, processes and inputs) influence more the estimated actual evaporation rather than the spatial resolutions of the satellite-based evaporation estimates. Consequently, it appears that satellite-based estimates at finer spatial resolution with the structure, processes and inputs that couple canopy transpiration with the root zone storage, taking into account the vertical upward (beyond 2.5 m) and horizontal moisture flux as well as the canopy phenological changes, are likely to provide actual evaporation estimates that reflect actual conditions of the miombo woodland. This is demonstrated by the WaPOR estimates which appears to include these aspects in simulating actual evaporation. The field-based actual evaporation assessments were conducted in the wet miombo woodland. It is possible that the phenological response to changes in hydrological and climate regimes in the drier miombo woodland are different from the observations at the Mpika site. Therefore, there is need for similar observations to be performed in the drier miombo woodland and to compare the results. However, this thesis has demonstrated the importance of understanding and incorporating the canopy phenology and dry season physiological adaptation (i.e., deep rooting) of the miombo woodland in modelling actual evaporation. Additionally, for basins with heterogenous woodland types like the Luangwa, it is important to conduct actual evaporation assessments in the different vegetation types. This is likely to give a more representative understanding of basin scale evaporation dynamics. Nevertheless, this study has provided a foundation on which other studies can build towards a more comprehensive understanding of the actual evaporation dynamics in this unique woodland.
The development process of an aircraft involves using low-fidelity aerodynamic models at the early stage of the design process to rapidly compute the loads acting on the airframe, and to evaluate the efficiency of wing control surfaces. These models are, however, limited to linear flow conditions, and transonic shock or flow separation cannot be simulated with such methods. This requires important safety factors and leads to generally heavier designs. Computational Fluid Dynamic with Reynolds-Averaged Navier-Stokes (CFD-RANS) analysis is capable of better aerodynamic predictions, but the computational time required for such simulations is too long to be efficiently included in the sizing process of the airframe. The approach proposed in this thesis aims to combine the accuracy of CFD with fast linear loads estimation. This is achieved by deriving reduced-order models (ROM) of the aircraft control surfaces and manoeuvre loads from rigid CFD analysis to improve the accuracy of faster but lower-fidelity results where needed. These fast aerodynamic models for the control surfaces also allow rapid control optimisation to evaluate their load alleviation potential.
The thesis starts by introducing and validating the unsteady and non-linear models with 2D examples. Then, it covers the application of these models to a flexible 3D wing. The models are validated against high-fidelity steady and dynamic Fluid-Structure Interaction simulations and show good agreement with a 5% to 10% error margin in loads and deformations in most of the cases. Finally, a wingbox sizing optimization is performed with active load alleviation. Choosing to either use the linear or the non-linear aileron model for the GLA alone leads to a 2.5% difference in the wingbox structural weight.
Ralstonia solanacearum and the Soft Rot Pectobacteriaceae, Dickeya solani and Pectobacterium carotovorum. They affect a broad variety of crops with hosts ranging from potato to flower bulbs, both being important cash crops worldwide and particularly in the Netherlands.
An ASTR pilot site located in North Holland was investigated where tile drainage water (TDW) is collected from a 10 ha agricultural field and infiltrated into a sandy, anoxic, and originally brackish aquifer. The TDW can mix with surface water where the selected pathogens are regularly detected. ASTR uses separated wells for infiltration and abstraction of the recharged water. This creates a soil passage and forces the water to flow through the porous medium (sand layers) of the aquifer. Water microcosms and column experiments were used to simulate the aquifer processes in the laboratory and analysed pathogen removal during ASTR.
The results showed that the die-off in the water phase depends on the residence time and ranged between 1.3 to 2.7 log10 after 10 or 60 days for R. solanacearum, respectively. A subpopulation of the bacteria persisted for a prolonged time at low concentrations which may pose a risk if the water is recovered too early. However, the soil passage within the aquifer proved to be highly effective in removing the bacteria by attachment (18 log10 after 1 m). Together with results of dose-response experiments where I studied the effect of contaminated irrigation water on potato plants, all results were ultimately combined in a quantitative microbial risk assessment (QMRA). QMRA is a useful (water) management tool to evaluate the treatment steps of water reclamation technologies and support decision-making processes. As a result of this PhD work, ASTR can be considered a natural treatment system to remove bacterial plant pathogens and provide safe irrigation water.","Managed Aquifer Recharge (MAR); Water quality; Irrigation water requirements; Pathogen removal; bacterial transport; Hydrus 1-D; Quantitative microbial risk assessment (QMRA); Dose-response; brown rot; Ralstonia solanacearum; Dickeya solani; Pectobacterium carotovorum; Column breakthrough analysis; Water scarcity","en","doctoral thesis","","978-94-6384-434-5","","","","","","","","","Sanitary Engineering","","",""
"uuid:3c490160-41c0-404e-8df3-88bf417eb9eb","http://resolver.tudelft.nl/uuid:3c490160-41c0-404e-8df3-88bf417eb9eb","Pretreatment of lignocellulosic biomass for acetic acid co-valorization","Jimenez Gutierrez, J.M. (TU Delft BT/Bioprocess Engineering)","Straathof, Adrie J.J. (promotor); van der Wielen, L.A.M. (promotor); Delft University of Technology (degree granting institution)","2023","The use of renewable resources is nowadays a well-established practice and a general policy to address the fossil fuel depletion, as well as the continuous increase in greenhouse gas emissions. Several approaches have been adopted, with a growing trend toward developing new technologies that target efficiency, sustainability and feasibility. Because it closes the carbon cycle, biomass has a significant potential as renewable source, and not exclusively for the production of energy. Thus, similar to the traditional refinery, the fractionation and conversion of sources to generate, separate and purify different products is also applicable to biomass. Hence, the concept of biorefinery enables the use of renewable feedstocks to obtain bio-based fuels, chemicals and materials in a greener and eco-friendlier manner. Moreover, lignocellulosic biomass (LCB) used as second generation feedstock, encompasses plenty opportunities due to features such as availability, price and versatility....","","en","doctoral thesis","","978-90-833109-8-5","","","","","","","","","BT/Bioprocess Engineering","","",""
"uuid:a54d1bec-00f9-4dfe-ad3a-c8d6645d2b33","http://resolver.tudelft.nl/uuid:a54d1bec-00f9-4dfe-ad3a-c8d6645d2b33","Novel Methods for the Extraction of Galanthamine from Narcissus pseudonarcissus Bulbs","Rachmaniah, O. (TU Delft BT/Environmental Biotechnology)","Witkamp, G.J. (promotor); Verpoorte, Robert (promotor); Choi, Y.H. (copromotor); Delft University of Technology (degree granting institution)","2023","A large number of studies on Narcissus, a member of the Amaryllidaceae family, have been published. In particular on Narcissus species, their alkaloids content, their structures including with MS-fragmentation patterns, their preparative extractions, and the analysis of these compounds covering GC-MS, LC-MS, HPLC-DAD, as well as 1H-NMR have been intensively reported. However, aspects on pre-analytical steps, extraction in bulk quantities using green alternatives solvent have not been reported widely, hence leaving space for investigation. In this thesis, the sustainable production of galanthamine from Narcissus pseudonarcissus cv. Carlton bulbs, a relatively cheap biological left-over matrix from the agricultural-flower industry, was investigated within joint collaboration between the former Process Equipment Laboratory, and the Biotechnology department of Delft University of Technology, and the Natural Product groups of Institute Biology of Leiden University. The aim of the project was gaining insight into the extraction of N. pseudonarcissus alkaloids, especially galanthamine, by means of using green solvents instead of using volatile organic solvents (VOCs) as in the conventional process. Both supercritical fluid (SCF) (c.q. supercritical carbon dioxide) and natural deep eutectic solvents (NADES) which are recently considered as green solvents were applied. Classical alkaloids extraction methods by means of acid-base purification steps of alkaloids as well as an exhaustive Soxhlet extraction as a benchmarking method were also conducted for comparison. It was investigated whether the proposed method provides high yield and selectivity of the targeted compound. The described study must be considered as the first step for further studies on the commercial production of galanthamine from the biological matrix; to address the challenges met in the bulk quantity production of galanthamine, a N. pseudonarcissus alkaloid. Prior to doing the supercritical CO2 (scCO2) extraction, a literature study was carried out. According to the previous studies on the secondary metabolites (SMs) extractions by using scCO2, many aspects were found essential for the success of the extractions process. They are divided mainly into pre-extraction, extraction, and postextraction step, particularly when dealing with the plant’s matrices. Grinding and impregnation of the grinded material are important in this step as well as the drying of the material to keep the water level around 5-10% of dry weight. The selectivity of alkaloids is largely affected by adjusting the CO2 density which can be tuned by controlling the pressure and temperature of the scCO2 as well as by modifier addition. In the extraction step, particle size, porosity, contact surface area, and solubility of target compounds combining with the process systems, i.e. batch or continuous, play a major role. An integrated process including scCO2 extraction as well as fractionation in the postextraction step seems to be a promising strategy to enhance the yield and selectivity of targeted compounds. ..","","en","doctoral thesis","","978-94-6366-646-6","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:a908aba1-8210-4b75-b69f-77aea7871a56","http://resolver.tudelft.nl/uuid:a908aba1-8210-4b75-b69f-77aea7871a56","On Safety in Machine Learning","Viering, T.J. (TU Delft Pattern Recognition and Bioinformatics)","Eisemann, E. (promotor); Loog, M. (promotor); Delft University of Technology (degree granting institution)","2023","This dissertation focuses on safety in machine learning. Our adopted safety notion is related to robustness of learning algorithms. Related to this concept, we touch upon three topics: explainability, active learning and learning curves.
Complex models can often achieve better performance compared to simpler ones. Such larger models are more like blackboxes, whose inner workings are much harder to understand. However, explanations for their decisions may be required by law when these models are used, and may help us further improve them. For image data and CNNs, Grad-CAM produces explanations in the form of a heatmap. We construct CNNs whose heatmaps are manipulated, but whose predictions remain accurate, illustrating that Grad-CAM may not be robust enough for high stakes tasks such as self-driving cars.
Machine learning often require large amounts of data for learning. Data annotation is often expensive or difficult. Active learning aims to reduce labeling costs by selecting data in a smart way — instead of the default, random sampling. Active learning algorithms aim to find the most useful samples. Surprisingly, we find that active learning algorithms with strictly better performance guarantees perform worse empirically. The cause: their worst-case analysis is unrealistic. A more optimistic average-case analysis does explain our empirical results. Thus better guarantees do not always translate to better performance.
A learning curve visualizes the expected performance versus the sample size a learning algorithm is trained on. These curves are important for various applications, such as estimating the amount of data needed for learning. The conventional wisdom is that more data equals better performance. This means a learning curve strictly improves with more data, or in other words, is monotone. Deviations can surely be explained away by noise, chance, or a faulty experimental setup?
To many in our field this may come as a surprise, but this behavior cannot be explained away. We survey the literature and highlight various non-monotone behaviors, even in cases where the learner uses a correct model. Our survey finds that learning curves can have a variety of shapes, such as power laws or exponentials, but there is no consensus and a complete characterization remains an open problem. We also find simple learning problems in classification and regression that show new non-monotone behaviors. Our problems can be tuned so non-monotonicity occurs for any sample size.
Is there a universal solution to make learners montone? We design a wrapper algorithm that only adopt a new model if its performance is significantly better on validation data. We prove that the learning curve of the wrapper is monotone with a certain probability. This provides a first step towards safe learners that are guaranteed to improve with more data. Many questions regarding safety remain, however, this thesis may provide inspiration to develop more robust learning algorithms.
The main take-aways are (TLDR):
• Strictly tighter generalization bounds do not imply better performance.
• Explanations provided by Grad-CAM can be misleading.
• Even in simple settings more data can lead to worse performance.
• We provide ideas to construct learners that always improve with more data.
This thesis focuses on analyzing human behaviors in complex conversational scenes. It proposes novel computational methods that incorporate the context, which is the conversation group and the interaction scene. Prominent behavioral cues in social interaction include head and body orientations, as they are proxy indicators for visual attention and conversation group membership. This thesis first covers methods for head and body orientation estimation (under data-scarce and data-rich settings), and conversation group detection. These methods have an emphasis on learning from multimodal data and context modeling, and their efficacy is shown empirically. Then, the thesis addresses an open challenge in acquiring human social data in real-life by proposing an accurate and scalable method for data synchronization. Lastly, this thesis introduces a new dataset collected by the aforementioned synchronization method, capturing real-life interaction in a conference settings. Therein, results of tasks such as keypoint detection, action recognition, and conversation group detection are reported, which also motivate future research in this area. Combining these contributions in both computational method development and data collection, this thesis takes a step forward in understanding human behaviors in conversation scenes.
Space is the next frontier for innovations in IoT. The main idea is to employ space technologies for IoT applications. Space Internet of Things (Space-IoT), as we call, is a concept that involves a satellite, or a network of them, to address the main challenges in terrestrial IoT deployments – global coverage, scalability, and connectivity. Space-IoT is opening up a world of new possibilities for several applications.
Small satellites are the building blocks of Space-IoT. They represent a formidable mobile computing platform enabling large-scale space applications at a fraction of the cost of larger satellites. Space-IoT calls for hundreds or thousands of small satellites that can communicate directly with various IoT devices on Earth. However, access to space has been expensive due to the high satellite development and launch costs. Miniaturizing a satellite can reduce launch costs but presents a range of interdisciplinary challenges that must be tackled. Resources are severely constrained in terms of size, mass, and available power. Addressing these challenges requires different communities to push the envelope in the design and realization of miniaturized subsystems of a small satellite.
In this dissertation, we chart out a vision for Space-IoT and innovations in embedded and wireless systems for Space-IoT applications. We enlist several important challenges that need to be addressed immediately to bring the vision of Space-IoT to reality. This thesis targets one of the most significant tradeoffs – miniaturization leading to constrained energy while not compromising the reliability of operations of subsystems. We consider three subsystems of a satellite: communication, attitude determination, and health monitoring, to demonstrate the inter-dependencies and novel ways to tackle them. Further, we explain with examples what we envision for the next decade to facilitate Space-IoT.
In Space-IoT, the IoT nodes on Earth are expected to communicate with (small) satellites directly over hundreds of kilometres. Both these terrestrial nodes and the satellites in space are energy-constrained. Hence, the communications must not only be energy-efficient but also support long range. Moreover, the received signal strength and the Signal to Noise Ratio (SNR) on the receiver decrease as the communication distance increases. Further, Doppler shift is inevitable in Low Earth Orbit bound satellite communication. Boosting the transmission power and adopting high-gain large antennas are obvious solutions for reliable communication, however, not feasible with miniaturization and energy minimization as our objectives. One of the solutions to support low-power, long-range communication is to improve the demodulation technique to decode signals with low SNR.
In this dissertation, we revisit the demodulation approach of a widely used modulation technique - Frequency Shift Keying (FSK). We propose a scheme to demodulate bandpass sampled FSK signals that are influenced by Doppler shift and low SNR. Unlike the state-of-the-art techniques, our approach does not compensate for the Doppler shift but lives with it. To suppress the Doppler effect and improve the SNR of the received signal, we employ a matched filter and the Teager Energy Operator, respectively. With extensive evaluations using actual telemetry signals from two satellites, we demonstrate how our proposed technique outsmarts the state-of-the-art FSK demodulation schemes.
Besides the communication subsystem, Global Positioning System (GPS) is one of the essential but significantly energy-guzzling subsystems in a satellite. While big satellites typically do not have any constraints on energy consumption for GPS subsystem, such is not the case in miniaturized satellites. Unlike terrestrial GPS systems, several challenges are imposed on obtaining a position fix in space-borne GPS receivers. The high orbital velocities of a satellite (up to 7.8 km/s) result in a significant Doppler shift in the received signals by the receiver when compared to their terrestrial counterparts. Consequently, the receiver has to search for the GPS signals in a larger Doppler frequency range, thus increasing the signal acquisition duration. Further, the visibility of the GPS satellites to the receiver changes frequently due to high orbital speeds and orbital periods of satellites on which the receiver is mounted. As a result, the receiver needs to search for GPS satellites more often to get a position fix. Likewise, the visibility of GPS satellites is affected adversely if the satellite is tumbling. Due to these constraints, energy conservation techniques such as duty-cycling are not efficient; the receiver is ON most of the time, searching for GPS satellites to obtain a position fix.
To this end, we design a low-power, space-qualified GPS receiver for small satellite applications. We propose an algorithm to significantly improve the ability of the receiver to acquire GPS signals as quickly as possible, thus reducing the ON time when it is duty-cycled. We perform long-duration simulations and real-time in-orbit tests on our GPS receiver to evaluate its performance. Further, we demonstrate that up to 96% of energy savings can be achieved on our GPS receiver compared to the state-of-the-art receivers.
Space-IoT relies on a constellation of hundreds of satellites to accomplish global coverage. Disruption in services can occur if one of the satellites malfunctions or ceases to work. Certain applications may not endure such risks, especially where satellites are typically employed as secondary communication channels. Hence, it is crucial to monitor the health of satellites regularly.
Existing satellites are generally equipped with onboard health monitoring units as a part of the subsystems. However, they are tightly coupled in terms of hardware and software. Any fault in the subsystem may affect its onboard health monitoring modules as they are electrically connected. Hence, we propose a system called Chirper, which is an electrically isolated and independent module that monitors the health of critical subsystems. The Chirper is equipped with multiple sensors that can measure several parameters, such as temperature, bus voltage, current, and rotation rate, of a satellite at specified intervals and transmits them to ground stations through an independent communication module.
The proposed system is not only energy-efficient but also measures the different health parameters of a satellite reliably. This work mainly addresses the resilience and energy issues of a satellite. In this dissertation, we present the overall design of the Chirper. We also provide
a novel approach to measuring the DC voltage at different locations of a satellite in a completely isolated way. Further, we subject Chirper to different tests in state-of-the-art simulators and a helium balloon to evaluate its capabilities.
This thesis advocates that Space-IoT is an ideal complement to terrestrial IoT networks and deployments. Small satellites can bring the vision of Space-IoT into existence. However, several technical breakthroughs need to emerge in small satellites to realize Space-IoT. We tackled some of the primary challenges through theory, experimentation and demonstration on satellites in orbit. With the results obtained, we are convinced that revolutionary transformations can be brought in small satellites to enable Space-IoT and will significantly influence the space related-activities, both in research and development.
China is still in its immature stage, as evidenced by unstable rents and tenure, insufficient tenant rights, low levels of tenant satisfaction, minimal institutional landlord participation, and a lack of motivation among local governments to develop the PRS. This dissertation aims to gain an indepth understanding of the PRS in metropolitan China and explore how to improve its functioning using Shenzhen as a case study. Both qualitative and quantitative data were collected to examine the determinants of tenants’ intention to rent and residential satisfaction, the relationship between residential environment, social exclusion, and life satisfaction, the impact of landlords' management practices on tenants' housing experiences, and main challenges and solutions for a well-developed PRS. The results suggest that the PRS in Shenzhen is highly heterogeneous and comprised of several distinct sub-sectors. Housing policies should be tailored to each subsector's unique characteristics. The dissertation also reveals that the PRS is interconnected with other institutions such as the hukou system and education system. Therefore, a well-functioning PRS depends on the simultaneous reform of other sectors and institutions.","","en","doctoral thesis","A+BE | Architecture and the Built Environment","978-94-6366-676-3","","","","","","","","","Real Estate Management","","",""
"uuid:14351363-b5d1-41ec-ac32-8efb4817481b","http://resolver.tudelft.nl/uuid:14351363-b5d1-41ec-ac32-8efb4817481b","Understanding the Fundament of Virus Inactivation via Modeling","Tan, C. (TU Delft Electronic Components, Technology and Materials)","Zhang, Kouchi (promotor); Delft University of Technology (degree granting institution)","2023","Historically, viruses have always been the causative agent of most human diseases. As one of the most devastating pandemics in human history, the COVID-19 pandemic, associated with SARS-CoV-2, is responsible for tens of millions of casualties in the world since the end of 2019. Meanwhile, it also has destabilized global economics. Therefore, in the absence of vaccines and particular drugs, exploring effective disinfection methods for lethal viruses is critical to prevent the spread of pandemics. At present, many scientific studies have demonstrated a variety of inactivation methods for bacteria and viruses, including conventional and advanced ones. Those methods show high antiviral activity for viruses such as human CoVs. However, most research focuses on the effectiveness and efficiency of viral inactivation. Besides benefiting from the development of semiconductor technology, it is possible for viral inactivation by utilizing multi UVC-LEDs (UVC irradiation) or microelectrodes (electric field). Most importantly, the molecular-level mechanisms of virus inactivation are still unclear and debated. Therefore, it is meaningful to uncover the molecular-level mechanism of virus disinfection methods and explore more effective antiviral schemes for preventing viral diseases.","Molecular-level inactivation mechanism; Density functional theory; Molecular dynamics; Quantum chemical calculation; SARS-CoV-2; Heating inactivation; Chemical disinfectants; UVC irradiation; Electric field treatment","en","doctoral thesis","","978-94-6473-098-2","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:97127a09-d53b-4969-a1e0-ae09b5e92a68","http://resolver.tudelft.nl/uuid:97127a09-d53b-4969-a1e0-ae09b5e92a68","Efficient Control for Cooperation: Communication, Learning and Robustness in Multi-Agent Systems","Jarne Ornia, D. (TU Delft Learning & Autonomous Control)","Mazo, M. (promotor); Alonso Mora, J. (copromotor); Delft University of Technology (degree granting institution)","2023","Besides facing the same challenges as single-agent systems, the distributed nature of complex multi-agent systems sparks many questions and problems revolving around the constraints imposed by communication. The idea that multi-agent systems require communication to access information, to coordinate or simply to sense the environment they are acting on is sometimes overlooked when thinking of (and solving) emerging theoretical challenges. However, research problems related to communication in Cyber-Physical Systems have been a prevalent target for network control research for decades. In particular, we take inspiration on Event Triggered Control to study how communication affects performance, safety and robustness in multi-agent systems.
The work in this dissertation begins by covering a communication-based form of swarm robotics systems, where taking inspiration from ants, agents learn to forage cooperatively by communicating through the environment. We study what form of convergence guarantees we can derive in such systems and how these depend on the communication logic, proposing mean field formulations of such systems. We then draw an analogy between such learning-based swarms and distributed Reinforcement Learning (RL), and propose strategies to safely reduce communication of information in a general form of distributed Q-Learning problems. We extend these ideas to cooperative Multi-Agent RL systems where agents communicate state measurements with each-other, and define so-called robustness surrogate functions (value function robustness certificates). These certificates allow agents to distributedly estimate how robust the joint policies are against lack of information, and determine when do they need to update other agents with new measurements. At last, we look into the general problem of robust control in RL systems, and propose a characterization of policy robustness against state measurement noise that allows us to cast robustness as a secondary objective in a lexicographic optimization scheme, applicable to policy gradient algorithms. This answers the following premise: If we need to learn controllers that are then deployed in possibly uncertain environments, we may want to make sure that “robustifying” the controller does not decrease (excessively) the capacity of the controller to successfully solve the original problem (without uncertainty).
The work presented through this dissertation covers different problems and jumps between overlapping fields, but the methods and techniques proposed share a common principle: As complex multi-agent systems become more applicable to engineering problems, the need for understanding (and simplifying) communication rules is increasingly motivated by safety. Therefore, the problems and solutions considered aim to advance towards a formal understanding and design of communication logic in complex, model free multi-agent systems.","Multi-Agent Systems; Event-Triggered Control; Reinforcement Leaning (RL); Swarm robotics","en","doctoral thesis","","978-94-6384-432-1","","","","","","","","","Learning & Autonomous Control","","",""
"uuid:c9aa7e4e-5425-49cc-93ff-e53382402549","http://resolver.tudelft.nl/uuid:c9aa7e4e-5425-49cc-93ff-e53382402549","Spatiotemporal Variability in Global Storm Surge and Tidal Water Levels from Satellite Radar Altimetry","Bij de Vaate, I. (TU Delft Physical and Space Geodesy)","Klees, R. (promotor); Verlaan, M. (promotor); Slobbe, D.C. (copromotor); Delft University of Technology (degree granting institution)","2023","Extreme (still) sea levels and the possibly associated coastal floods, are generally linked to (high) tides and storm surges. The risk of coastal floods will likely intensify in the future. This is because, on the one hand, the population of coastal zones is expected to continue to grow, and, on the other hand, climate change may lead to an increase in the frequency and magnitude of extreme sea levels. Although observations suggest that on the global scale, sea level rise is the primary driver behind the increase in extreme sea levels, locally the increase in extreme sea levels may be amplified or even dominated by changes in stormsurges and tidal dynamics...","","en","doctoral thesis","","978-94-6469-294-5","","","","","","","","","Physical and Space Geodesy","","",""
"uuid:61711144-4dab-4dc4-b73d-bbbc71ce441a","http://resolver.tudelft.nl/uuid:61711144-4dab-4dc4-b73d-bbbc71ce441a","Developing circular building components: Between ideal and feasible","van Stijn, A. (TU Delft Real Estate Management)","Gruis, V.H. (promotor); Klein, T. (promotor); van Bortel, G.A. (copromotor); Delft University of Technology (degree granting institution)","2023","Creating a circular economy within the built environment plays a crucial role in society’s pursuit to become more sustainable. A building consists of building components, such as a kitchen, façade and roof. By replacing building components with more circular ones during new construction, maintenance and renovation, we can gradually make buildings circular. There are many design variants for circular building components. Knowledge on which variants are the most circular, and which are feasible to implement is lacking. In this dissertation, we develop and test 8 circular building components for housing renovation together with Dutch social housing associations and industry partners. Combining Action Research and Research through Design approaches, we generate knowledge on 4 research goals. We present a design tool for circular building components. We develop a Life Cycle Assessment model to assess the environmental impacts of circular building components. We compare the environmental performance of multiple circular design options for multiple building components and derive environmental design guidelines. Finally, we identify which stakeholder choices throughout the development of 8 circular building components led to feasible, circular building components. We conclude that not all circular design options lead to desirable circular building components; not all desirable circular design options are yet feasible. This research makes scientific contributions to circular design theories, management models for the built environment, and research methodology. We recommend 4 changes in practice to implement more circular building components.","Circular Economy; building components; housing; Life Cycle Assessment (LCA); design guidelines; feasibility","en","doctoral thesis","A+BE | Architecture and the Built Environment","978-94-6366-674-9","","","","","","2023-04-21","","","Real Estate Management","","",""
"uuid:ff29de25-2bc6-47a2-813c-102ccb663316","http://resolver.tudelft.nl/uuid:ff29de25-2bc6-47a2-813c-102ccb663316","Effect of biphasic system constituents on liquid-liquid extraction of 5-hydroxymethylfurfural","Altway, S. (TU Delft ChemE/Transport Phenomena)","de Haan, A.B. (promotor); Delft University of Technology (degree granting institution)","2023","HMF (5-hydroxymethylfurfural) is one of the bio renewable materials that can be used as an important platform chemical to produce biofuel and various chemical products. The main application of HMF in the chemical industry is a platform chemical for the production of plant-based polyethylene terephthalate (PET). HMF is produced through hexose dehydration which fructose or glucose is arranged as a feedstock. Liquid-liquid extraction can be applied in HMF production to enhance the selectivity and yield of HMF. HMF can be extracted from aqueous solution into the organic phase which prevents the degradation of HMF. Furthermore, it has been recognized that ionic liquid (IL) and deep eutectic solvent (DES) can be used as stabilizing agent in HMF production by suppressing the formation of side-products, hence increase the HMF yield as well. However, research on the systematic thermodynamics of HMF extraction is quite limited and needed to be developed. The thermodynamic data, such as phase equilibrium data and partitioning of HMF into organic phase are needed as basis for a rational design and optimal separation of HMF from the aqueous solution.
The objective of this research is systematically study the effect of biphasic system constituents on the liquid-liquid extraction of HMF at 313.15 K and atmospheric pressure (0.1 MPa). The extraction performance was evaluated based on the values of separation factor and HMF distribution coefficient which were determined from liquid-liquid equilibrium (LLE) data. The experimental LLE data of the investigated systems were also correlated well using thermodynamics models. The NRTL and UNIQUAC models were used to correlate the ternary experimental LLE data, whilst the experimental LLE data containing salt, IL, DES, and sugar were correlated using the NRTL model. We used aqueous-organic biphasic systems, and also added IL [EMIM][BF4] (1-ethyl-3-methylimidazolium tetrafluoroborate) or DES ChCl-urea (choline chloride-urea) in the aqueous phase. The effect of the addition of sugar (fructose) and salt in the variety of cation (Na+, K+) and anion (Cl-, SO4 2-) were also studied. Three different extraction solvents, methyl isobutyl ketone (MIBK), 2-pentanol, and tributyl phosphate (TBP), were used for the comparison.
According to the results in this study, it indicated that for 2-pentanol the HMF distribution coefficient is up to 1.4 times higher than MIBK. Besides, MIBK has a 2-3 times higher separation factor than 2-pentanol. While TBP is more selective as extraction solvent than the other two solvents, TBP is also superior in terms of HMF distribution coefficient. The salting-out strength of salts for organic solvent (MIBK or 2-pentanol)-HMF-water-salt systems are in the order NaCl > Na2SO4 > KCl > K2SO4. NaCl was found superior in both separation factor and distribution coefficient of HMF compared to the other salts studied. Furthermore, the separation factor and HMF distribution coefficient decreased with the increase of IL [EMIM][BF4] and DES (ChCl-urea) concentrations. However, DES (ChCl-urea) decreased the extraction performance less than IL [EMIM][BF4]. The addition of salt (NaCl) enhanced the separation factor and the distribution coefficient of HMF, enabling compensation of the IL and DES effects. The presence of salt can enhance both the extraction performance parameters up to 2-4 times for all the investigated systems studied using three different organic
solvents and also in the presence of IL or DES. While, the presence of fructose in the solution had limited effect on the extraction performance. In general, it can be inferred that by taking the advantage of IL/DES as stabilizing agent, aqueous IL/DES with NaCl is a good combination applied in HMF extraction process to achieve good extraction performance.","Extraction performance; 5-Hydroxymethylfurfural; Liquid-liquid equilibria; Separation process; Thermodynamics model","en","doctoral thesis","","978-94-93330-03-0","","","","","","2023-04-14","","","ChemE/Transport Phenomena","","",""
"uuid:60f45315-7ad9-4188-b76e-cbe0b0af6c27","http://resolver.tudelft.nl/uuid:60f45315-7ad9-4188-b76e-cbe0b0af6c27","Focal deblending: Using the focal transform for simultaneous source separation","Kontakis, A. (TU Delft ImPhys/Verschuur group)","Slob, E.C. (promotor); Verschuur, D.J. (promotor); Delft University of Technology (degree granting institution)","2023","Nearly-simultaneous-source (blended) acquisition differs from conventional acquisition in that seismic wavefields originating from different sources are allowed to overlap in the recorded seismic traces. This allows more flexibility in deciding the number of shots, the shot density and the effective acquisition time of a survey, but it adds the complication of having to handle blended wavefields.
This thesis explores an inversion-based deblending method for wavefield separation in the marine setting. As deblending is usually an underdetermined problem, extra information in the form of additional constraints and regularization is needed to obtain a unique solution with minimal blending-noise leakage. To this end, the proposed method uses the focal transform in combination with sparsity-promoting regularization to discriminate against solutions to the blending equation that are valid, but contain excessive amounts of blending noise. The focusing operation provided by the focal transform will tend to focus the coherent signal to be extracted but will not focus equally well incoherent blending noise. Sparse solutions will tend to retain the high-amplitude focused events but not the lower-amplitude blending noise. A key feature that makes sparse solutions possible is the ability to describe curved events in a subsurface-consistent manner, using few focal domain coefficients.
The focal transform can be defined in multiple ways, using one-way or two-way wavefield propagation operators. In the implementations described in this thesis, I use a crude velocity model, based on picked normal-moveout (NMO) stacking velocities, to construct focal operators that can focus surface data onto a set of depth levels where significant reflectors are found. This choice of velocity model is suboptimal for focusing purposes, but is a pragmatic compromise, given that a more detailed velocity model may not be available at the deblending phase of the processing workflow.
In principle the focusing and defocusing operations involve the entire dataset, which makes the focal transform computationally expensive to evaluate. An investigated remedy is to use acquisition-specific subsets of the input data to split the problem in smaller chunks, combined with a suitable flavor of the focal transform and focal grid. Another method extension that I discuss is that of using a focal-curvelet hybrid transform for deblending. The main advantage is that events with linear moveout tend to be more sparsely represented in a curvelet basis. However, this comes at the cost of extra computational effort and some difficulty in balancing the contribution of the two transforms to the final solution.
I test these approaches on both synthetic and field data, with examples on towed streamer and ocean-bottom-node acquisitions. While in most cases a perceptible amount of blending-noise leakage remains present in the results, a significant amount of blending noise is suppressed. In some cases the deblending process is able to uncover weak events previously masked by strong blending noise. When the hybrid transform is used, the results show a better recovery of events that are filtered out when the focal transform is used alone. Curved near offset events are in some cases also recovered with higher fidelity compared to using the curvelet transform alone.
A significant challenge is the sometimes limited focusing for field data and synthetics as a result of trying to approximate the kinematics of complex 3D velocity models with flat-layered models and stacking velocities. The computational cost of the method is also a challenge. While working with data and focal domain subsets helps, additional measures are needed before applying focal deblending on realistically-sized field data. I make several suggestions for modifications of the method and propose extensions for future research.","","en","doctoral thesis","","978-94-6366-677-0","","","","","","","","","ImPhys/Verschuur group","","",""
"uuid:efcc21a7-1d28-48bd-be94-be44fc8d5458","http://resolver.tudelft.nl/uuid:efcc21a7-1d28-48bd-be94-be44fc8d5458","Nanowire Josephson junctions in superconducting circuits","Bargerbos, A. (TU Delft QRD/Kouwenhoven Lab)","Wimmer, M.T. (promotor); Andersen, C.K. (copromotor); Delft University of Technology (degree granting institution)","2023","The Josephson effect is a quintessential topic of condensed matter physics. It has stimulated decades of fundamental research, leading to a plethora of applications from metrology to outer space. In addition, it is set to play a crucial role in the development of quantum computers, forming the dissipationless non-linear inductance that lies at the core of superconducting qubits.
While they are traditionally realized using oxide based tunnel barriers, in this thesis we construct Josephson junctions from non-insulating materials such as semiconducting nanowires and quantum dots. We investigate how their highly nontrivial interplay with superconductivity can lead to new effects, both of fundamental interest and of relevance for quantum applications. To study these effects we make use the exhaustive toolbox available for superconducting circuits, allowing us to probe the junction behavior to beyond what is possible with conventional transport techniques.
The first experimental chapter of this thesis examines the behaviour of a transmon that hosts a highly transparent semiconducting weak-link as the Josephson junction. In this system we find spectroscopic evidence for the predicted vanishing of Coulomb effects in open superconducting islands, in accordance with theoretical predictions from 1999.
In the second experiment we deterministically place a quantum dot inside the junction of a transmon circuit. We then demonstrate that by using microwave spectroscopy we are able to accurately probe the energy-phase relationship of the Josephson junction over a vast regime of parameter space. This reveals the remnants of a quantum phase transition, and allows us to probe the time dynamics of the junction parity.
We subsequently use the same type of device to reveal the predicted spin-splitting of the Andreev bound states in a quantum dot with superconducting leads, as brought about by the spin-orbit interaction. When combined with a magnetic field, this is shown to result in the anomalous Josephson effect. Furthermore, we demonstrate that transitions between the spin-split quantum dot states can be directly driven with microwaves.
This motivated the investigation of a novel superconducting spin qubit, performed in the fourth experiment. Here we demonstrate rapid, all-electric qubit manipulation in addition to detailed coherence characterization. We ultimately show signatures of strong coherent coupling between the superconducting spin qubit and the transmon into which it is embedded, setting the stage for future research of this nascent qubit platform.
In the fifth and final experiment, we utilize a different approach compared to the preceding chapters. While we once-more construct transmons based on semiconducting weak-links, we now do so to leverage the intrinsic magnetic field resilience of semiconducting nanowires. This allows us to use a single device to study the mitigation of phonon-induced quasiparticle losses by trapping the phonons using both super and normal-state conductors.
This thesis concludes by discussing several ideas and proposals that aim to leverage the alternative Josephson junctions studied in this thesis. Combined with the results of the preceding chapters, this shows that hybrid superconducting circuits can be used to obtain deep insights into the fundamental physics governing their constituent junctions, and opens avenues towards building better qubits.","","en","doctoral thesis","","978-90-8593-556-8","","","","","","","","","QRD/Kouwenhoven Lab","","",""
"uuid:03e18765-d269-4637-a801-7a79bec023d0","http://resolver.tudelft.nl/uuid:03e18765-d269-4637-a801-7a79bec023d0","Shedding Light on Electrochemically Doped Semiconductors: Photochemical Stabilization of the Charge Density in Quantum Dots and Organic Semiconductors","Eren, H. (TU Delft ChemE/Opto-electronic Materials)","Houtepen, A.J. (promotor); Eelkema, R. (promotor); Delft University of Technology (degree granting institution)","2023","To utilize the full potential of semiconductor materials in device applications including solar cells, LEDs, and lasers, the ability to precisely and controllably tune the charge carrier concentration and hence the doping density is crucial. The conventional methods such as impurity doping with thermal diffusion or ion implantation, have been successfully implemented for doping bulk semiconductors for decades. In spite of the maturity of doping with traditional methods, it has remained a long-standing challenge to introduce impurity doping successfully into organic and new generation of semiconductors, such as conducting polymers and quantum dots. Additionally, the prospect of new technologies and the shrinkage in the device dimensions to nanoscale have stimulated researchers to search for alternative methods for achieving doping of such semiconductor materials reliably.
Electrochemical doping is arguably the most powerful and versatile method for doping porous semiconductor materials, in which the charge carrier concentration can be precisely and controllably modulated as a function of applied potential by an external voltage source. Unfortunately, when the doped semiconductor film is disconnected from the voltage source, the electrochemically injected charges leave the film spontaneously in a matter of seconds to few minutes.
In that regard, the stability of injected charges as well as the immobilization of external dopant ions need to fixed for achieving stable electrochemical doping of such semiconductor films to be used in device applications. The research carried out in this thesis is aimed to enhance the stability of injected charges and the fixation of dopant ions with photopolymerization treatment at room temperature in electrochemically doped quantum dots and conducting polymers. This was attempted by understanding the underlying mechanism of electrochemical doping in such porous films and eliminating or minimizing possible causes for instability with the final goal of producing stable doped of semiconductor films.","","en","doctoral thesis","","","","","","","","","","","ChemE/Opto-electronic Materials","","",""
"uuid:b86bec0b-0f27-4fac-90ae-7ad4bcac407a","http://resolver.tudelft.nl/uuid:b86bec0b-0f27-4fac-90ae-7ad4bcac407a","Quantum Dots Coupled to Superconductors","Wang, Guanzhong (TU Delft QRD/Kouwenhoven Lab)","Kouwenhoven, Leo P. (promotor); Goswami, S. (copromotor); Delft University of Technology (degree granting institution)","2023","The search for Majoranas bound states has witnessed heated efforts in the past decade. This field of research lies at the intersection of both scientific and commercial interests. The Majorana quasiparticle, being its own antiparticle and exhibiting non-abelian exchange statistics, is a unique member of the family of condensed-matter quasiparticles, distinct from most fermions or bosons. These properties are predicted to be instrumental in the building of a new type of qubits, having no energy splitting between qubit states and intrinsically protected from decoherence. In addition, the theory describing Majorana modes has a rich connection to the mathematical language of topology, making its study also of theoretical value. Thus, the prediction of the existence of Majorana zero modes in hybrid semiconducting-superconducting nanowires has been a strong driving force behind the recent technological progress in the making of these materials and devices.
In this thesis, the most recent advance in materials, specifically the making of clean interfaces between semiconductors and superconductors, are applied to the study of the physical properties of superconducting-proximitized electronic states in semiconductors. This technology is combined with quantum dot techniques to investigate electron transport between individual quantum states in proximitized nanowires. The findings include better understanding of electron transport in these systems as well as presenting new potential applications to the field of Majoranas and beyond.
Following the introductory chapters, this thesis first demonstrates a high-efficiency Cooper-pair splitter, enabled by quantum dots with narrow linewidth and a superconductor with a hard gap. The techniques behind the improved efficiency can be used to make a generator of entangled pairs of electrons. We also demonstrate the use of quantum dots as spin detectors capable of revealing the spin structure of individual Cooper pairs. Next, we report the effect of a Cooper-pair splitter's peculiar response to the tuning of electrical gates in both experiment and theory. This includes the discovery of a new interference effect in electron co-tunneling processes through a superconductor. The key to observing this response is to ensure the hybrid nanowire is also a discrete quantum state instead of a superconducting bulk. The discovery above forms the foundation of fine-tuning the types of electron couplings between two quantum dots coupled via a superconductor. The power of this tunability can been seen via the successful making of a minimal artificial Kitaev chain, opening up new possibilities in the search for Majorana zero modes. This approach is less prone to difficulties encountered in other platforms such as material disorder and the interpretability of data.
Moving from studying quantum dots under the influence of a superconducting hybrid, later chapters of this thesis focus on investigating electron properties in the hybrid nanowire using quantum dots as spin-, charge- and energy-selective probes.
We first use them to detect and quantify the spin polarization of Andreev bound states in the hybrid nanowire. Using quantum dots as charge and energy detectors instead, we observe how electrons traverse through the bulk of a hybrid nanowire and reveal a thermoelectric conversion process in the conductance measurements of these devices. Finally, we report on the selective-area growth of InSb, the semiconductor used throughout this thesis, that can form the basis of future developments.","","en","doctoral thesis","","978-90-8593-554-4","","","","","","","","","QRD/Kouwenhoven Lab","","",""
"uuid:485031ef-4fcf-4c2f-9a6b-41b037e88afe","http://resolver.tudelft.nl/uuid:485031ef-4fcf-4c2f-9a6b-41b037e88afe","Systematic search for new solutions in lens design","Hou, Z. (TU Delft ImPhys/Optics)","Urbach, Paul (promotor); Bociort, F. (copromotor); Delft University of Technology (degree granting institution)","2023","In this thesis, we explore how lens design and optimization techniques can adapt to the design (optimization) space in order to increase the lens design efficiency. We extensively discuss the Saddle Point Construction (SPC), a method that can systematically search for new solutions, as well as replace high-dimensional searches with a discrete number of one-dimensional searches to increase efficiency....","Optical Design; Lens Design Method; Optimization; Saddle Point","en","doctoral thesis","","978-94-6384-431-4","","","","","","","","","ImPhys/Optics","","",""
"uuid:f468a65d-e4ba-42a5-b27b-e667ee582f39","http://resolver.tudelft.nl/uuid:f468a65d-e4ba-42a5-b27b-e667ee582f39","Autonome architectuur en de stad: Ontwerp en onderzoek in het onderwijs van La Tendenza","Engel, H.J. (TU Delft History, Form & Aesthetics)","Riedijk, M. (promotor); Cavallo, R. (promotor); Delft University of Technology (degree granting institution)","2023","Autonome architectuur en de stad gaat over de ‘revisie van de moderne architectuur’ na de Tweede Wereldoorlog. Frappant is de complete ommekeer in de appreciatie van de moderne architectuur die in de jaren zeventig heeft plaatsgevonden. In een breder verband getuigt de introductie van de term ‘post-modernisme’ daarvan. De rol van monumentaliteit in architectuur, wat te doen met de historische stadscentra, het vraagstuk van regionale tradities, kortom de relatie van architectuur tot de geschiedenis kwam in het centrum van de discussie te staan en zou uiteindelijk de bijl leggen aan de wortels van het discours van de moderne architectuur.
De vraag is, welke rol het Italiaanse neo-rationalisme, dat bekend is geworden onder de naam La Tendenza, in dit proces van ontbinding heeft gespeeld. Daar gaat deze studie met name over. Centraal staat ‘het wetenschappelijk en didactisch project’ waar La Tendenza aanvankelijk voor stond. Alleen vanuit dit gezichtspunt is volgens de auteur te begrijpen dat La Tendenza tegelijkertijd de moderne architectuur onder vuur nam én zich opwierp als haar ware erfgenaam. Een dergelijke manoeuvre was niet uniek. La Tendenza deelde die met de jongste lichting onder de leden van het Congrès Internationaux d’Architecture Moderne (CIAM), bekend geworden als Team 10, die zich na de opheffing van deze organisatie in 1959 over de geest van het avant-gardisme had ontfermd.","","nl","doctoral thesis","","","","","","","","","","","History, Form & Aesthetics","","",""
"uuid:d43f7180-be04-4e5b-a090-993f52433513","http://resolver.tudelft.nl/uuid:d43f7180-be04-4e5b-a090-993f52433513","Cellular balancing under dynamic conditions: A systems biology-based discovery using experimental and modelling approaches","Verhagen, K.J.A. (TU Delft BT/Industriele Microbiologie)","Daran-Lapujade, P.A.S. (promotor); Wahl, S.A. (promotor); Delft University of Technology (degree granting institution)","2023","Saccharomyces cerevisiae, also known as baker’s yeast, is a robust microorganism frequently used in industrial biotechnology. The scale of its applications ranges from several millilitres for research and process development in the lab to hundreds of cubic meters for cultivation in industrial production processes. In large-scale reactors mixing limitations inherently lead to physiochemical gradients in substrate and oxygen concentrations, pH or temperature. Such inhomogeneous environment in production processes can cause a reduced yield or titer compared to the small-scale development processes. Such scale performance differences can lead to significant worse process economics and increase costs and development time.
The scope of this thesis is to study and understand the regulation of Saccharomyces cerevisiae metabolism under dynamic substrate conditions, using both experimental and modelling approaches.","","en","doctoral thesis","","","","","","","","","","","BT/Industriele Microbiologie","","",""
"uuid:f3e42dee-c691-4dc8-879d-6b0f828c9d8f","http://resolver.tudelft.nl/uuid:f3e42dee-c691-4dc8-879d-6b0f828c9d8f","Improving satellite remote sensing methodologies for analyzing landscape dynamics in arid environments with focus on Egypt","Delgado Blasco, José Manuel (TU Delft Mathematical Geodesy and Positioning)","Hanssen, R.F. (promotor); Verstraeten, G. (promotor); Delft University of Technology (degree granting institution); Katholieke Universiteit Leuven (degree granting institution)","2023","","Earth Observation; SAR; Radar; Urbanization; Land Use Change; Dunes dynamics; Data Fusion; Machine Learning","en","doctoral thesis","","978-94-6361-830-4","","","","","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:b31521e3-0d1b-4df0-a6d9-12f24f0a4a6e","http://resolver.tudelft.nl/uuid:b31521e3-0d1b-4df0-a6d9-12f24f0a4a6e","Liquid Territories: Configurations of geographic space in the cartographic projections of the Mekong River’s catchment areas","Romanos, C. (TU Delft Theory, Territories & Transitions)","Schoonderbeek, M.G.H. (promotor); van der Velde, J.R.T. (copromotor); Delft University of Technology (degree granting institution)","2023","The role played by the Mekong River in the organization of land and people is inextricably linked with a particular spatial category. The concept of the hydrological catchment extends the space of the river far beyond the limits of the river’s perennial waterbodies, to encompass vast areas inhabited by millions of people speaking different languages. Fundamental to the estimation of precipitation and water volume, areal denotations of the Mekong’s basin, delta and floodplain have been repeatedly drawn on maps by geographers, planners, engineers and cartographers. Mapped representations of the Mekong River however are not only the result of recording the flows of water, nor the domain of a single discourse. With diverging intentions, distinct and sometimes conflicting projections of the basin, delta and floodplain have prescribed the differentiation and unification of parts of mainland Southeast Asia, to articulate liquid territories that are outside a single state’s jurisdiction. As a result, the mapped articulation of surface water is reflected in the configuration of national boundaries and the arrangement of settlements. To understand how the Mekong’s catchments emerge as the geographic reference for human activities, the dissertation examines the technical and cultural notions that underpin the preparation of these maps. Drawing on the discourses of hydrology, geography, cartography as well as infrastructure design, military science, colonial politics and regional planning the research asks what territories are produced and maintained by evoking the geography of the river’s flows.","Mekong River; Mekong basin; Mekong delta; floodplain; regional planning; cartography; catchment hydrology; territory; water infrastructure planning; Settlement development; maps; geographic representation; geography; urbanization processes; hydrosocial territories; urban planning; territorial design","en","doctoral thesis","","","","","","","","","","","Theory, Territories & Transitions","","",""
"uuid:e9de48a5-e222-4b0d-b4c7-9bee9f61c73a","http://resolver.tudelft.nl/uuid:e9de48a5-e222-4b0d-b4c7-9bee9f61c73a","Exploring industrial community energy systems: A missing link in the industrial energy transition?","Eslamizadeh, S. (TU Delft Energie and Industrie)","Weijnen, M.P.C. (promotor); Ghorbani, Amineh (promotor); Delft University of Technology (degree granting institution)","2023","The transition to renewable energy sources affects all sectors of society, including the industrial sector. Besides climate policy ambitions and other concerns regarding the social and environmental acceptability of energy provision, the transition to renewables may also improve the availability and affordability of energy services. The latter holds especially in some developing countries, where the development of energy infrastructure often lags behind the needs of industry. For many industries, the energy transition challenge entails the future substitution of high temperature, fossil-fired processes to lower temperature e.g., electrochemical conversion routes, which will make them much more than now depend on the reliable and affordable provision of electricity. However, in many developing economies, even the current provision of electricity is far from reliable. Transitioning to power generation from renewable energy (RE) sources can contribute to a more diversified, resilient, and environmentally-friendly power generation mix.
If the energy sector in developing economies does not sufficiently invest in a robust generation mix for the future, industry itself may consider to take the lead. For individual companies, however, especially small and medium-sized enterprises (SME), the high upfront investment costs of infrastructure for harvesting and transporting renewable energy present a significant hurdle. Inspired by the literature on community energy systems (CES) and industrial symbiosis (IS), this thesis set out to investigate if, and under which conditions, industrial companies may be willing to join forces in industrial community energy systems (InCES) in order to secure their supply of electricity from renewable energy sources.","Energy transition; Industrial community energy systems; Agent-based modelling; Collective action; Industrial collaboration; Institutional analysis; Renewable energy systems; Industrial energy transition","en","doctoral thesis","","978-94-6366-672-5","","","","","","","","","Energie and Industrie","","",""
"uuid:b980646c-b40f-48f1-977e-9ccb4a86bcab","http://resolver.tudelft.nl/uuid:b980646c-b40f-48f1-977e-9ccb4a86bcab","Towards upscaling the Battolyser- An Integrated Ni-Fe Alkaline Battery and Electrolyser: A combined modeling and experimental study","Mangel Raventos, A. (TU Delft Large Scale Energy Storage)","de Jong, W. (promotor); Mulder, F.M. (promotor); Kortlever, R. (copromotor); Delft University of Technology (degree granting institution)","2023","Electrochemical cells and systems have been around for a few centuries. Lately, these technologies have been attracting attention. Although the technology to generate electricity from renewable sources is well developed and widely available -such as photovoltaic and wind energy- this is not always available. Because of this, it is necessary to store produced surplus electricity to be able to use it at moments when the sun is not shining or the wind is not blowing. Many different electrochemical technologies can be used to store electricity or transform it to a useful energy carrier- such as hydrogen. However, the energy transition will also need to address the optimal usage of critical materials. Integrating functionalities and optimizing energy storage can help bridge the gap between electricity production and consumption using only a limited amount of critical materials. New innovative technologies that use less critical materials will be key to sustainably transition to a fossil-fuel free future. It will be necessary to move forward and upscale technologies at a quick pace. A combined modeling and experimental approach can help move through the TRL development stages quickly, optimizing the use of resources and experimental work required. The battolyser is a new integrated battery and electrolyser system that provides flexibility in energy storage. During periods of high availability of renewable energy it can be charged indefinitely, filling up the battery capacity first and producing hydrogen from there on out. A battolyser system can be used to guarantee access to cheap electricity and green hydrogen, all in one device and using the materials required for one device. Modeling the electrochemical reactions of the battolyser and optimizing the cell design parameters when moving towards an upscaled system is a tool that can be used for the continuous development of a better prototype and scaling up. Chapter 3 describes the modeling studies performed on the battolyser system, including the relevant experimental validation. Here, a 1D COMSOL model was developed to study the cell parameters and understand the effect of electrode and gap thickness, electrode porosity, and electrolyte conductivity. Testing experimentally at larger scales is challenging and often not done. Highly alkaline KOH electrolytes are usually not tested in lab conditions, and therefore the effect of higher concentrations than 5M KOH is unknown on new electrode material developments. To optimize an integrated device, the effect on both the electrolysis function and the battery function need to be reconciled and designed for the specific application. In Chapter 4, extensive lab scale experiments on the electrolyte concentration are described, including different alkali metal cation concentrations. To optimize for different functionalities of the battolyser, different cations can be used at specific concentrations. A flow cell was designed and built, and different flow configurations were tested. 3D printing technology allows for quick iterations and modifications of the design, however the proprietary resins are usually not tested at highly alkaline conditions which could potentially cause degradation of the cell components. Working with higher than 5MKOH concentrations results in practical difficulties that will only scale with plant capacity. In Chapter 5, the preliminary results of a flow cell configuration are included. The results of this work can be applied directly to predict the optimal design and operating parameters of an up-scaled battolyser cell. This will allow for quicker iterations of up-scaled designs to further develop the prototype technology. For this, it is important to verify simulation results with experimental data. Using a combined approach including simulations and experimental work allows testing various setups and optimizing the energetic efficiency of the device. 3D printing manufacturing technology can also help speed up this iterative process to generate design modifications and quickly manufacture experimental setups to validate the simulation data.","","en","doctoral thesis","","","","","","","","","","","Large Scale Energy Storage","","",""
"uuid:049003a5-5b0f-4e96-abcb-9db27a509d2d","http://resolver.tudelft.nl/uuid:049003a5-5b0f-4e96-abcb-9db27a509d2d","Woningbouw op veehouderijlocaties: een goed idee","Orobio de Castro, S.A. (Student TU Delft); Daamen, T.A. (TU Delft Urban Development Management); Hoekstra, J.S.C.M. (TU Delft Urban Development Management)","","2023","Van bruin naar rood: het bouwen van woningen op veehouderijlocaties vormt een uitweg uit de stikstofproblematiek die Nederland in de greep houdt. Uiteraard is deze stap complex en gevoelig, maar op bepaalde locaties is het toch heel goed mogelijk. TU Delft-afstudeerder Samuel Orobio de Castro deed onderzoek naar de kansen en randvoorwaarden, met een vorm van ontwikkelend onderzoek.","","nl","report","Gebiedsontwikkeling.nu","","","","","","","","","","Urban Development Management","","",""
"uuid:43ed643d-0934-4f5c-a0e9-16d66b6c6c50","http://resolver.tudelft.nl/uuid:43ed643d-0934-4f5c-a0e9-16d66b6c6c50","Efficient Thermal Modelling and Topology Optimization for Additive Manufacturing","Ranjan, R. (TU Delft Precision and Microsystems Engineering)","van Keulen, A. (promotor); Langelaar, Matthijs (promotor); Ayas, C. (copromotor); Delft University of Technology (degree granting institution)","2023","With the advent of Additive Manufacturing (AM) techniques, the design principle of `form follows function' no longer remains a utopian proposition. The unprecedented design freedom offered by AM is making it possible to conceptualize highly performant designs by efficiently leveraging geometrical complexity. The increase in design freedom requires novel design tools which are tailored to capitalize on the form freedom offered by AM. Topology optimization (TO) is such a computational design tool which can find the optimal geometric layout of a part to achieve a pre-defined objective, while satisfying certain constraints. However, AM processes have inherent manufacturing constraints which should be considered at the design stage to ensure manufacturability. The suitability of TO as an ideal design tool is already widely recognized and there have been significant research efforts to integrate AM constraints within TO. In this regard, most AM-oriented TO methods utilize geometry-based constraint where a geometric AM design guideline is integrated within TO. The maturity of research in this direction is evident by the fact that most commercial CAD packages are already equipped with TO plugins including these geometry-based AM constraints. Although beneficial, such geometry-based TO constraint do not guarantee defect-free fabrication since manufacturability is not only a function of geometry, but depends on a range of complex physical interactions during the process. Therefore, a TO method that accounts for more of the physics of the AM process would enhance the likelihood of achieving better quality parts with reduced defects.
This thesis is focused on laser based powder bed fusion (L-PBF) since it is the most widely utilized AM technique for metal parts. However, L-PBF suffers from certain constraints which critically compromise the part quality and inhibit its adoption as a mainstream manufacturing method. Among the constraints, the issue of local overheating remains a critical barrier as it leads to poor surface quality, inferior mechanical properties and/or build failures. Moreover, uneven heating/cooling thermal cycles due to overheating could lead to development of undesirable residual stresses and distortions. Typically, overheating is associated with downfacing surfaces called overhangs which led to development of geometry-based design guidelines, for example, avoidance of geometric features with overhangs more acute than a certain threshold. This guideline has been the most common AM constraint to integrate within TO. However, it is evident by a number of numerical and experimental studies in the literature, that the avoidance of overhangs does not guarantee overheating free designs. Therefore, the two aims of this thesis are (1) to thoroughly investigate local overheating during L-PBF process using computational models and (2) to develop a novel TO for generating overheating free AM ready designs. In this regard, the extremely high computational cost of L-PBF models was identified as the biggest challenge for both the objectives i.e. quick assessment of overheating-prone features in AM parts and integration of a L-PBF thermal model with TO.
The first half of this thesis deals with a systematic investigation of the simplifications commonly used in the thermal modelling of the heat transfer phenomena during the L-PBF process. The simplifications have been classified based on the spatio-temporal resolution they assume for modelling the process. With help of numerical experiments, the findings reveal the relationship between spatio-temporal simplifications and their ability to capture certain process attributes. For example, it is found that if peak process temperatures are to be predicted, then short laser exposure times should be specified in the computational domain. On the contrary, if temperatures far away from the topmost layer are analyzed, a simplified model assuming a longer exposure time can capture it. These findings serve as guidelines in making informed choices while setting up an L-PBF thermal model. In addition to this, numerical discretization requirements associated with different simplifications are also provided. Next, a deeper investigation of relevant simplifications for detecting local overheating is presented. Three novel simplifications based on the analytical solution of the heat equation are presented which drastically reduce the computational expense while retaining the ability to identify overheating prone features. The most simplified model in this regard utilizes a localized steady-state analysis which provides maximum computational gain of approximately 600 fold as compared to a high fidelity transient simulation.
The second half of the thesis presents the integration of the aforementioned steady-state L-PBF thermal model with the density- based TO method. This is achieved by formulating a novel constraint which limits the peak temperature predicted by the simplified L-PBF model. This novel physics-based TO method is validated using in-situ optical tomography (OT) measurements. Comparing OT based overheating data across geometry-based and physics-based TO designs, it is revealed that the latter have a lower tendency of overheating. Finally, the usability of the new TO method is demonstrated on an industrial injection mould. Another application of the novel TO is demonstrated by designing support structures for optimal heat evacuation.
Based on the findings presented in thesis, it can be concluded that a physics-based TO method offers significant advantages over a purely geometry-based approach. In particular, it is shown that overheating avoidance cannot be assured just by avoiding acute overhangs. While for overheating detection even a simplification to steady-state analysis was possible, it is expected that for other aspects the full thermal history must be evaluated, which presents a challenge for future work. Apart from development of the novel TO approach, the second major contribution of this thesis are the insights developed regarding modelling simplifications which assist in drastically reducing the computational expenses associated with L-PBF modelling. It is expected that outcomes from this thesis will positively contribute towards development of efficient modelling techniques which will also inherently benefit further advancement of physics-based TO methods.
The framework is developed from a multi-barrier theory with the particular intention to include the effect of plastic strain and deactivation of hard inclusions. In order to quantitively determine the inclusion stress from far-field stress on a matrix, analytical equations are first derived. The proposed framework is first validated with examples of specimens taken from a S690 QT steel plate fractured at -100°C. Centreline segregation bands (CLs) appear in the middle-section specimens, containing smaller grains and elongated inclusion clusters. Two modelling approaches are compared to discuss the effect of CLs in cleavage modelling. A sensitivity study is performed to explore the influence of volume fractions, yield strength, and spacing of CLs. Then, the modelling approach is applied to determine the cleavage parameters across different types of steels. Cleavage parameters are compared among three tempered bainitic (S690) steels, an as-quenched martensitic steel, and a ferritic steel. The variation of cleavage parameters is discussed considering the influence of the matrix types and the hard particle types. Finally, cleavage simulations of the high strength steel after rapid cyclic heating and microstructures representing heat affect zones are performed. The simulations are compared with experiments that feature parametric variations of grain size, second particle size, and second particle density. The effect of different types of microstructures generated by heat treatments is quantitatively established.","Fracture Mechanics; Steels; Microstructures; Statistical modelling","en","doctoral thesis","","978-94-6384-429-1","","","","","","2023-03-26","","","Team Vera Popovich","","",""
"uuid:bb2db244-e032-46bd-a9d7-a36b9ce0ce0e","http://resolver.tudelft.nl/uuid:bb2db244-e032-46bd-a9d7-a36b9ce0ce0e","Divisorial gonality of graphs, the slice rank polynomial method, and tensor products of convex cones","van Dobben de Bruyn, J. (TU Delft Discrete Mathematics and Optimization)","Gijswijt, Dion (promotor); van Gaans, O.W. (copromotor); Delft University of Technology (degree granting institution)","2023","","Finite graph; Metric graph; Gonality; Chip-firing game; Treewidth; Tree decomposition; Monotone search strategy; Slice rank; Finite field; System of balanced linear equations; Convex cone; Partially ordered vector space; Ordered tensor product; Face; Extremal ray; Order ideal","en","doctoral thesis","","978-94-6384-425-3","","","","","","","","","Discrete Mathematics and Optimization","","",""
"uuid:d4bf2beb-2c9e-43c4-9504-602dade7aa63","http://resolver.tudelft.nl/uuid:d4bf2beb-2c9e-43c4-9504-602dade7aa63","CommodipHy: The commodification of ammonia and the role of Rotterdam as a global pricing centre","van Son, Liam (Erasmus Universiteit Rotterdam); Arkhipov-Goyal, Amit (Erasmus Commodity & Trade Centre); Van den Berghe, K.B.J. (TU Delft Urban Development Management); den Ouden, Bert; van Vliet, Robert-Jan (Independent Consultant); Jacobs, Wouter (Erasmus Universiteit Rotterdam)","","2023","","Hydrogen; Ammonia; Port-City; Commodification","en","report","Delft University of Technology","","","","","","","","","","Urban Development Management","","",""
"uuid:66f0c152-65a0-45bc-b542-ba9799d6a0c1","http://resolver.tudelft.nl/uuid:66f0c152-65a0-45bc-b542-ba9799d6a0c1","The Circle of DL-SCA: Improving Deep Learning-based Side-channel Analysis","Wu, L. (TU Delft Cyber Security)","Lagendijk, R.L. (promotor); Picek, S. (copromotor); Delft University of Technology (degree granting institution)","2023","For almost three decades, side-channel analysis has represented a realistic and severe threat to embedded devices' security. As a well-known and influential class of implementation attacks, side-channel analysis has been applied against cryptographic implementations, processors, communication systems, and, more recently, machine learning models. Two reasons make these attacks powerful. First, they take advantage of unintended information leakages that the security designer could easily forget. These leakages can be conveyed from various sources, such as power consumption, electromagnetic emanations, time, temperature, and acoustic and photonic emissions. Protection from such leakages can be challenging and costly. Second, such attacks do not require complicated and expensive equipment or frameworks. Commonly, an adversary uses an oscilloscope to monitor some of those side-channel leakages, then performs statistical analysis to find the relation between the leakages and the actual executed values, and finally uses these relations to recover secret information.
Fortunately, hardware and software developers are prepared for these attack methods. Several protection mechanisms, also called side-channel countermeasures, have been implemented to increase the security assurance of their devices. However, this cat-and-mouse game is now changed because of the rising of artificial intelligence in side-channel analysis. Some countermeasures, resilient to conventional methods, can be easily bypassed by machine learning. This thesis aims to improve the capability of side-channel analysis using deep learning techniques. Specifically, we propose approaches covering complete deep learning-based side-channel analysis procedures (we denote them as ""The Circle of DL-SCA""). Before applying the leakages to launch actual attacks, in chapter 2, we offer strategies for improving leakage's ''quality'' from various aspects. Then, in chapter 3, the study focuses on critical deep learning hyperparameters and proposes two automated neural architecture search methods that release the burden of the evaluation in tuning the neural network.
Besides developing new attack strategies, we also focus on the existing attack methods and investigate how to enhance their efficiency, robustness, and explainability. Chapter 4 introduces an efficient learning scheme that can reduce the required training traces. Then, we develop an attack evaluation metric that can reliably reflect the performance and robustness of the model. In chapter 5, we create a novel methodology to evaluate the influence of noise and countermeasures on deep-learning models, then apply the research outcomes to design low-cost deep-learning resilient countermeasures. Our research outcomes will push the designers to develop more secure devices. The feed-forward loop between us (researchers) and designers can eventually make the electronic world more secure.","Side-channel analysis; Deep learning (DL); Pre-processing; Hyperparameter tuning; Metric; Countermeasures","en","doctoral thesis","","9789464730678","","","","","","","","","Cyber Security","","",""
"uuid:ac984b23-c4e1-4bf4-9668-5e3d54aec3ff","http://resolver.tudelft.nl/uuid:ac984b23-c4e1-4bf4-9668-5e3d54aec3ff","Cleavage Fracture Micromechanisms of High Strength Steel and its Heat-Affected Zones","Morete Barbosa Bertolo, V. (TU Delft Team Vera Popovich)","Popovich, V. (promotor); Sietsma, J. (copromotor); Walters, C.L. (copromotor); Delft University of Technology (degree granting institution)","2023","The use of materials in increasingly severe service conditions raises concerns about structural safety with respect to cleavage fracture. There are three main material-related challenges that structures face under harsh environments: 1) the trade-off between strength and toughness; 2) the ductile-to-brittle transition behaviour of BCC high strength steels; 3) the inhomogeneous microstructures found in multiphase steels, thick-section steels, and welded structures. Therefore, the objective of this research is to systematically investigate the cleavage fracture micromechanisms in high strength steels considering diverse microstructures (e.g., as-received commercial steel, thermally simulated heat-affected zones, and grain refined microstructure) and experimental conditions (e.g., plastic constraint and temperature). Thereby, this study provides a thorough understanding of the effect of the microstructural details on cleavage fracture behaviour of high strength steel structures allowing for failure control and improvement of cleavage-resistant steel’s design...","Cleavage fracture toughness; High strength steels; Micromechanisms; Multiphase; Heat-affected zones; Grain refinement; Microstructural characterisation","en","doctoral thesis","","978-94-6469-265-5","","","","","","","","","Team Vera Popovich","","",""
"uuid:99f7be1f-af10-4f12-8331-f1d65c76bd8e","http://resolver.tudelft.nl/uuid:99f7be1f-af10-4f12-8331-f1d65c76bd8e","Towards labonachip optical trapping and Raman spectroscopy of extracellular vesicles using multiwaveguide traps","Loozen, G.B. (TU Delft ImPhys/Computational Imaging)","van Vliet, L.J. (promotor); Stallinga, S. (promotor); Delft University of Technology (degree granting institution)","2023","Optofluidic lab-on-a-chips (LOCs) employing a dual-waveguide trap for optical trapping and Raman spectroscopy have proven to be attractive and potent tools for high throughput chemical fingerprinting of bio-particles for disease diagnosis. Among the relevant bio-particles are extracellular vesicles (EVs) which a been proven through recent studies to be potential biomarkers for identification of diseases, such as cancer. However, EVs are small with diameters ranging between 30 and 1000 nm and present a challenge for both on-chip optical trapping and Raman Spectroscopy. The research presented in this thesis is aimed at the development of a multi-waveguide optical trap aimed at the combined on-chip optical trapping and Raman spectroscopy for biochemical characterisation of single EVs.
Firstly, the capabilities and limitations of a dual-waveguide trap for stable on-chip optical trapping of EVs is investigated through an in-depth simulation study. This ultimately yields a comprehensive overview of stable trapping conditions for EVs in terms of EV diameter and refractive index, and the injected optical power.
Then, novel multi-waveguide traps are designed and fabricated. These multi-waveguide traps lead to stronger light confinement in the channel, resulting in improved optical trapping and Raman signal generation. This is experimentally demonstrated through the optical trap stiffness values and the recorded Raman signal strength of polystyrene beads generated between a 2-waveguide and 16-waveguide trap.
Finally, the 16-waveguide trap is used to demonstrate optical trapping of B. Subtillis spores, as an intermediate step towards EVs. Optical trapping of the spores is studied with both experiments and simulations. Special attention is paid to the effect of random phase differences between the beams exiting the waveguides on the optical trap quality.
In conclusion, the results show promising prospects for the realisation of multi-waveguide traps for on-chip biochemical fingerprinting of EVs with optical trapping and Raman spectroscopy.
In this thesis work, we, for the first time, successfully employed extrusion-based 3D printing techniques to fabricate biodegradable porous Mg and Mg-based scaffolds for application in orthopedics. We started with the optimization of the formulated binder system, the printing process, and the subsequent liquid-phase sintering process for the AM of Mg and Mg-based scaffolds. On this basis, a series of Mg and Mg-based porous scaffolds, including Mg alloy and Mg matrix composite scaffolds were successfully fabricated. Then, we conducted comprehensive studies on the microstructure, geometrical characteristics, in vitro biodegradation behavior, mechanical properties, and the in vitro biodegradation and the responses of preosteoblast MC3T3-E1 cells to the fabricated scaffolds to evaluate the ability of the fabricated scaffolds to satisfy the requirements of ideal bone-substituting biomaterials. By modifying the alloy composition and adding bioceramic components, the properties of the Mg scaffolds required were significantly improved as compared to those of the pure Mg specimens. The fabricated Mg-matrix composite scaffolds were shown to be the most promising materials to be further developed for bone substitution. Surface modification could also contribute to bringing the fabricated Mg scaffolds closer to meeting the requirements. Therefore, with proper material design and surface modification, the Mg-based scaffolds fabricated using extrusion-based 3D printing technique constitute a new category of porous Mg-based biomaterials that hold great promise for application as bone substitutes.","additive manufacturing; scaffold; biodegradation; mechanical property; biocompatibility; magnesium","en","doctoral thesis","","978-94-6384-426-0","","","","","","","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:12708aca-dff2-4d59-aa0e-af5c275aa728","http://resolver.tudelft.nl/uuid:12708aca-dff2-4d59-aa0e-af5c275aa728","Anomaly Detection and Synthetic Data Generation for Power Systems Using Autoencoder Neural Networks","Wang, C. (TU Delft Intelligent Electrical Power Grids)","Palensky, P. (promotor); Tindemans, Simon H. (copromotor); Delft University of Technology (degree granting institution)","2023","The scale of the power system has been significantly expanded in recent decades. To gain real-time insights into the power system, an increasing number of sensors have been deployed tomonitor grid states, resulting in a rapidly growing number of measurement points. Simultaneously, there has also been a rise in the penetration of renewable energy generation, with energy production that is highly variable and exhibits strong interdependence between different production locations. Such interdependence also applies to electricity demand at various network positions. Furthermore, new demandside response strategies and policies enhance the flexibility of the power system, leading to changes in load profiles. These developments, combined with the structure of the network itself, mean that measurements in the power system generally exhibit strong dependencies. This dependency means that if you know one or more values, you can infer information about others. This applies to time series with measurements that follow each other chronologically as well as to snapshots that show different states of the system at a particular moment in time. A large collection of such time series and snapshots can be represented as a probability distribution in a multidimensional data space. While larger numbers of measurements enable smarter grid operations, high-dimensional stochastic variables with complex univariate and multivariate distributions could also complicate tasks in modeling power system data.....","Anomaly Detection; Synthetic Data Generation; Autoencoder; Power System Operation and Planning; Machine Learning","en","doctoral thesis","","978-90-833109-4-7","","","","","","","","","Intelligent Electrical Power Grids","","",""
"uuid:e6002163-58f0-48e1-bce2-02ac111a8adf","http://resolver.tudelft.nl/uuid:e6002163-58f0-48e1-bce2-02ac111a8adf","Complexity of Electron Transport in Nanoscale Molecular Junctions","Ornago, L. (TU Delft QN/van der Zant Lab)","van der Zant, H.S.J. (promotor); Grozema, F.C. (promotor); Delft University of Technology (degree granting institution)","2023","In this dissertation, we analyse the charge transport of nanoscale molecular junctions in mechanically controllable break junction (MCBJ) experiments. In particular, we focus on the characterization of molecular features going beyond the ""single-peak"" picture, that is, considering features in the measurements in addition to themost prominent one. To achieve this goal, we use a combination of improved experimental techniques and data analysis...","Single-molecule; Molecular electronics; Break Junction; Nanoscale Charge Transport; Molecule-Metal Interface; Nanotechnology","en","doctoral thesis","","978-90-8593-552-0","","","","","","","","","QN/van der Zant Lab","","",""
"uuid:e9420781-7c76-453b-bacb-d806c8bf5fa8","http://resolver.tudelft.nl/uuid:e9420781-7c76-453b-bacb-d806c8bf5fa8","Efficient Earthquake Inversion using the Finite Element Method","van Zwieten, G.J. (TU Delft Mathematical Geodesy & Positioning)","Hanssen, R.F. (promotor); van Brummelen, E.H. (promotor); Delft University of Technology (degree granting institution)","2023","A vital component in the management of seismic hazard is the study of past seismic events. Classically, this has been the domain of seismology, which studies the dynamic manifestations of the event to infer properties such as epicenter and moment magnitude. More recently it has become possible to perform similar analyses on the basis of the static consequences of a seismic event, as satellite borne Synthetic Aperture Radar (SAR) data allows us to compare the local surface geometries before and aftera seismic event. The locality of the deformation data promises reconstructions with greater detail and subject to fewer model uncertainties.
With current technology, it is not possible to use SAR to their full potential. The non-linearity of the static dislocation problem that links faulting mechanisms to observed deformations causes any inverse method to require many evaluations of the forward model. This poses limits on the permissible cost of solving the dislocation problem, restricting most approaches to simplified model assumptions such as material homogeneity and absence of topography. In situations where more accurate information is available, this presents a clear opportunity for improvement by accelerating the computational methods instead.
This thesis presents the Weakly-enforced Slip Method (WSM), a modification of the Finite Element Method (FEM), as a fast approach for solving static dislocation problems. While the computational cost of the WSM is similar to that of the FEM for single dislocations, the WSM is significantly faster when many different dislocation geometries are considered, owing to the reuse of computationally expensive components such as matrix factors. This property makes the method ideally suited for inverse settings, opening the way to incorporating all available in situ data in a forward model that is simultaneously flexible and cheaply evaluable. Moreover, we prove that the WSM retains the essential convergence properties of the FEM.
A limitation of the WSM is that it produces continuous displacement fields, which implies a large error local to the dislocation. We show that this error decreases rapidly with distance, and that in a typical scenario the majority of deformation data has a discretization error that is smaller than observational noise, particularly when a fault is buried. In the case of shallow or rupturing faults, neighbouring data needs to be discarded from the analysis to avoid disruption. With this measure in place, we show via Bayesian inference of synthesized datasets that the discretization errors of the WSM do not significantly affect the inverse problem.","earthquake; surface deformation; elastic dislocation; synthetic aperture radar interferometry (InSAR); Finite Element Method (FEM); Weakly-enforced Slip Method (WSM); inverse problem","en","doctoral thesis","","978-94-6366-673-2","","","","","","","","","Mathematical Geodesy & Positioning","","",""
"uuid:4e7b7a31-aa89-41df-b5bc-ffe66cb0a0f9","http://resolver.tudelft.nl/uuid:4e7b7a31-aa89-41df-b5bc-ffe66cb0a0f9","Electrode/electrolyte interfaces in (photo-)electrochemical devices","Venugopal, A. (TU Delft ChemE/Materials for Energy Conversion and Storage)","Smith, W.A. (promotor); Houtepen, A.J. (promotor); Delft University of Technology (degree granting institution)","2023","Climate change, due to the continued emissions of carbon dioxide into our atmosphere and subsequent warming of the planet, is an existential crisis facing humanity. The rising temperature is are resulting in the melting of our ice caps and glaciers, influencing the weather patterns, are making many parts of the world unliveable and are driving many species into extinction. If the current trend is continued, catastrophic and irreversible effects to our planet is almost guaranteed. To tackle this issue, we need to immediately find a viable replacement for the carbon emitting fossil fuels currently used in our energy, transportation and chemical infrastructures. Moving to renewable energy sources like wind and solar energy is already proving to be the answer to this problem. However, in-order to scale the use of these non-emitting sustainable energy sources rapidly, some of the issues associated with these sources like the intermittency and the heavy reliance of fossil fuels in the hard to electrify sectors need to be dealt with....","Interfaces; metal oxide semiconductors; (photo-) electrochemical systems; photocharging; infrared spectroscopy; polymer modified catalysts; water oxidation","en","doctoral thesis","","978-94-6419-756-3","","","","","","2023-07-01","","","ChemE/Materials for Energy Conversion and Storage","","",""
"uuid:cb101545-298b-47f4-9e5a-729283f5fdd7","http://resolver.tudelft.nl/uuid:cb101545-298b-47f4-9e5a-729283f5fdd7","Design and Evaluation of Dedicated Lanes for Connected and Automated Vehicles","Razmi Rad, S. (TU Delft Transport and Planning)","van Arem, B. (promotor); Hoogendoorn, S.P. (promotor); Farah, H. (promotor); Delft University of Technology (degree granting institution)","2023","Dedicated lanes have been proposed as a potential scenario for the deployment of connected and automated vehicles (CAVs) on the road network. However, knowledge on the design and operation of DLs and their impacts on the behaviour of drivers of CAVs and manual vehicles is lacking in the literature. This dissertation provides a research agenda on design and operation of dedicated lanes and investigates the impacts of such lanes on the behaviour of human drivers.","Connected and automated vehicles; Dedicated lanes; Driver behavior","en","doctoral thesis","","978-90-5584-323-7","","","","","","","","","Transport and Planning","","",""
"uuid:de97d58f-5d0e-47a6-b649-827062216fae","http://resolver.tudelft.nl/uuid:de97d58f-5d0e-47a6-b649-827062216fae","Customized 3D and 4D Design for Machine Knitting","Liu, Z. (TU Delft Emerging Materials)","Wang, C.C. (promotor); Geraedts, Jo M.P. (promotor); Doubrovski, E.L. (copromotor); Delft University of Technology (degree granting institution)","2023","Garments, one of the human basic needs, were customized and handmade before the Industrial Revolution. After the realization of mass production, the cost of a piece of clothing became lower, but some disadvantages arose. Garments were no longer made to measure and overproduction caused environmental problems. The new developments in digital garment design and digital customization target addressing these limitations.
The computational design of knitting attracted increased attention in recent years. In this dissertation, we consider the customized design and fabrication of 3D and 4D garments as knitwears. The 3D knitwear fits the target human body, and the 4D knitwear also considers comfort during body movement. The main research question (RQ) is: How to design customized 3D and 4D knitwear and generate instructions for a digital knitting machine?
In this dissertation, we researched computational knitwear design methods. We considered not only 3D fitting but also comfort during motion (4D). Our research can be applied in garment production (especially mass customization) or other knitting applications. Garment designers and other industrial designers can use the proposed methods to generate knitting instructions for free-form 3D surfaces. Our 4D design method helps designers place elastic or other varied knitting structures while keeping the intended 3D shape. This dissertation presents new perspectives on computational approaches to existing manufacturing techniques. It also provides enough details to further develop such design systems to be applied in practice.","knitting; computational design; computational fabrication; 3D garment; 4D garment","en","doctoral thesis","","978-94-6384-423-9","","","","","","","","","Emerging Materials","","",""
"uuid:6d3b105f-c5f5-49a5-8df4-899d9488ce52","http://resolver.tudelft.nl/uuid:6d3b105f-c5f5-49a5-8df4-899d9488ce52","Public participation in mission-oriented innovation projects","Wiarda, M.J. (TU Delft Economics of Technology and Innovation); Sobota, V.C.M. (TU Delft Economics of Technology and Innovation); Janssen, Matthijs J. (Universiteit Utrecht); van de Kaa, G. (TU Delft Economics of Technology and Innovation); Yaghmaei, E. (TU Delft Ethics & Philosophy of Technology); Doorn, N. (TU Delft Ethics & Philosophy of Technology)","","2023","Mission-oriented innovation policy is currently gaining renewed interest as an approach for addressing societal challenges. One of the promises is that missions can mobilise and align diverse stakeholders around a shared goal. Recent literature underlines the importance of public participation (e.g. municipalities and civil society organisations) in the socioeconomic transformations required for attaining missions. We ask how public participation differs among (non-)mission-oriented innovation projects. Drawing on a database containing Dutch government-funded innovation projects, we investigate whether mission-oriented projects are associated with earlier, more open, and more influential forms of public participation than conventional projects. Although the results suggest that mission-oriented projects indeed correspond with earlier participation of more public actors, we find little evidence that they also coincide with increased diversity and financial influence of public participants. We conclude by discussing how policymakers and intermediaries may engage in strategies to make missions more inclusive.","mission-oriented policy; challenge-led policy; Innovation policy; wicked problems; public participation; responsible innovation; societal challenges","en","journal article","","","","","","","","","","","Economics of Technology and Innovation","","",""
"uuid:e71ba5e8-7bc0-4c5e-913f-2fb189dd2f38","http://resolver.tudelft.nl/uuid:e71ba5e8-7bc0-4c5e-913f-2fb189dd2f38","Naar landelijke learning communities: Leerervaringen uit twee jaar learing community logistiek in de leefbare stad","de Vries, A.M.R. (Rotterdam University of Applied Sciences); Koole, P. (Breda University of Applied Sciences); Kamphuis, M. (HAN University of Applied Sciences); van Duin, Ron (TU Delft Transport and Logistics; Rotterdam University of Applied Sciences); Quak, H.J. (Breda University of Applied Sciences; TNO)","","2023","Learning communities kunnen een middel zijn om bij vraagstukken zoals logistiek in de leefbare stad, in een publiek-privaat samenwerkingsverband te leren, problemen op te lossen en te innoveren. Vanuit het CoE KennisDC Logistiek is door vier hogescholen de Learning Community Logistiek in de Leefbare Stad uitgevoerd. Op basis van het Conceptueel raamwerk Publiek-Private Learning Communities wordt gereflecteerd hierop. Hieruit zijn inzichten gekomen voor de verdere uitrol van het concept learning communities binnen het CoE KennisDC Logistiek. De learning community logistiek in de leefbare stad
heeft een mooie rijkheid aan hedendaags praktijkgericht onderzoek en praktijkcases laat zien en heeft partijen bij elkaar gebracht. De meerwaarde is mede erkend doordat tijdens de Pareltjesdag van het CoE KennisDC Logistiek, de learning community de publieksprijs 2023 in ontvangst heeft mogen nemen","learning community; governance; Stadslogistiek; Logistiek in de Leefbare Stad","nl","conference paper","","","","","","","","","","","Transport and Logistics","","",""
"uuid:1a678f17-c9c5-46c7-aca2-0dea1f00d1fa","http://resolver.tudelft.nl/uuid:1a678f17-c9c5-46c7-aca2-0dea1f00d1fa","Phase-Coded FMCW for Automotive Radars","Kumbul, U. (TU Delft Microwave Sensing, Signals & Systems)","Yarovoy, Alexander (promotor); Silveira Vaucher, C. (promotor); Petrov, N. (copromotor); Delft University of Technology (degree granting institution)","2023","Autonomous driving is a new emerging technology that will enhance traffic safety. Automotive radars are essential to attaining autonomous driving since they can function in adverse weather conditions and are used for detection, tracking, and classification in traffic settings. However, the dramatic growth in the number of radar sensors used for automotive radars has raised concerns about spectral congestion and the coexistence of radar sensors. The mutual interference between multiple radar sensors downgrades the sensing performance of automotive radar and needs to be mitigated. Moreover, automotive radars have limited processing power, preventing them from using computationally heavy techniques to countermeasure interference. This thesis aims at developing, evaluating and verifying a robust waveform with required processing steps suitable for automotive radars to boost the coexistence of multiple radar sensors. To achieve this task, phase-coded frequency modulated continuous wave (PC-FMCW) and necessary processing steps are studied.
The first step is taken by investigating the sensing properties of the PC-FMCW waveforms and possible receiver strategies in Chapter 2. It is demonstrated that the ambiguity function of the code is sheared after frequency modulation. Moreover, different binary phase codes are examined with the PC-FMCW waveforms, and their sensing performance is compared in terms of integrated sidelobe level. Subsequently, two receiver approaches based on the dechirping process to decrease the sampling demands of the PC-FMCW waveforms are examined. The sensing performance of the investigated receiver approaches is compared, and the trade-offs between the sensing performance and the code bandwidth are analyzed. Moreover, the PC-FMCW waveform is applied to a real scenario, and the sensing performance of the investigated receiver structures is validated experimentally.
Chapter 3 investigates the beat signal spectrum widening due to coding and explores the smoothed phase-coded frequency modulated continuous wave (SPC-FMCW) to improve the sensing performance in the limited receiver analogue bandwidth. The abrupt phase changes seen in binary phase-coded signal is analyzed, and a phase smoothing operation to reduce the spectral broadening of the coded beat signals is proposed. The introduced SPC-FMCW waveforms are analyzed in different domains and compared with the binary phase coding. It is shown that the proposed smoothing operation decreases the spectral broadening of the coded beat signal and improves the sensing performance of the waveform.
In Chapter 4, the limitation in the group delay filter receiver approach is investigated, and the appropriate receiver strategy with low computational complexity is designed to process the PC-FMCW waveforms. The impact of the group delay filter on the coded beat signal is examined in detail, and a phase lag compensation is proposed to enhance decoding performance. It is demonstrated that performing phase lag compensation on the transmitted code eliminates the undesired effects of the group delay filter, and the beat signal is recovered properly after decoding. Then, the properties of the resulting waveforms are theoretically examined, and the sensing performance improvement over the existing approach is demonstrated. Moreover, both sensing and cross-isolation performance of the introduced waveforms with proposed processing steps are validated experimentally.
Chapter 5 studies the PC-FMCW waveforms for a coherent multiple-input-multiple-output (MIMO) radar. To this end, the MIMO ambiguity functions of the PC-FMCW waveform with different code families are investigated for their separation capability and compared with the PMCW waveform. It is illustrated that the PC-FMCW ambiguity function outperforms the PMCW one in terms of range resolution, Doppler tolerance, and sidelobe level for the identical types of codes. Afterwards, the developed phase lag compensated waveform with a single transmitter-receiver approach is performed to a coherent MIMO radar, and a novel PC-FMCW MIMO structure is proposed in Chapter 5. The introduced MIMO structure jointly utilizes phase coding in both fast-time and slow-time to achieve low sidelobe levels in the range-Doppler-azimuth domains while maintaining high range resolution, unambiguous velocity, good Doppler tolerance and low sampling requirements. The sensing performance of the introduced MIMO structure is evaluated and compared with the state-of-the-art techniques. Moreover, the proposed MIMO structure's practical limitations are investigated and demonstrated. In addition, the sensing performance of the developed approach with the simultaneous transmission is verified experimentally.
Finally, the interference resilience and communication capabilities of the developed PC-FMCW radar have been studied in Chapter 6. First, the automotive radar interference problem between various types of continuous waveforms is examined. The interference analysis formulation is extended to PC-FMCW waveforms, and a generalised radar-to-radar interference equation is proposed. The introduced equation can be utilised to quickly and accurately derive the numerous interference scenarios discussed in the literature. In addition, the proposed equation's validity to characterise the victim radar's time-frequency distribution is demonstrated experimentally using the commercially available off-the-shelf automotive radar transceivers. Afterwards, the robustness of the developed PC-FMCW radar against different types of FMCW interference cases is examined, and an improvement in the sensing performance over the conventional FMCW waveform is demonstrated. Moreover, the communication performance of the PC-FMCW with dechirping receivers is compared, and the trade-off between the bit error rate and the code bandwidth is investigated.
This thesis shows that the developed PC-FMCW radar structure can provide high mutual orthogonality to enhance the functioning of multiple radars within the same frequency bandwidth while sustaining the low sampling demand and good sensing performance. Consequently, the introduced approach can be effectively utilized by automotive radars to mitigate mutual interference between multiple radar sensors and improve the sensing performance of simultaneous MIMO transmission. Although the focus is on the application in an automotive radar context, the developed approach can also be used in other radar fields.","Automotive Radar; Phase-Coded Chirps; Interference Mitigation; MIMO Radar; Mutual Orthogonality; Radar Signal Processing","en","doctoral thesis","","978-94-6384-420-8","","","","","","","","","Microwave Sensing, Signals & Systems","","",""
"uuid:8890a4ca-c30a-42e3-9767-a0bf2e16a25a","http://resolver.tudelft.nl/uuid:8890a4ca-c30a-42e3-9767-a0bf2e16a25a","Estimation of multiple components and parameters for quantitative MRI","Nagtegaal, M.A. (TU Delft ImPhys/Computational Imaging; TU Delft ImPhys/Vos group)","Vos, F.M. (promotor); van Osch, Matthias (promotor); de Bresser, Jeroen (copromotor); Poot, D.H.J. (copromotor); Delft University of Technology (degree granting institution)","2023","Magnetic Resonance Imaging (MRI) is a flexible medical imaging technique that facilitates measurement of a wide range of contrasts particularly in soft tissue (e.g. brain and heart). Conventionally, qualitative images are acquired in which certain physical tissue properties are emphasized such as the transverse and longitudinal relaxation times. Such images are frequently referred to as ""weighted"", i.e. T1-weighted. Quantitative MRI (qMRI) aims at measuring the underlying tissue parameters governing the contrast instead of yielding mere weighted images. These quantitative parameter estimations were proven to be more reproducible than conventional MR images and more sensitive to certain disease processes, enabling enhanced longitudinal comparisons within subjects as well as comparisons between subjects.
MR Fingerprinting (MRF) is an example of such a quantitative technique. MRF uses a combination of transient state acquisitions with varying flip angle patterns, severe undersampling and advanced signal models to allow for fast qMRI acquisitions and accurate estimation of a wide range of parameters.
While most qMRI methods assume a single tissue type per voxel, this is almost never a valid assumption. This assumption especially breaks down at tissue boundaries or when tissues consist of multiple, mixed compartments, such as water contained between myelin sheets in the brain, often called myelin water surrounded by extra-cellular water.
The goal of this thesis is to develop enhanced methodology for quantitative MRI by extending traditional signal and image post-processing methods. Specifically, the focus is on MR Fingerprinting in combination with multi-component estimations, in which different compartments are included in a mixed estimation model. This is done to obtain more information from the acquired data and to improve quantification, therefore possibly obtain new clinical insights. Important steps towards clinical use are to enhance estimation accuracy and precision compared to previous methods and reduce the scan time.
In this thesis the Sparsity Promoting Iterative Joint NNLS (SPIJN) algorithm is proposed for obtaining multi-component estimations from MRF data. This enabled sub-voxel, fractional estimation of signal components in a region of interest, without making a priori assumptions about tissues expected to be present. The main novelty of this method is to combine a non-negativity with a joint-sparsity constraint that limits the total number of tissues identified in a region of interest. As a result it became possible to obtain magnetization fraction maps of the white matter, gray matter, CSF and a component with shorter relaxation times related to myelin water.
The repeatability of the proposed method is studied in 5 subjects that were scanned 8 times with one week in between the scans each time. Comparison of the obtained white matter, gray matter and CSF maps with segmentations from conventional methods shows high repeatability of the estimated relaxation times and more fine structures in the CSF magnetization fraction maps.
Additionally, the proposed SPIJN algorithm was applied to data from a more conventional qMRI sequence, i.e. a multi-echo spin-echo sequence, to obtain estimations of the so-called myelin water fraction in the brain. The resulting images show significantly improved noise robustness compared to the standard multi-component analysis method, improving the usability.
MRF scans can be acquired in a relatively short acquisition time of less than 30 seconds per slice, but this will still result in 15 minutes of total scan-time when full brain coverage is needed. A further reduction in acquisition time is desirable for clinical usage, in which every minute counts. Therefore, improved reconstruction methods for MRF data are proposed, especially tailored to multi-component estimations. In in vivo scans we showed the improved image quality enabled by the proposed methods.
In another study, We applied the SPIJN algorithm to MRF brain scans from MS patients. In the results that we obtained we observe that white matter changes are reflected in a component with prolonged transverse relaxation times which is less pronounced in data of healthy controls. We hypothesize that the observed component reflects an increase in extra-cellular water and allows for early characterization of white matter damage.
In a related project, an adaptation on the SPIJN algorithm was introduced that is more sensitive to small local changes. The adjusted algorithm is applied to imaging data of MS patients and it is shown that it can help to identify small cerebral lesions.
MRF sequences can be chosen rather freely, to further reduce the scan time and reduce the estimation error these sequences can be optimized. A method is proposed in which parameter maps of the brain are used as reference upon which the MRF flip-angle series is optimized, taking into account the used undersampling trajectories. As a result undersampling errors, a major source of estimation errors, are effectively minimized.
Finally, we investigated an adjusted simulation method of MRF sequences that is able to accurately model the effects of through-plane motion, which is a major source of errors in MRF scans. Such a model may support the development of new retrospective correction methods for this type of motion as it enables proper simulation of its effects.
In summary, this thesis proposes new methods for multi-component reconstruction and analysis, sequence optimization and studying the effects of motion in MRF and further investigates the possibilities of multi-component MRF.","Quantitative MRI; Multi-component estimations; MR Fingerprinting; Myelin water; Sequence optimization","en","doctoral thesis","","978-94-6384-421-5","","","","","","","","","ImPhys/Computational Imaging","","",""
"uuid:14619578-e44f-45bb-a213-a9d179a54264","http://resolver.tudelft.nl/uuid:14619578-e44f-45bb-a213-a9d179a54264","Wake and wind farm aerodynamics of vertical axis wind turbines","Huang, M. (TU Delft Wind Energy)","Ferreira, Carlos (promotor); Sciacchitano, A. (copromotor); Delft University of Technology (degree granting institution)","2023","The development of offshore wind energy, especially the steps towards deep water and/or higher density wind farms, revives the prospects of vertical axis wind turbines (VAWTs). Because VAWTs may reduce the cost of floating structures, there is a potential to lower energy costs. However, VAWTs are often assumed to be less efficient and less reliable due to a lack of understanding of their complex aerodynamics. This research is motivated by the fact that the performance of isolated turbines is no longer the most important factor, but rather performance at the wind farm level. The objective is to comprehend the possible performance of VAWTs in a wind farm. This dissertation advances the knowledge of wind farm aerodynamics of VAWTs mainly in four aspects: a) It demonstrates the relationship between the rotor loading and wake deflection/deformation, indicating directions for simplified modelling of VAWT wake control; b) It identifies vital characteristics of a VAWT wake, confirming the positive effects of wake deflection on wake recovery and interaction; c) It presents high fidelity experimental data on the wakes and wake interactions of VAWTs placed upwind and downwind, and validates some cutting-edge models with the data; d) it demonstrates the potential of increased power performance of VAWT arrays by controlling the VAWT flow fields. In pursuit of these advances, the dissertation identifies and tackles a series of research topics. The first is on the simplified wake models. The state-of-the-art VAWT wake models are mostly transposed from that for HAWTs, based on the planar actuator disc model. However, the effects of the actuator discs’ shape, specifically the aspect ratio of rectangular ones (corresponding to VAWTs with various height-to-width ratios), on the wake recovery are not considered. We propose the effective mixing diameter D∗ to normalise the shape effects on the wake velocity recovery based on momentum conservation. D∗ is validated through particle image velocimetry (PIV) experiments and Reynolds averaged Navier-Stokes (RANS) simulations, and it outperforms the existing scaling lengths in the literature. The dissertation further questions the validity of planar actuators as surrogates of VAWTs. It compares the three-dimensional wakes of an actuator disc and a lab-scale VAWT using robotic volumetric PIV. The comparison reveals substantial differences in the vortex systems, pointing out the limitations of planar actuators in reproducing VAWT wakes, especially when the wakes are deflected. The results indicate that surrogates for VAWTs should be three-dimensional, coinciding with the swept areas of blades. Based on the three-dimensional actuator cylinder model and a simplified formulation of the vorticity transport equation, we demonstrate the underlying physics of the generation of the streamwise vortex system, highlighting the effect of different load distributions on the wake convection and mixing. We propose four idealised force distributions resulting in different vortex systems and wake topologies; The proposed model is validated qualitatively with stereoscopic PIV measurements on a lab-scale VAWT. We quantify the faster wake recovery consequent from the wake deflection using the experimental data. Furthermore, the wake interaction of two VAWTs placed upwind and downwind is investigated experimentally via PIV and load measurements. The upwind VAWT with positively pitched blades deflects the wake significantly, improving the inflow condition of the downwind VAWT, and thus increasing the overall extraction of the streamwise momentum. With the high-quality experimental data, we validate the state-of-the-art analytical wake models and simulations for VAWTs and identify their validity ranges. Two analytical wake models (the Jensen model and the Bastankhah-Porte-Agel model), five wake superposition models (four algebraic models and one momentum-conservation based model) and an unsteady Reynolds averaged Navier-Stokes (URANS) simulation with VAWTs represented by the actuator line model are compared in both isolated and interaction scenarios. Based on the validated URANS simulation, we explore the wake deflection effects on the enhancement of wind power extraction for two up-scaled VAWTs placed in tandem. The blades of these large H-type VAWTs operate in a high Reynolds number (chordbased, Rec ≈ 1×107), which ensures a high stall angle; The tip speed ratio is set to a relatively high value (4.5) to avoid severe dynamic stalls. And thus, the simulated VAWTs are optimised for engineering operations and perform better than the lab-scale model introduced earlier. Combinations where each turbine operates in three different fixed pitch angles (-10◦, 0◦, 10◦) resulting in different wake deflections are compared. With wake deflections, the overall power coefficient is increased by up to 45%for a tested configuration, which also depends on the inter-turbine distances. Most interestingly, when the turbine blades are pitched in the same direction, the vorticity system in the wake is enhanced and thus yields a flying formation effect for a VAWT array. Furthermore, wakes of three inline VAWTs are scrutinised, focusing on the wake interactions, floor effects and momentum recovery. For all the cases the three VAWTs’ blades are pitched in the same direction following the so-called flying formation scheme. The vertical flux of momentum is notably enhanced by the VAWT array with positive blade pitches even with the floor present, which is vital to the overall increment of power extraction in a wind farm operating in the atmospheric boundary layer. The overall power extraction is increased by 35% compared to the array with zero blade pitches; More importantly, the downwind VAWTs increase their performances by 113%-154%. The latter indicates the tremendous potential of large wind farms consisting of VAWTs employing blade pitching.","Vertical axis wind turbines; actuator surfaces; wake; wake deflections; wake interactions; vortex system; particle image velocimetry","en","doctoral thesis","","978-94-6366-670-1","","","","","","","","","Wind Energy","","",""
"uuid:e88a9277-41a0-495e-8af2-561fbe1a543b","http://resolver.tudelft.nl/uuid:e88a9277-41a0-495e-8af2-561fbe1a543b","Insight into the multifunctionality of TiO2-based catalyst","Meeprasert, J. (TU Delft ChemE/Inorganic Systems Engineering)","Pidko, E.A. (promotor); Li, G. (copromotor); Delft University of Technology (degree granting institution)","2023","Computational chemistry provides powerful research tools for catalysis. It potentially allows us to study the structures of the catalytic sites and reaction mechanisms, which are difficult to observe only by experiment. This is particularly true for supported heterogeneous catalysts, of which reactivity and catalytic behavior are directly related to the presence of various functional groups and reactive ensembles on their surfaces. Such surface heterogeneities give rise to the formation of multifunctional reactive ensembles ready to convert substrate molecules to the desired products efficiently. At the same time, the presence of various reactive centers on the surface may contribute to undesirable conversion paths. Understanding the role of the multifunctional reaction environments established on the complex surfaces of supported heterogeneous catalysts is key to formulating design rules for achieving control over their activity and selectivity....","","en","doctoral thesis","","978-94-6384-413-0","","","","","","","","","ChemE/Inorganic Systems Engineering","","",""
"uuid:a4d2f3d3-74bc-4dcd-9e5a-71deb5f74a38","http://resolver.tudelft.nl/uuid:a4d2f3d3-74bc-4dcd-9e5a-71deb5f74a38","Extrusion-based 3D printing of biodegradable porous iron for bone substitution","Putra, N.E. (TU Delft Biomaterials & Tissue Biomechanics)","Zadpoor, A.A. (promotor); Zhou, J. (promotor); Apachitei, I. (copromotor); Delft University of Technology (degree granting institution)","2023","The treatment of large bone injuries continues to be challenging partially due to the limited quantity and quality of bone-replacing materials. Iron (Fe) and its alloys have been developed as a group of load-bearing biomaterials. Recent advances in additive manufacturing (AM) have enhanced the potential of Fe-based biomaterials as biodegradable bone substitutes. Firstly, AM Fe-based implants can now be personalized to exactly match the geometry of bony defects. Secondly, AM Fe-based implants with macro- and micro-scale porosities can mimic the mechanical properties of the native bony tissue. The mechanical properties can also be tuned to sustain over the biodegradation period until the new bone tissue takes over their biomechanical function. Finally, AM offers a pathway for in situ or ex situ alloying as well as for other types of multi-material printing to achieve multiple functionalities, such as paramagnetic properties, high rates of biodegradation, and, most importantly, bioactivity (e.g., to induce the osteogenic differentiation of stem cells or to ward off implant-associated infections). This thesis contributes to designing biodegradable Fe-based scaffolds material configurations and developing associated fabrication technology with a focus placed on achieving an appropriate biodegradation rate, paramagnetic behavior, mimicking trabecular bone mechanical properties, and osteogenic all at once.","extrusion-based 3D printing; multi-material additive manufacturing; iiron; iron-manganese alloy; iron-akermanite composite; iron-manganese-akermanite composite; Biodegradable; porous; biomaterial; scaffolds; bone tissue engineering","en","doctoral thesis","","978-94-6384-416-1","","","","","","2023-04-30","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:b57a9199-45ef-40d4-a4ed-a9a124fb39ed","http://resolver.tudelft.nl/uuid:b57a9199-45ef-40d4-a4ed-a9a124fb39ed","The accommodation of martensitic phase transformation strains by the ferritic matrix in dual-phase steels","Atreya, V. (TU Delft Team Maria Santofimia Navarro)","Santofimia, Maria Jesus (promotor); Bos, C. (copromotor); Delft University of Technology (degree granting institution)","2023","Dual-phase (DP) steels are an important class of advanced high-strength steels (AHSS) and constitute a major share of steels for the automotive industry. A microstructure consisting of hard martensite embedded in a soft ferritic matrix gives them a good combination of strength and ductility. The martensite formation in the microstructure from austenite involves a shape and volume change, which is accommodated by the deformation of the surrounding ferritic matrix. This accommodation is known to impart typical characteristics in DP steels such as the absence of a yield point, continuous yielding and high initial work hardening rate. This thesis is an attempt to understand and model the aforementioned accommodation process in the ferritic matrix of DP steels. Traditionally, in predictive modelling of DP steel mechanical behaviour, the region of ferrite which undergoes deformation to accommodate martensitic transformation is taken into consideration as a constant thin layer of strain-hardened ferrite at the ferrite/martensite interface. This approach is shown to be inadequate for capturing local variations in ferrite deformation. Hence, electron backscatter diffraction (EBSD) experiments were carried out to study in detail the influence of various microstructural features on local variations in the transformation-induced deformation of ferrite. It was found that the crystallographic orientation of ferrite grains, martensite variant and its prior austenite grain (PAG) play an important role in determining the extent of transformation-induced deformation of ferrite. Taking a cue from this, a novel methodology comprising sequential experimental and numerical research on DP steels is developed which combines the results of PAG reconstruction, phenomenological theory of martensite crystallography (PTMC) and EBSD orientation data to estimate ferrite deformation due to every martensitic variant formed, via full-field micromechanical calculations on a virtual DP steel microstructure. Furthermore, the influence of self-accommodation during martensite variant formation on transformation-induced deformation of ferrite was also investigated. It is shown that the higher the number of variants which form from a PAG, the less the deformation caused by that PAG in the surrounding ferritic matrix. This is because of a decrease in the effective magnitude of the shear component of martensitic transformation during multi-variant transformation. The scientific findings presented in this work can be used for developing predictive models for the mechanical behaviour of not only DP steels but any multiphase steels which exhibit plastic accommodation and residual stresses in their microstructure due to martensitic phase transformation.","Dual-Phase Steel; Martensitic phase transformation; Plastic deformation; Micromechanical modelling; Electron backscatter diffraction; Self accommodation; Martensite variants","en","doctoral thesis","","978-94-6384-424-6","","","","","","","","","Team Maria Santofimia Navarro","","",""
"uuid:bf83b94a-4438-47c7-bfca-7a93334d79e4","http://resolver.tudelft.nl/uuid:bf83b94a-4438-47c7-bfca-7a93334d79e4","Seismic-interferometric applications for near-surface and mineral exploration","Balestrini, F.I. (TU Delft Applied Geophysics and Petrophysics)","Draganov, D.S. (promotor); Ghose, R. (promotor); Delft University of Technology (degree granting institution)","2023","Seismic methods are widely used for the exploration of the Earth’s subsurface. While they allow higher resolution compared to other geophysical methods, their performance depends on site and geological characteristics, and the volume and type of recorded information. Additionally, data processing plays a critical role in the efficacy of the application of seismic methods.
A common challenge when utilising seismic methods arises as a result of field restrictions and cost constraints. As a consequence, seismic data often suffer from irregular or sparse spatial sampling, which can affect the application of advanced processing and imaging algorithms, for instance, surface-related multiple elimination and wave equation migration. These algorithms require dense and regular sampling to provide reliable results. Thus, seismic-data regularisation and interpolation are commonly utilised processing steps. Nevertheless, the interpolation of data for relatively large gaps is not trivial, in particular for land data acquired in complex geological settings where the seismic events exhibit pronounced curvature and lack of continuity....","Seismic interferometry; seismic data processing; data reconstruction","en","doctoral thesis","","978-94-6366-671-8","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:28daa621-5070-48e3-8c92-b19b300aec75","http://resolver.tudelft.nl/uuid:28daa621-5070-48e3-8c92-b19b300aec75","Coalitional games in energy and analytics markets","Raja, A.A. (TU Delft Team Sergio Grammatico)","Grammatico, S. (promotor); De Schutter, B.H.K. (promotor); Delft University of Technology (degree granting institution)","2023","The main themes of this thesis are the design and analysis of payoff distribution methods for situations where agents collaborate to generate a utility. For modeling such scenarios, we majorly focus on the coalitional game theoretic framework that provides mathematical formalism to study the behavior of rational agents when they cooperate for selfish interests [69]. We utilize the tools from coalitional game theory to develop mechanisms for demand-side energy management, namely, energy coalitions, peer-to-peer energy trading (P2P), and real-time local electricity markets, that can help accelerate the energy transition [106]. For the solution of resulting games, we design distributed algorithms that converge to a payoff distribution characterized by stability and fairness. The primary approach to convergence analysis of proposed algorithms relies on the operator theory and fixed-point iterations. Finally, we also propose payoff distribution criteria for a wagering-based forecasting market that can help energy generation sources to improve their forecast....","","en","doctoral thesis","","","","","","","","","","","Team Sergio Grammatico","","",""
"uuid:c4f4db33-0553-4d4c-8b9d-678a2ce09d9e","http://resolver.tudelft.nl/uuid:c4f4db33-0553-4d4c-8b9d-678a2ce09d9e","Free Energy Principle Based Precision Modulation for Robot Attention: Towards brain inspired robot intelligence","Anil Meera, A. (TU Delft Robot Dynamics)","Wisse, M. (promotor); Mohajerin Esfahani, P. (copromotor); Delft University of Technology (degree granting institution)","2023","The potential impact of a grand unified theory of the brain on the robotics community might be immense, as it might hold the key to the general artificial intelligence. Such a theory might make revolutionary leaps in robot intelligence by improving the quality of our lives. The last two decades have witnessed the rise of one such brain theory - the free energy principle (FEP) - that seems to be successful in explaining a large body of cognitive functions. The tremendous amount of research centering FEP is a testament to its popularity within the neuroscience community. This raises two important questions: i) since biological systems are fundamentally different from robots, will FEP be useful in solving real robotics problems? ii) if so, will it outperform classical robot algorithms? To answer these questions, this thesis takes a step in the direction of applying FEP on three class of robotics challenges, with a special focus on Unmanned Aerial Vehicle (UAV): i) action, ii) perception and iii) active perception. This thesis demonstrates the usefulness of FEP in solving these challenges, and shows that FEP is particularly beneficial in dealing with colored (non-white) noise during estimation (perception) when compared to classical methods, marking the utility of FEP not only in neuroscience, but also in robotics. With these results, this thesis aims to contribute to the rise of FEP as a unified theory of robot intelligence.","Free Energy Principle; Robotics; Active Inference; System identification; Informative Path Planning; Formation Control; Filtering; Unmanned Aerial Vehicle","en","doctoral thesis","","978-94-6384-417-8","","","","","","","","","Robot Dynamics","","",""
"uuid:da2f850e-653a-4654-9e4a-4e3009bbc785","http://resolver.tudelft.nl/uuid:da2f850e-653a-4654-9e4a-4e3009bbc785","Urban form influence on microclimate and building cooling demand: An analytical framework and its application on the Rotterdam case","Maiullari, D. (TU Delft Landscape Architecture)","van Timmeren, A. (promotor); van Esch, M.M.E. (copromotor); Delft University of Technology (degree granting institution)","2023","Urban form plays a critical role when planning city transitions toward decarbonization. However, in urban climate conditions the complex relationship between urban form and cooling demand remains understudied. This thesis develops integrated approaches and knowledge in the transdisciplinary domain of urban morphology, urban climatology and energy-related fields while addressing the question: ‘How does urban form influence building cooling demand in urban microclimate conditions, and how can the magnitude of the relationship be assessed?’.
By answering this main research question, the thesis delivers a threefold contribution. First, it contributes to the conceptualization and understanding of both the intrinsic and the extrinsic role of urban form, by identifying urban form characteristics that directly influence building cooling demand, and indirectly contribute to shaping urban microclimate conditions in buildings’ surroundings. Second, the thesis contributes to increasing the assessment accuracy of urban form-related climate and energy performance. It does so by developing a quantitative morphological method to identify Local Climate Types (LCTs) and by developing a modelling method that enhances the use of microclimate data as boundary conditions for energy demand assessments. Thirdly, for the city of Rotterdam, the testing of these novel methods provides an understanding of how and to what extent the form of buildings and contexts influence building cooling demand.","","en","doctoral thesis","A+BE | Architecture and the Built Environment","978-94-6366-669-5","","","","","","2024-09-10","","","Landscape Architecture","","",""
"uuid:0e98bb62-5518-4c59-9f6a-b845b8a71997","http://resolver.tudelft.nl/uuid:0e98bb62-5518-4c59-9f6a-b845b8a71997","Thermoelastic Stability of Deployable Space Telescopes","Villalba, Víctor (TU Delft Space Systems Egineering)","Gill, E.K.A. (promotor); Kuiper, J.M. (copromotor); Delft University of Technology (degree granting institution)","2023","Imagery collected from space provides very useful information about our planet. Today there are many Earth Observation satellites in orbit which allow us to collect information which is used for environmental monitoring, response to catastrophes, surveillance and security, urban planning, economic analysis and many other applications. Thus, there is a drive to improve the quality of this imagery, such as its resolution and the frequency with which it can be collected. The quantity of pictures taken will be increased by launching more systems, the quality of the pictures depends, amongst other factors, on the system’s physical size. To serve those needs, bigger telescopes have to be launchedmore often.....","thermoelastics; deployable space telescopes; piezoelectric actuators; compliant mechanisms; mechanical design; systems engineering","en","doctoral thesis","","978-94-6458-915-3","","","","","","","","","Space Systems Egineering","","",""
"uuid:0793986f-b875-4693-a0f9-568978f2d632","http://resolver.tudelft.nl/uuid:0793986f-b875-4693-a0f9-568978f2d632","Utilization of mswi bottom ash as a mineral resource for low-carbon construction materials: Quality-upgrade treatments, mix design method, and microstructure analysis","Chen, B. (TU Delft Materials and Environment)","van Breugel, K. (promotor); Ye, G. (promotor); Delft University of Technology (degree granting institution)","2023","In recent years, considerable attention has been given to the utilization of municipal solid waste incineration (MSWI) bottom ash as a mineral resource for construction materials. MSWI bottom ash is the primary residue discharged after incinerating municipal solid waste. The generation of MSWI bottom ash is increasing dramatically with the wide application of waste incineration techniques. Different methods have been proposed to improve the quality of MSWI bottom ash and make it suitable as supplementary cementitious material (SCM) or precursor for alkali-activated materials (AAM). However, there is no systemic guidance on how to select quality-upgrade treatments for MSWI bottom ash. When using MSWI bottom ash to prepare blended cement pastes and alkali-activated pastes, the optimal mix design is usually found by trial and error. Very little information is available in the literature regarding the reaction of MSWI bottom ash as SCM and AAM precursor. The contribution of MSWI bottom ash to the microstructure formation and strength development of blended cement pastes and alkali-activated pastes is not very well understood.
The goal of this research is to develop knowledge that can be used to support the application of MSWI bottom ash as a mineral resource for construction materials. Based on this knowledge, a strategy for using MSWI bottom ash produced in the Netherlands (4-11 mm) as raw material to produce blended cement pastes and alkali-activated pastes is proposed. This research consists of the following parts:
1. Quality-upgrade treatments of as-received MSWI bottom ash
As-received MSWI bottom ash cannot be used directly as SCM and AAM precursor due to its large particle size and presence of metallic aluminum (Al). Mechanical treatments consisting of grinding and sieving were studied and selected to reduce the particle size and the metallic Al content of as-received MSWI bottom ash. The effectiveness of the mechanical treatments used to reduce the metallic Al content of MSWI bottom ash is strongly influenced by the distribution of metallic Al in bottom ash particles. Most metallic Al separated during mechanical treatment comes from the coarse particles. The metallic Al embedded in the particles smaller than 0.5 mm is difficult to be removed via mechanical treatments (see Chapter 3).
2. Development and microstructure analysis of blended cement pastes and alkali-activated pastes
The reactivity and leaching potential of mechanically treated MSWI bottom ash (MBA) are studied. This information is used in the development of blended cement pastes and alkali-activated pastes. A dissolution test is proposed to assess the reactivity of MBA as AAM precursor. The reactivity of MBA as SCM and AAM precursor is similar to that of Class F coal fly ash (FA), but much lower than that of blast furnace slag (BFS). The leaching of antimony (Sb) and sulfate from MBA is above the threshold value prescribed in Dutch Soil Quality Decree. The dosage of MBA in blended cement pasts and alkali-activated pastes should be controlled to prevent excessive leaching of contaminants into the environment (see Chapter 4).
The reactivity of MBA is determined by the content and the chemical composition of its amorphous phase. The amorphous phase of MBA has a chemical composition within the same range as that of the amorphous phase of FA. Given that the reactivity of MBA is close to that of FA, previous experience with the mix design of Class F coal fly ash-based pastes is used as a reference for the mix design of MBA-based AAM. Additionally, thermodynamic modeling is used to predict the assemblage of reaction products and the composition of pore solution in alkali-activated MBA paste when changing the Na2O content in the activator. The modeling results are also used to guide the mix design of MBA-based AAM (see Chapter 4).
When water treatment and NaOH solution treatment are part of the mixture preparation procedure, the compressive strength of the blended cement pastes and alkali-activated pastes made from MBA is close to that of the pastes prepared with the same amount of FA (Chapters 5 and 6). The metallic Al that cannot be removed during mechanical treatments can be oxidized by treating MBA in water or NaOH solution at room temperature. Apart from reducing metallic Al content, water treatment and NaOH solution treatment also slightly change the mineralogical composition of MBA.
Blending water-treated MBA (WMBA) with Portland cement paste leads to changes in the reaction products and microstructure. WMBA delays clinker hydration on the first day but enhances clinker hydration at later ages. The reaction products of WMBA contribute to the strength development of blended cement pastes (see Chapter 5).
NaOH solution-treated MBA (CMBA) is used together with BFS to prepare alkali-activated pastes. CMBA retards the reaction of BFS during the first seven days but promotes the reaction of BFS at later ages. Adding CMBA into alkali-activated pastes changes the reaction products and microstructure. The reaction products of CMBA contributes to the strength development of alkali-activated pastes (see Chapter 6).
3. Environmental impact assessment of blended cement pastes and alkali-activated pastes
Compared with Portland cement paste, blended cement pastes and alkali-activated pastes prepared using MSWI bottom ash SCM and AAM precursor have lower environmental impacts, especially in the impact category of global warming (see Chapter 7).
This research deepens the understanding of the reaction of MSWI bottom ash as SCM and AAM precursor. This study also demonstrates how to use MSWI bottom ash to prepare blended cement pastes and alkali-activated pastes by considering the chemical and physical properties of MSWI bottom ash. Since the MSWI bottom ash used in this research has chemical and mineralogical compositions within the same range as the MSWI bottom ash reported in the literature, the knowledge developed in this work stimulates the utilization of MSWI bottom ash produced in other regions as SCM and AAM precursor for construction materials.
t) in a given catchment, which can be calculated via water balance from hydrological simulations. Our approach was trained on ten and tested on five urban catchments with distinct characteristics. The cGAN-Flood was compared to HEC-RAS for different rainfall magnitudes and surface roughness. We also compared our approach to the Weighted Cellular Automata 2D (WCA2D), a rapid flood model (RFM) used for rain-on-grid simulations. Our method successfully predicted water depths in the testing areas, showing that cGAN-Flood could generalize to different locations. However, cGAN-Flood tended to underestimate depths in channels in some areas for events with a small peak of precipitation intensity. cGAN-Flood was 50 and 250 times faster than WCA2D and HEC-RAS, respectively. Due to its computational efficiency and accuracy, we suggest that cGAN-Flood can be applied when fast simulations are necessary, and it can be a viable modeling solution for flood forecasts in large-scale watersheds.","Deep learning; Generative adversarial networks; Rain on grid; Rapid flood modeling","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-17","","","Sanitary Engineering","","",""
"uuid:6cf1c63c-7735-4be4-8a5f-fdf6339663cc","http://resolver.tudelft.nl/uuid:6cf1c63c-7735-4be4-8a5f-fdf6339663cc","Graph-based identification of critical elements in urban water infrastructure","Meijer, D.H. (TU Delft Sanitary Engineering)","Clemens, F.H.L.R. (promotor); Langeveld, J.G. (promotor); Delft University of Technology (degree granting institution)","2023","The purpose of this thesis was to develop and demonstrate methods for determining the criticality of elements in networks. The impact failures could have on the network performance was its primary focus. A second objective was to determine whether the developed method could be applied successfully based on network characteristics. To achieve these goals, research was conducted on factors that influence the criticality of an element, on the possibilities of applying simplified hydrodynamic processes in the determination of criticality and on the contribution of different model components to the functioning of water network models.","","en","doctoral thesis","","978-94-93315-29-7","","","","","","","","","Sanitary Engineering","","",""
"uuid:3939622b-ccb0-42b3-868f-bc0764a96b4f","http://resolver.tudelft.nl/uuid:3939622b-ccb0-42b3-868f-bc0764a96b4f","Structures, activities, and mechanisms under the spectroscopes: the quest for unveiling the nature of active sites for highly selective CO2 hydrogenation to methanol","Phongprueksathat, N. (TU Delft ChemE/Catalysis Engineering)","Urakawa, A. (promotor); Pidko, E.A. (promotor); Delft University of Technology (degree granting institution)","2023","Since the industrial revolution in the 1760s, the CO2 concentration in the atmosphere has been rising incessantly driving global warming closer to the point of no return. The world requires urgent actions to not only reduce CO2 emissions but also capture the CO2 for utilization to mitigate the future environmental crisis. CO2 hydrogenation to CH3OH offers an alternative to produce a feasible and economic substitute for oil. This technology also resembles the nearly 100 years old CH3OH synthesis processes from syngas containing H2, CO, and CO2. The conventional Cu/ZnO/Al2O3 catalyst has also been applied for more than 50 years, and its high performance stems from synergies between Cu and ZnO. However, the true nature of the interfacial sites is still extensively debated. Moreover, lower temperature and higher pressure are thermodynamically favorable for maximum CO2 conversion and CH3OH selectivity according to Le Châtelier’s principle and beneficial in terms of energy consumption and catalyst stability against sintering. The limitation in the catalytic performance of Cu/ZnO/Al2O3 in such conditions demands the exploration of novel catalysts.
Part I of this dissertation is dedicated to gaining a deeper understanding of Cu-ZnO synergistic structure as well as other Cu-based catalysts. In Chapter 2, we proposed a greener synthesis route for Cu/ZnO catalysts via urea hydrolysis of acetate precursors that can achieve comparable activity to commercial Cu/ZnO/Al2O3 catalysts without producing wastewater. Co-precipitated Cu-Zn hydroxycarbonate mineral-like precursors are crucial for a high inter-dispersion between CuO and ZnO after calcination and providing Cu-ZnO interfacial sites for the reaction. In Chapter 3, the effects of key process conditions, namely temperature and pressure, on CO2 hydrogenation over a commercial Cu/ZnO/Al2O3 catalyst were investigated using a space-resolved study. The gradients of reactants/products concentration and catalyst bed temperature within the catalytic reactor can reveal the significant effect of temperature on the dominant reaction pathways. CH3OH is formed through direct CO2 hydrogenation at low temperatures, while CH3OH formation is mediated via CO which is formed by a reverse water–gas shift reaction at a high temperature. Although pressure did not influence the reaction pathway, higher pressure helped suppress CH3OH decomposition to CO. In Chapter 4, the decisive roles of peripheral promoters to Cu nanoparticles in promoting CH3OH selectivity were elucidated. The model Cu-based catalysts (Cu-M/SiO2, M = Zn, Ga, and In) were prepared via surface organometallic chemistry (SOMC). The M+ sites played important roles in stabilizing formate species spillovered from Cu and determining the reactivity of formate hydrogenation. Improving the spillover and tuning the reactivity of formate help suppress formate decomposition to CO over Cu and ultimately boost CH3OH selectivity.
Part II is dedicated to exploring the novel catalysts for low-temperature CO¬2 hydrogenation, as well as, gaining a deeper understanding of the state-of-the-art Re/TiO2 catalyst. In Chapter 5, the bifunctionality of Re supported on TiO2 was deciphered, where metallic Re functions as the H2 activator and cationic Re as the CO2 activator. Re/TiO2 suffers from additional CH4 formation, and the active intermediates and reaction pathways for CH3OH and CH4 were identified. Understanding the nature of active sites and reaction mechanisms over Re/TiO2 led to approaches for CH4 selectivity mitigation in Chapter 6. Exploring various transition metals under low-temperature conditions provided insights into the formate stabilization of the coinage metals (Cu, Ag, and Au). Since the balance between metallic and cationic Re limited the CH3OH selectivity of Re/TiO2, the addition of Ag complemented the role of cationic Re. A synergistic interplay between Ag and Re did not only improve CH3OH selectivity significantly by suppressing intermediates in the reaction pathways toward CH4 but also exhibited superior stability.
Finally, the dissertation conveys a message that obtaining the definitive synthesis of well-defined active sites, expansive structure-activity relationships, and comprehensive reaction mechanisms are the major prerequisites for the rational design of novel catalysts.
Numerous studies have been reporting on the autogenous healing of cracks in cement-based materials. However, an active or rapid micro-crack healing is not always the case in the most critical parts of exposed structures. In this thesis, a new formulation of cement-based materials, by integrating selected bacteria and suitable organic mineral precursor compounds, was used to investigate its potential for enabling multiple crack healing events on load-induced cracked and pre-cracked concrete samples. For this purpose, chloride ingress in concrete subjected to compressive loading was investigated through laboratory experiments. Furthermore, investigation was also carried out on cracked mortar under chloride and carbon dioxide environments for healing-potential evaluation....","bacterial concrete; self-healing concrete; microcracks; chloride; combined-load","en","doctoral thesis","","","","","","","","","","","Materials and Environment","","",""
"uuid:0ba71b5c-56c3-4830-bc5d-506538d045a3","http://resolver.tudelft.nl/uuid:0ba71b5c-56c3-4830-bc5d-506538d045a3","Accelerated Discovery of Electrocatalysts for Electrochemical Ammonia Synthesis","Kolen, M. (TU Delft ChemE/Materials for Energy Conversion and Storage)","Mulder, F.M. (promotor); Smith, W.A. (copromotor); Delft University of Technology (degree granting institution)","2023","Ammonia synthesis via the direct nitrogen reduction reaction mechanism has the potential to be more flexible in production level and scale of operation and may enable cost reductions compared to alternative technologies for green NH3 synthesis. For the research field to advance to higher Technology Readiness Levels selective electrocatalysts that promote the reaction over the competing hydrogen evolution reaction are needed. The aim of this work was to build tools that enable the development of selective electrocatalysts for NRR with high NH3 production rate. We have identified two limitations in the workflow which is typically used to test promising materials for NRR activity, that hindered the development of selective electrocatalysts thus far: 1) NRR activity measurements are found to be unreliable due to NH3 contaminations and 2) the experimental throughput of the workflow is too slow to enable rapid progress, due to single catalyst studies that require elaborate ammonia detection and calibration methods. In Chapter 2 and 3 we systematically analyzed the steps involved in an NRR activity measurement to develop alternative methods that overcome these limitations. For even more effective NRR catalyst development, we explored in Chapters 4 and 5 how to accelerate the experimental workflow even further by enabling combinatorial catalyst screenings and by carrying out experiments under thermodynamically more favourable conditions for NRR, respectively.....
Refurbished products are collected after being used, tested, cleaned, and restored into an acceptable state, and subsequently, they are resold. Yet, lowering the environmental impact of consumption by using refurbished products requires that refurbished products are acquired instead of new ones. However, refurbished products are not as desirable to consumers as new products, which has the consequence that they have
lower purchase intentions and are willing to pay less for them.
The aim of this thesis is to understand consumer acceptance of refurbished products and how designers can enhance their desirability. Thus far, marketing strategies, aiming to improve consumer adoption of refurbished products have focused on minimizing the risks associated with refurbished products and underlining their benefits. Refurbished products are, for example, often offered at a lower price than new products and with a warranty. A central issue of these marketing strategies is that they are peripheral to the product, are not applicable to all product categories, and are not appropriate for all consumers. While they can improve the trade-off for refurbished products, they do not help to keep the product at its highest material and economic value.
In this dissertation, we, therefore, explore the main research question: how can designers enhance consumer acceptance of refurbished products by design?","","en","doctoral thesis","","978-94-6366-657-2","","","","","","","","","Marketing and Consumer Research","","",""
"uuid:12ea5e27-aa8b-4cae-9da5-1994241a8604","http://resolver.tudelft.nl/uuid:12ea5e27-aa8b-4cae-9da5-1994241a8604","Leren van Zink? De rol van grensmateriaal in tegenspel","van Middelkoop, C. (TU Delft Human Information Communication Design); Van Harn, Rachelle","Buizer, Marleen (editor); Schueler, Judith (editor); van Arkel, Levinia (editor)","2023","","","nl","book chapter","Accelerating the Circular Economy Zuid-Holland (ACCEZ)","","","","","","","","","","Human Information Communication Design","","",""
"uuid:0ef14921-08f4-4f5f-af73-38914755f47f","http://resolver.tudelft.nl/uuid:0ef14921-08f4-4f5f-af73-38914755f47f","Equilibrium seeking in games under partial-decision information","Bianchi, M. (TU Delft Team Sergio Grammatico)","Grammatico, S. (promotor); De Schutter, B.H.K. (copromotor); Delft University of Technology (degree granting institution)","2023","The topic of this dissertation is the distributed computation of Generalized Nash Equilibria (GNEs) in multi-agent games with network structure. In particular, we design and analyze algorithms in the partial-decision information scenario (also named fully-distributed algorithms), where each agent can only rely on the information received by some neighbors over a communication graph, although its cost function depends on the actions of possibly all the competitors. This setup is motivated by engineering applications with no central system coordinator, for instance multi-agent autonomous driving or coverage control. While the agents can estimate the unknown variables via local data exchange and consensus protocols, the estimation error introduces critical challenges in the development of algorithms. In fact, the existing schemes for GNE seeking under partial-decision information suffer important limitations, as to performance and conditions to guarantee convergence. In this perspective, this thesis advances the theoretical understanding of games in the partial-decision information scenario, and provides a broad tool kit for designing efficient algorithmic solutions, suitable to cope with complex network interaction and dynamic coupling.","","en","doctoral thesis","","978-94-6366-654-1","","","","","","","","","Team Sergio Grammatico","","",""
"uuid:ffa68da2-cd02-45a8-b4bc-99a289638571","http://resolver.tudelft.nl/uuid:ffa68da2-cd02-45a8-b4bc-99a289638571","Experimental Investigation and Lattice Modelling of 3D Printed Concrete Buildability quantification and early-age creep behaviour","Chang, Z. (TU Delft Materials and Environment)","Schlangen, E. (promotor); Šavija, B. (copromotor); Delft University of Technology (degree granting institution)","2023","For some decades now, additive manufacturing has been a revolutionary technology which generates enormous interest in both industrial and academic applications. 3D concrete printing (3DCP), an automated construction method, is able to manufacture the computer-designed model through material deposition. This innovative technique can considerably accelerate the construction process, and make it economically and technically feasible to implement complex structural elements in practice. Although this technique shows a promising future, full adoption in construction sector is still far from possible due to the absence of fundamental knowledge about printable material and structural analysis during or after printing process....","3D concrete printing; lattice; early-age creep; buildability quantification","en","doctoral thesis","","978-94-6366-658-9","","","","","","","","","Materials and Environment","","",""
"uuid:a5637eae-904b-4a52-a5fd-c5b3762a62fe","http://resolver.tudelft.nl/uuid:a5637eae-904b-4a52-a5fd-c5b3762a62fe","Factors Influencing Business-to-Government Information-Sharing Arrangements: Understanding system architectures and governance structures in information-sharing","Praditya, D.","Janssen, M.F.W.H.A. (promotor); Bharosa, Nitesh (promotor); Delft University of Technology (degree granting institution)","2023","The urgent need to improve public services and increase the adoption of cutting-edge technology in public organizations has promoted and encouraged collaboration between private and public organizations, thus allowing for more information to be shared between both parties. However, many issues arise that hinder the implementation of information-sharing, ranging from a lack of information quality to organizational resistance to sharing information due to uncertainty of the benefits or a lack of top-level management support. To address these challenges and to realize the benefits of business-to-government (B2G) information-sharing, it is necessary to understand how to arrange B2G information-sharing.
This research contributes scientifically and practically to the B2G information-sharing domain by proposing the concept of information-sharing arrangements through system architecture and governance structure lenses and analyzing the factors that influence such arrangements. The discussions include when to use a centralized topology or in what situations decentralized information-sharing is preferred, why there are mandatory and voluntary information-sharing, and in which situation consensus-based or hierarchical-based decision-making are needed. In addition, the role of trust among sharing partners, technological requirements, organizational readiness, and other factors identified as potentially influencing information-sharing arrangements were also discussed.
By understanding the arrangements and factors influencing them, B2G information-sharing actors can select the most suitable arrangements and potentially increase the adoption of information-sharing initiatives.","information-sharing; system arrangements; system architecture; governance structure; Inter-organizational system","en","doctoral thesis","","978-94-6384-422-2","","","","","","","","","Information and Communication Technology","","",""
"uuid:b2156264-39f4-4a8d-a34d-35e5a21d38e9","http://resolver.tudelft.nl/uuid:b2156264-39f4-4a8d-a34d-35e5a21d38e9","Sailing through fluid mud: Verification and Validation of a CFD model for simulations of ships sailing in muddy areas","Lovato, S. (TU Delft Rivers, Ports, Waterways and Dredging Engineering)","van Rhee, C. (promotor); Keetels, G.H. (copromotor); Delft University of Technology (degree granting institution)","2023","The increasing size of today's ships is a major concern for navigation in confined waters. In order to ensure safe manoeuvres, port authorities prescribe, among others, a minimum under-keel clearance that must be maintained by the ships during navigation. However, the seabed of ports situated at the estuaries or along rivers is often covered by mud as a result of sedimentation. Hence, while the position of a solid bottom is clearly defined and can be easily detected by sonar techniques, the presence of deposited sediments makes the definition of ""bottom"" and ""depth"" less clear. This also poses some questions on the optimal dredging strategy to adopt to minimise maintenance costs while ensuring the required safety.
For practical reasons, port authorities define the (nautical) bottom as the level where the mud reaches either a critical density or a critical yield stress (i.e. the shear stress below which the fluid behaves as a solid-like material). However, an optimal choice that minimises dredging activities while preserving the required safety shall also take into account the behaviour of ships. As the understanding of the link between mud rheology and ships' controllability and manoeuvrability with muddy seabeds is rather limited, this research project was started. With the rapidly increasing power of today's computers, Computational Fluid Dynamics (CFD) has become a viable option to study this problem.
The CFD code selected for this research is a multi-phase viscous-flow solver developed, verified and validated exclusively for maritime applications. As such, it was originally developed for Newtonian fluids only. Since mud exhibits a non-Newtonian rheology, the `step zero' of this research was to implement the Herschel-Bulkley model, which allows to numerically simulate two important flow features of mud, i.e. its shear-thinning and viscoplastic behaviour. Other rheological characteristics, such as thixotropy, were not considered in this study as they are deemed of minor importance at this stage.
The next step was concerned with ensuring that the modification of the flow solver to account for the non-Newtonian rheology of mud was correct. This was done by using the Method of Manufactured Solutions (MMS), which allows to rigorously verify the code against user-defined exact solutions. The verification exercises showed that the code performs as intended for both single- and two-phase flows of Herschel--Bulkley fluids. The illustrated procedure can be readily adapted to verify the correct implementation of other rheological models that may be implemented in the future. In this case, it is recommended to examine, in addition to the grid convergence of velocity and pressure, also the grid convergence of the apparent viscosity as the latter is particularly sensitive to coding mistakes related to the implementation of the new rheological model.
While code verification ensured that the Herschel--Bulkley model was correctly implemented, obtaining fully-converged solutions for realistic non-Newtonian problems may still be difficult. The non-Newtonian solver has thus been tested on the laminar flow of Herschel-Bulkley fluids around a sphere, as the latter is the simplest three-dimensional flow exhibiting features that are typical of the flow around ships, such as boundary layer development and flow separation. Although obtaining a fully-converged solutions was indeed challenging, it was possible to replicate data from the literature with good accuracy. This provided confidence to employ the CFD code to simulate ships sailing through fluid mud.
The verification of the CFD code was followed by validation of the mathematical model. The problem of a ship sailing through fluid mud was simplified into a simpler one, i.e. a plate moving through homogeneous mud as to mimic a portion of the hull penetrating the mud layer. The objective was to investigate the accuracy of the (regularised) Bingham model (which is a special case of Herschel-Bulkley) to predict the frictional forces on a plate moving through mud. The comparison between experimental and numerical data showed that the ideal Bingham model well captures the relative increase in the resistance due to the increase in the mud concentration but, at low speed, it tends to over-predict the resistance. On the other hand, choosing a lower regularisation parameters seem more favourable, both from the numerical and physical perspective. In fact, this research showed that better predictions at low speed were achieved by using lower regularisation parameters that were determined from the first points in the mud flow curves. It should be noted, however, that the thixotropy of mud and possible deflections of the plate during the experiments may prevent drawing definitive conclusions.
Finally, one question arising when simulating a ship sailing through a non-Newtonian fluid is how accurate are standard Reynolds-Averaged Navier-Stokes (RANS) models, which are developed for Newtonian fluids, when applied to non-Newtonian flows. In the last step of this dissertation, the accuracy of three RANS models was assessed against published Direct Numerical Simulations (DNS) data for pipe flows. From this study it was concluded that, among the three tested Newtonian RANS models, the SST model produced the best predictions and it is reasonably accurate for weakly non-Newtonian fluids and for high Reynolds numbers. In addition, a new RANS model, labelled SST-HB, has been developed. The new model showed good agreement with DNS of pipe flows in the mean velocity, average viscosity, mean shear stress budget and friction factors. However, the new RANS model was calibrated and tested for pipe flows only, a relatively simple internal-flow problem. Hence, the applicability of the new model to complex external flows, such as the flow around a ship, still requires further investigations. Furthermore, RANS simulations with some realistic mud conditions predicted laminar flow in the mud layer. In this case, the use of the standard SST model is recommended.
The developed and tested CFD code, together with other insights provided by this research, can be used in the future to both numerically investigate the effect of mud on ships and to obtain the hydrodynamic coefficients for manoeuvring models. These models could then be used in real- and fast-time simulators for research and commercial purposes, but also for pilots training.
This challenge is especially pronounced in so-called cyber-physical systems (CPSs), in which digital automation is used to coordinate the actions of one or more physical systems. Examples of CPSs are airplanes, robotic arms or the power grid. Such CPSs have the combined advantages of the physical and cyber world, but are also subject to both threats to safety and security. In fact, the integration of physical and cyber parts in a CPS means that security issues can cause safety issues, and although less common safety issues can cause security issues.
Measures for safety and security of CPSs are categorized as prevention, resilience, and detection & accommodation. These different types of precautions can be used independently, but typically they need to be combined to provide adequate safety and security of a CPS. In this dissertation, three advances within safety and security of CPSs are presented which cover contributions on each of the different types of safety and security measures. Firstly, anomaly detection is addressed by extending existing sliding mode observer (SMO) based anomaly estimation methods with detection capability. To this end, two SMO based anomaly detectors are presented, which are applicable to a large class of SMOs. These detectors, by design, have no false alarms and allow for strong theoretical guarantees on detectability.
Secondly, a topology-switching coalitional control technique which integrates resilience, detection and accommodation is designed for safe control of a collaborative vehicle platoon (CVP) subjected to man-in-the-middle (MITM) cyber-attacks. Here resilience to undetected attacks is achieved by means of scenario-based model predictive control (MPC) and detected anomalies are accommodated by disabling the affected communication links. Lastly, a real-time implementation of encrypted control based on fully homomorphic encryption (FHE) is presented. FHE allows for manipulation of encrypted data, such that it can prevent confidentiality breaches during communication and computation.
Each contribution of this dissertation addresses a specific topic within safety and security of CPSs. By doing so, they demonstrate the potential of these methods to increase safety and security of CPSs while minimizing their impact on normal behaviour. This will promote the adaptation of safety and security measures and allows for safety and security throughout the continued progress in automation.","Safety & Security; Sliding Mode Observer; Coalitional Control; Homomorphic Encryption; Collaborative Vehicle Platoon","en","doctoral thesis","","978-94-6384-411-6","","","","","","","","","Team Riccardo Ferrari","","",""
"uuid:0e03913c-898e-4392-8de5-072a7ead7fd6","http://resolver.tudelft.nl/uuid:0e03913c-898e-4392-8de5-072a7ead7fd6","Optimal Mixing Evolutionary Algorithms for Large-Scale Real-Valued Optimization: Including Real-World Medical Applications","Bouter, P.A. (TU Delft Algorithmics; Centrum Wiskunde & Informatica (CWI))","Bosman, P.A.N. (promotor); Alderliesten, T. (copromotor); Delft University of Technology (degree granting institution)","2023","In recent years, the use of Artificial Intelligence (AI) has become prevalent in a large number of societally relevant, real-world problems, e.g., in the domains of engineering and health care. The field of Evolutionary Computation (EC) can be considered to be a sub-field of AI, concerning optimization using Evolutionary Algorithms (EAs), which are population-based (meta-)heuristics that employ the Darwinian principles of evolution, i.e., variation and selection. Such EAs are historically mainly considered for the optimization of difficult, non-linear problems in a Black-Box Optimization (BBO) setting, because EAs can effectively optimize such problems even when very little is known about the optimization problem and its structure. This is in contrast to optimization methods that are specifically designed for certain problems of which the definition and structure are known, i.e., a White-Box Optimization (WBO) setting.","Evolutionary Algorithms; Gene-pool Optimal Mixing; Gray-box optimization; Large-scale optimization; Real-valued optimization; Multi-objective Optimisation; Graphics Processing Unit (GPU); CUDA; Brachytherapy; Treatment planning; Deformable image registration","en","doctoral thesis","","978-94-6366-648-0","","","","","","","","","Algorithmics","","",""
"uuid:9e4a11a1-e7cb-4c56-b69c-a1ee0a502f0f","http://resolver.tudelft.nl/uuid:9e4a11a1-e7cb-4c56-b69c-a1ee0a502f0f","Computational models for clinical drug response prediction: aligning transcriptomic data of patients and pre-clinical models","Mourragui, S.M.C. (TU Delft Pattern Recognition and Bioinformatics)","Wessels, L.F.A. (promotor); Reinders, M.J.T. (promotor); Loog, M. (promotor); Delft University of Technology (degree granting institution)","2023","Extensive efforts in cancer research over the past decades have markedly improved diagnosis and treatments, leading to better outcomes for cancer patients. Paradoxically, however, these discoveries have begun to shed light on a level of complexity that rules out the emergence of a universal cancer treatment. As any tumor is now known to be essentially a unique disease, clinicians and researchers are moving towards a new paradigm, termed “precision medicine”, which consists of designing bespoke lines of treatment for each patient.
This paradigm-shift has been fueled by international consortia that have characterized large collections of tumors, thereby providing a vast reference for cancer heterogeneity. Two main strategies have been employed: sequencing of tumor biopsies directly extracted from patients or studying pre-clinical models, i.e., tumor cells cultured in artificial environments. While the first strategy generates clinically faithful data, the second strategy is flexible and cost-effective, and allows for the study of effects of various drugs at different concentrations.
Based on the large amount of data generated from pre-clinical models, computer
scientists have developed various machine learning algorithms to model drug response based on these data. However, these models do not take into account the complexity of human tumors and the differences between model systems and human tumors, and are therefore not directly applicable in a clinical setting. In this thesis, we aim at bridging this gap. Specifically, we develop algorithms to integrate and align data generated from the two aforementioned strategies with a goal to predict drug response in patients from datasets generated using pre-clinical models.","cell lines; pre-clinical models; translational; cancer; transfer learning; machine learning; gene expression; predictive models; drug response; single cell","en","doctoral thesis","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:16e5e2f5-e491-4f86-8a47-6ee0c9ffd000","http://resolver.tudelft.nl/uuid:16e5e2f5-e491-4f86-8a47-6ee0c9ffd000","Development of highly scattering distributed fibre optic sensing for structural health monitoring","Wang, X. (TU Delft Structural Integrity & Composites)","Groves, R.M. (promotor); Benedictus, R. (promotor); Delft University of Technology (degree granting institution)","2023","In this thesis, fibre optic sensing has been investigated as an important technique for structural health monitoring. Distributed fibre optic sensing based on Rayleigh scattering is a fibre optic sensing technique to achieve the spatially continuous strain monitoring for critical locations for the structures. However, the Rayleigh backscattering intensity in commercial optical fibres is low which is a limitation to Rayleigh scattering based fibre optic sensing. In recent years, methods to improve the intensity of the backscattered light in optical fibres have been proposed. By doping nanoparticles into the optical fibre, the backscattered light increases dramatically. Then, the signal-to-noise ratio may increase which would be beneficial for strain measurement with this Rayleigh scattering based method for structural health monitoring. The main research question is ’how can the enhancement of light scattering used in distributed fibre optic sensing be an advantage for structural health monitoring’. The aim of this research is to develop the enhancement of light scattering in the distributed fibre optic sensing as an advantage for structural health monitoring. Gold spherical nanoparticles were chosen as the contrast agents for backscattered light enhancement. The spectral characteristics (light intensity, spectral shift, etc.) have been investigated in detail in this thesis. In this dissertation, firstly, a model of light scattering by gold nanoparticles at optical fibre interfaces was proposed to overcome the difficulty of manufacturing nanoparticle doped optical fibre in an optical laboratory. Gold nanoparticle liquids were dropped to the optical fibre interfaces to evaluate the backscattered light levels from the nanoparticles. Secondly, a model of light scattering by gold nanoparticles in the core of the optical fibres was proposed and an optimisation of light scattering enhancement by gold nanoparticles in fused silica optical fibres was investigated. By comparing the models of light scattering by gold nanoparticles in the core of the optical fibres and at optical fibre interfaces, the relationship between them has been built to evaluate the light scattering level in the optical fibre from the results obtained from the optical fibre interfaces. Then, the characteristics of the backscattered light spectra from the nanoparticle doped optical fibres and the characteristics of the spectral shift under axial strain were investigated. The backscattered light spectral shifts have been compared with the cases of commercial optical fibres and fibre Bragg gratings. A case study of strain acquisition of gold nanoparticle doped distributed optical fibre sensing based on backscattering was investigated with different typical gauge lengths and spectral ranges. Different noise levels were applied to the spectra to analyse the influence on the strain acquisition with signal-to-noise ratio improvement. Lastly, due to the use of gold as the material for nanoparticles, plasmon resonance is induced by gold nanoparticles. The plasmon resonance based gold nanoparticle doped optical fibre strain sensing was studied to make it a potential auxiliary strain detection method along with distributed fibre optic sensing based on Rayleigh scattering.","optical fibre sensor; structural health monitoring; strain sensing; nanoparticle; light scattering","en","doctoral thesis","","978-94-6366-663-3","","","","","","2024-03-13","","","Structural Integrity & Composites","","",""
"uuid:6aded12b-5a15-45ba-aba6-a19536388d39","http://resolver.tudelft.nl/uuid:6aded12b-5a15-45ba-aba6-a19536388d39","Open Source Urbanism: A design method for cultivating information infrastructures in the urban commons","Zhilin, S. (TU Delft Organisation & Governance)","Janssen, M.F.W.H.A. (promotor); Klievink, A.J. (promotor); Delft University of Technology (degree granting institution)","2023","Open Source Urbanism (OSU) emerges as citizens self-organise to alter their urban environments by creating Do-It-Yourself (DIY) urban prototypes and sharing their design manuals on the internet. The examples of urban prototypes might vary from built structures, such as street furniture and urban gardening equipment, to decentralised energy designs and IT artefacts. They emerge as a natural response of citizens to perceived problems in their urban environments. Urban prototypes are designed, paid for, and implemented by self-organised citizens instead of developed by public or private companies and bought on the market. Whereas companies’ staff consist commonly of professionals, and the products are thoroughly tested and standardised to comply with all possible governmental regulations, urban prototypes are incomplete, as they embody the ongoing experimentation of citizens with their urban environments. Furthermore, amateur designers might have limited experience or background in this area.","","en","doctoral thesis","","978-94-6384-407-9","","","","","","","","","Organisation & Governance","","",""
"uuid:2d76b1f1-a60e-48e0-acbf-051628a76da7","http://resolver.tudelft.nl/uuid:2d76b1f1-a60e-48e0-acbf-051628a76da7","Monitoring the deformation behavior of an immersed tunnel with Distributed Optical Fiber Sensor (DOFS)","Zhang, X. (TU Delft Geo-engineering)","Gavin, Kenneth (promotor); Broere, W. (copromotor); Delft University of Technology (degree granting institution)","2023","","Distributed optical fiber sensor (DOFS); Immersed tunnel; Joint deformation; Daily deformation behavior; Tide impacts; Seasonal deformation behavior; Safety andmaintenance","en","doctoral thesis","","978-94-6384-412-3","","","","","","2024-07-01","","","Geo-engineering","","",""
"uuid:df45d4e5-0504-470e-b7e6-0fa3d5231709","http://resolver.tudelft.nl/uuid:df45d4e5-0504-470e-b7e6-0fa3d5231709","A framework to identify and coordinate responsibilities in industrial research and innovation","Sonck, M.M. (TU Delft BT/Biotechnology and Society)","Osseweijer, P. (promotor); Asveld, L. (promotor); Delft University of Technology (degree granting institution)","2023","This doctoral thesis investigates the concept of responsibility in the setting of industrial research and innovation (R&I). Companies have multiple responsibilities in society: profit generation for shareowners, legal and contractual liabilities, as well as socially and morally binding obligations beyond legal compliance. These responsibilities coexist in R&I, and at times, stand in conflict with each other. Moreover, the radical uncertainty of innovation activity raises dilemmas with regard to responsibility. For instance, can R&I practitioners be held responsible for those future impacts of their innovation that still remain unknown at the time of R&I? Furthermore, how should such responsibility be distributed between developers (R&I), enablers (funders, regulators) and appliers (users) of the innovation? To address such questions, the broad notion of responsibility first needs to be opened up, to distinguish between its different meanings and elements. This thesis develops a framework that supports identification and coordination of various responsibilities in the inherently uncertain R&I settings. The main research question of the thesis is: How do different elements of responsibility become identified and carried out in R&I? As outcome, this thesis will present a meta-responsibility map: A tool for industrial R&I teams and consortia to reflect on their responsibilities, in situations such as goalsetting, problem-solving, decision-making, and stakeholder interaction.","Research and innovation; Responsibility; Biobased sector; innovation management; Innovation ecosystems; Responsible research and innovation (RRI)","en","doctoral thesis","","","","","","","","","","","BT/Biotechnology and Society","","",""
"uuid:323b614e-c766-4c15-8fff-8d4890c61806","http://resolver.tudelft.nl/uuid:323b614e-c766-4c15-8fff-8d4890c61806","A Data-Driven Approach to Disaster Resilience in Communication Networks","Oostenbrink, J. (TU Delft Networked Systems)","Kuipers, F.A. (promotor); Langendoen, K.G. (promotor); Delft University of Technology (degree granting institution)","2023","Communication networks are critical in business, government, and even our day-to-day life. A prolonged communication outage can have devastating effects, particularly during and after a disaster. Unfortunately, our communication infrastructure is still vulnerable to natural disasters and other events that damage multiple network components within a confined area. In this thesis, we study the disaster resilience of communication networks. We propose scalable, data-driven methods to help stakeholders both assess and improve the resilience of networks to disasters.
We first study the global risk of earthquakes to Internet Exchange Points (IXPs). We find that many facilities are at risk of earthquakes and that, when an earthquake occurs, it is not unlikely that multiple facilities will fail simultaneously. Fortunately, our analysis also shows that larger IXPs tend to be located in less earthquake-prone areas, and that peering at multiple facilities significantly reduces the impact of earthquakes to IXPs and autonomous systems. To help network operators in reducing the impact of earthquakes on their autonomous systems, we propose a novel metric for selecting peering facilities, based on the probability of simultaneous facility failures. We show that applying our metric can significantly increase the resilience of individual autonomous systems, as well as that of the Internet as a whole.
To effectively improve the resilience of communication networks to natural disasters, stakeholders need to make well-informed trade-offs between costs, network performance, and network resilience. To help stakeholders make these decisions, we propose a single-disaster and a successive-disaster framework for assessing the resilience of a network to natural disasters. These frameworks can help stakeholders anticipate potential disasters, and compare the effects of any trade-off on the resilience of their networks.
The main principle behind both frameworks is to assess the disaster resilience of a network based on a large set of representative disaster scenarios (called the disaster set). This approach is flexible with respect to the underlying disaster dataset, and can be applied to datasets of widely varying sizes and properties. Our single-disaster framework allows one to efficiently compute the distribution of a network performance metric, assuming that a single, random disaster strikes the network and damages one or more network components in a confined area. Our method speeds up computation by first computing the distribution of the state of the network after a random disaster (the number of possible states tends to be much smaller than the disaster set itself), and only then computing the performance of the network in each of these states.
In addition to studying the impact of a single disaster on a network, we also address the issue of successive disasters. We first define the concept of successive disasters: a subsequent disaster that strikes the network while the damage due to a previous disaster is still being repaired. We then propose a framework capable of modeling a sequence of disasters in time, while taking into account recovery operations. We develop both an exact and a Monte Carlo method to compute the vulnerability of a network to successive disasters and find that the probability of a second disaster striking the network during recovery can be significant even for short repair times.
Our successive disaster framework can not only be applied to subsequent disasters, but also to potential follow-up attacks. Experiments on two network topologies show that even small targeted attacks can greatly aggravate the network disruption caused by a natural disaster. Fortunately, we find that this effect can be mitigated - at almost no cost to network performance - by adopting a calculated repair strategy that takes into account the possibility of follow-up attacks.
In addition to providing methods for assessing the resilience of networks, we also provide algorithms for improving the resilience of networks to natural disasters. These algorithms can help stakeholders (1) recover network functionality more effectively in the initial period after a disaster, and (2) reduce the initial impact of a disaster on network performance.
After a disaster, a network operator can quickly restore some functionality by replacing nodes with temporary emergency nodes. These emergency nodes should be deployed as soon as possible. However, selecting an optimal set of replacement nodes is computationally intensive, and the complete state of the network might still be unknown after the disaster. Thus, we propose selecting a disaster strategy a priori - before the occurrence of the disaster. We give an algorithm for evaluating such strategies, by extending our single-disaster assessment framework.
An effective, but costly, method of improving the disaster resilience of a network is to add new, geographically redundant, cable connections. These redundant connections ensure that more areas remain connected after a disaster strikes the network, and thus reduce the initial impact of the disaster on the network. We provide algorithms for finding cable routes that minimize a function of disaster impact and cable cost under any disaster set. Since this problem is NP-hard, we give an exact algorithm, as well as a heuristic, for solving it.","Network Resilience; Natural Disasters; Regional Failures; Geographically Correlated Failures","en","doctoral thesis","","978-94-6384-410-9","","","","","","","","","Networked Systems","","",""
"uuid:cc6cd71d-d46c-4db6-8f55-38ca841391f9","http://resolver.tudelft.nl/uuid:cc6cd71d-d46c-4db6-8f55-38ca841391f9","Dry Aerosol Direct Writing for Selective Nanoparticle Deposition","Aghajani, S. (TU Delft Precision and Microsystems Engineering)","Tichem, M. (promotor); Accardo, A. (promotor); Delft University of Technology (degree granting institution)","2023","Microprocessors, long-lasting batteries, and sensors are a number of examples of nanotechnology revolutionising our daily lives. Nanotechnology is the study, development, and manufacturing of structures and devices which derive unique and novel properties from nanoscale phenomena. To realise such structures and devices, a set of processes summarised under the term ’nanomanufacturing’ (NM) is required to fabricate at the nanoscale. NM includes a wide range of strategies and methods where nanoparticles (NPs) serve as one of the building blocks. Therefore, NP manipulation is essential to addressing the desired applications. Because of their flexibility and efficiency, direct writing (DW) methods have received considerable attention in many studies. With nanoparticle direct writing, patterns and features can be created locally on a surface without the need for lithography processes. Inkjet printing (IJP) and aerosol jet printing (AJP) are widely used DW NP deposition methods for creating patterns with a resolution of less than 100 μm. Both these methods deposit NP from the liquid phase and employ a variety of chemical agents, which can lead to contamination, affecting the properties of the film. Additionally, due to liquid-substrate interaction, high-resolution NP deposition using wet techniques necessitates proper surface modification. Compared to NP liquid-phase-based approaches, dry methods do not involve any chemical agent, thus reducing the possibility of contamination. To use dry-synthesised NPs in a direct-writing method, particles in a gas flow should be focused and deposited on a substrate. The main challenge in fabricating high-resolution patterns employing dry-synthesised NPs is the deposition of fine NPs (<100 nm) from the gas flow onto a defined location or region on the substrate due to their extremely small size and lower relaxation time (time required for a particle to adjust its velocity to a new condition). This dissertation presents a novel, simple, and solvent-free method for selective NP deposition on various substrates, enabling the DW of NPs...","Nanoparticle; Dry aerosol direct-writing; Aerodynamic focusing; surface-enhanced Raman scattering (SERS); Thermal Treatment","en","doctoral thesis","","978-94-6384-408-6","","","","","","","","Precision and Microsystems Engineering","","","",""
"uuid:62782ffc-5958-4eff-b429-545c34405200","http://resolver.tudelft.nl/uuid:62782ffc-5958-4eff-b429-545c34405200","Quantifying cybercriminal bitcoin abuse","Oosthoek, K. (TU Delft Cyber Security)","Lagendijk, R.L. (promotor); Smaragdakis, G. (copromotor); Delft University of Technology (degree granting institution)","2023","Cybercrime is negatively impacting everybody. In recent years cybercriminal activity has directly affected individuals, companies, governments and critical infrastructure. It has led to significant financial damage, impeded critical infrastructure and harmed human lives. Defending against cybercrime is difficult, as persistent actors perpetually hunt for soft spots in Internet-connected systems, which exist due to either lax vulnerability management or for convenience, complicating adequate detection and mitigation. Cybercriminal actors are financially motivated and for their doings and dealings they rely on Bitcoin. Alternatives exist, but Bitcoin has proven to be the most liquid digital currency, meaning it is easy to swap and to conceal illicit transactions. The magnitude of many cybercriminal activities is largely unknown. However Bitcoin runs on a blockchain - an open, dentralized ledger, allowing virtually everyone to analyze financial transactions, as opposed to traditional banking. Furthermore, contrary to popular belief Bitcoin is pseudonymous, not anonymous and several techniques exist to identify illicit activity. In this thesis, we illuminate three cybercriminal ecosystems that did not receive significant prior research attention: Bitcoin exchange heists, ransomware and single-vendor shops in the Dark Web. For each of these, we gather datasets from open sources. We first focus on the technical behavior and financial impact of attacks on Bitcoin exchange platforms. We also highlight the ransomware ecosystem, showing how it moved from small to large-scale attacks with similar financial impact. We further focus on how small shops in the Dark Web generate significant revenue with niche illicit activity. To understand the financial impact within each of these ecosystems, we analyze associated financial transactions. We also apply heuristics to discover additional Bitcoin addresses controlled by the same actor. We observe that cybercriminal actors successfully extract millions of funds from Bitcoin exchanges through relatively low-level attack vectors. When compared with traditional financial institutions, the lack of sophistication of attacks and the accompanying financial impact is unprecedented. In our analysis of ransomware, we observe attackers have shifted from attacking individual users resulting in relatively small ransom amounts to targeting large organizations with significant financial resources, resulting in multimillion ransom payments. We also find that with this shift, attackers have also improved their operational security in address usage and money laundering. For Dark Web shops, we found that this relatively uncharted territory of the Dark Web as compared to the bigger marketplaces specializes into niches such as sexual abuse material and various forms of financial crime. To allow for future research in this area, we introduce a methodology to estimate illicit revenue based on web scrape results and cluster these on category.","Bitcoin; Cybercrime; Cybersecurity","en","doctoral thesis","","","","","","","","","","","Cyber Security","","",""
"uuid:080081a5-8554-4ebf-80e6-06e3fb81696c","http://resolver.tudelft.nl/uuid:080081a5-8554-4ebf-80e6-06e3fb81696c","Werken aan perspectief voor nieuwe generaties op het platteland","Korthals Altes, W.K. (TU Delft Urban Development Management)","","2023","Je zult maar jong zijn op het platteland. Is er dan nog een perspectief voor de toekomst, nu we ons in het oog van de storm van talloze crises bevinden? Tijdens een studiemiddag van de TU Delft kwamen de dromen van de nieuwe generaties aan bod, evenals de praktische wetten en bezwaren. Willem Korthals Altes rapporteert.","","nl","report","Gebiedsontwikkeling.nu","","","","","","","","","","Urban Development Management","","",""
"uuid:e75408f4-b1f3-446a-bfd5-19d9465f7038","http://resolver.tudelft.nl/uuid:e75408f4-b1f3-446a-bfd5-19d9465f7038","Electrochemical Ammonia Synthesis: Hydrogen Permeable Electrodes as Alternative Pathway for Nitrogen Reduction","Ripepi, D. (TU Delft ChemE/Materials for Energy Conversion and Storage)","Mulder, F.M. (promotor); Smith, W.A. (promotor); Delft University of Technology (degree granting institution)","2023","In the last century, the indiscriminate use of fossil energy to power the industrial revolution and technological progress of humankind, has led to the depletion of limited natural resources and, most importantly, the emission and accumulation of alarming levels of pollutants and greenhouse gases (GHG) in the atmosphere. One of the major consequences of these emissions is the climate crisis that we are currently facing. As our society is in constant need for energy to live and progress, we are urged to find more sustainable and renewable energy sources, and to decrease the environmental impact of industrial processes. Electrochemistry can be used to temporary store intermittent renewable electricity, to then be reconverted back to electrons, or it can be used to produce chemicals. As such, the electrification of the chemical industry offers the opportunity to reduce its GHG footprint. The variable supply of renewable electricity can be used by the chemical industry to generate artificial fuel and feedstock. In this way, the synergy between the chemical industry and the energy sector can boost market access, scale and competitiveness.
In particular, this thesis focuses on one of the largest processes in chemical industry, i.e. the ammonia production. An introduction on the topic is given in Chapter 1. Ammonia is produced at large scale (178 million tons per year) and it is a commodity essential for the fertiliser and food sector. The current production of ammonia, via the Haber-Bosch process, relies on fossil fuels and hydrogen derived from steam-methane reforming. Consequently the sector is responsible for releasing 1.4 % of the global CO2 emissions. The implementation of a fully renewable powered Haber-Bosch process is limited by its large reactor scale and its continuous and steady operation, which clashes with the intrinsic intermittency of sources such as solar and wind. This is one of the reasons why a direct electrochemical route for ammonia synthesis has recently attracted significant attention in the scientific and industrial communities. The concept entails the direct synthesis of ammonia from water, dinitrogen and renewable electricity. Moreover, the possibility of producing ammonia in a sustainable manner may enable a new scenario where ammonia can also be used as carbon free energy carrier, thus playing a key role in a decarbonised energy landscape powered by renewables. However, the lack of a selective catalyst and the arduous competition with side reactions, as the hydrogen evolution reaction, make this process extremely challenging.
The aim of this thesis is to expand the current understanding of the nitrogen reduction reaction at near ambient conditions, addressing both fundamental and practical challenges. The first part of this thesis (Chapter 2-4) provides insights on the implementation of reliable electrochemical nitrogen reduction experiments and sensitive operando ammonia detection. Chapter 2 provides a fast and reliable ammonia detection method to speed-up catalyst screening and development of novel sustainable ammonia evolution devices, as it requires significantly less sample handling and preparation compared to other reported methods. The proposed method is based on a gas chromatography technique, and it allows for in situ monitoring of ammonia evolution, down to 150 ppb, from -but not limited to- electrochemical devices. Chapter 3 presents an isotope sensitive gas chromatography-mass spectrometry method for the quantification of NH3 at low concentration level, typically encountered in electrochemical ammonia synthesis applications. This method allows the discrimination of 15/14NH3, necessary for the required 15N2 isotope labelling control experiments. Additionally, this method can directly and simultaneously measure other species in the analyte, thus it allows researchers to directly assess reaction selectivity by measuring reaction by-products, as well as the presence of gaseous/volatile contaminants in the experimental setup. Chapter 4 investigates the impact of contaminations on electrochemical nitrogen reduction experiments, with the aid of multiple analytical techniques and instrumentation, such as ion chromatography, gas chromatography, mass spectrometry, NOx chemiluminescence analyser and UV-Vis spectrophotometry. This chapter not only provides a comprehensive identification and quantification of the contaminations, but it also critically analyses the effectiveness of different cleaning strategies, establishing a series of guidelines to perform reliable experiments.
The second part of this thesis (Chapter 5-7) investigates the room temperature spontaneous dinitrogen activation on selected metallic surfaces and its hydrogenation to ammonia via electrochemical atomic hydrogen permeation, using a solid metallic hydrogen permeable membrane electrode. Chapter 5 demonstrates a novel strategy for ambient condition ammonia synthesis from water and dinitrogen, designed to limit the competition between nitrogen activation and other competing adsorbates at the catalytic surface. As such, a hydrogen permeable nickel membrane electrode is used to spatially separate the electrolyte and the hydrogen activation side from the nitrogen activation and hydrogenation sites. With this approach, ammonia is produced catalytically directly in the gas phase and in the absence of electrolyte. Gaseous nitrogen activation at the nickel electrode is confirmed with 15N isotope labelling control experiments and it is attributed to a Mars-van Krevelen mechanism enabled by the formation of N-vacancies upon hydrogenation of surface nitrides. Chapter 6 reports on the interactions of adsorbing N and permeating H at the catalytic interface of nickel, iron and ruthenium based hydrogen permeable electrodes during electrolytic ammonia synthesis. In situ near ambient pressure X-ray photoelectron spectroscopy (XPS) is used to measure modifications in the surface electronic structure of the catalyst and the nature of the adsorbed molecules. This chapter shows that permeating atomic hydrogen reduces surface Ni oxide and hydroxide species, under conditions at which gaseous H2 does not. Moreover, the results demonstrate that the availability of surface Ni0 sites is a primary requirement for the chemisorption of gaseous N2. In situ XPS measurements reveal that nitrogen gas chemisorbs on the generated metallic sites, followed by hydrogenation via permeating H, as adsorbed N and NH3 are found on the Ni surface. Our findings indicate that the first hydrogenation step to NH and the last NH3 desorption step might be limiting at the utilised operating conditions. Finally, the study was then extended to Fe and Ru surfaces. However, the formation of surface iron oxide and nitride species on iron blocks the H permeation and prevents the reaction to advance; while on ruthenium the stronger Ru-N bond might favour the recombination of permeating hydrogen to H2 over the hydrogenation of adsorbed nitrogen. Chapter 7 provides a systematic investigation of the effect of operating temperature (in the range 25 to 120 °C) and H permeation flux on the N2 reduction reaction on Ni, leading to a considerably improved NH3 synthesis process. At 120 °C a stable operation was achieved for over 12 h with a 10 times higher cumulative NH3 production and almost 40-fold increase in faradaic efficiency compared to the room temperature operation reported in chapter 5. The results obtained in this chapter indicate that increasing operating temperatures enhances nitrogen adsorption and NH3 desorption, maintaining a steady N surface coverage throughout the NH3 synthesis cycle. Moreover, to operate the nitrogen reduction reaction in a stable and efficient manner, the control over the population of N, NHx and H species at the catalyst surface is critical, as well as the capability of oxides to be reduced by permeating H. As such, the adoption of H permeable electrodes allows to independently control the N activation and H permeation, by a large extent.","Ammonia; Nitrogen; Reduction; Electrochemistry; hydrogen; permeation; electrode; Detection; XPS; Chromatography; Mass spectrometry","en","doctoral thesis","","978-94-6366-633-6","","","","","","2023-04-03","","","ChemE/Materials for Energy Conversion and Storage","","",""
"uuid:49d4dd26-d228-4362-ba2b-ad9c70fa29fe","http://resolver.tudelft.nl/uuid:49d4dd26-d228-4362-ba2b-ad9c70fa29fe","Heat-affected zone in welded cold-formed rectangular hollow section joints","Yan, R. (TU Delft Steel & Composite Structures)","Veljkovic, M. (promotor); Hendriks, M.A.N. (promotor); Delft University of Technology (degree granting institution)","2023","High-strength steel (HSS) has higher strength but lower ductility than mild steel. The cross-section of the structural members may be reduced using HSS instead of mild steel, provided the buckling of elements does not govern the failure. The reduced member size benefits the environment and economy by means of less energy consumption, less carbon dioxide emission, and less labour work during the fabrication and structure construction stages.
The current design rules in prEN 1993-1-8 for welded hollow section joints are developed based on extensive experimental and numerical studies on joints made of mild steel (S235 and S355). A material factor Cf is stipulated to reduce the design resistance of the joint given the lower ductility of HSS than mild steel. In addition, the design yield strength of the material should be lower than 0.8 times the ultimate strength (fu) to calculate the resistance of punching shear failure and tension brace failure. However, these two strength restrictions are proposed based on limited experimental and numerical investigations on welded HSS tubular joints. The mechanical background behind the two restrictions is vague. Applying both Cf and the 0.8fu restriction would eliminate the benefits of using HSS, reducing the competitiveness in the market. Besides, the heat-affected zone (HAZ) often has the lowest strength in a weld region. The strength difference between HAZ and the base material (BM) is more significant for HSS than mild steel, indicating that HAZ plays a more critical role in welded HSS joints. Hence, the HAZ constitutive model should be considered in the numerical study of welded HSS joints in order to predict the load-deformation relationship and failure mode correctly.
This dissertation proposes a systematic approach to include HAZ in the finite element (FE) analysis of welded joints considering ductile failure mode. First, the mechanical and geometrical properties of HAZ were obtained from tensile tests on the milled welded coupon specimen, the low-force Vickers hardness test, and the microstructure observation. The full-field deformation of the milled welded coupon specimen was measured using the digital image correlation (DIC) technique. Using the DIC result, a method is proposed to identify the boundaries of different regions in the milled welded coupon specimen. The identified boundary matches the hardness result well. Based on the identified boundaries, the width of HAZ and the weld metal (WM) are determined, which provides geometric information for the measuring range of the virtual extensometer in DIC and for creating the FE model with different partitions (HAZ, BM, and WM). Due to the transverse constraint imposed by BM and WM, HAZ was under a biaxial or triaxial stress state during the tensile coupon test. The measured stress of HAZ is higher than that under the uniaxial stress state at a given strain. Hence, a method is proposed to correct the measured stress-strain relationship of HAZ. The modified stress-strain relationship is successfully validated against the tensile coupon test regarding the load-deformation relationship and the strain distribution on the specimen surface.
In order to accurately predict the load-deformation relationship and failure mode of welded joints, the Gurson-Tvergaard-Needleman (GTN) damage model is employed to simulate the failure of HAZ and BM. A computational homogenization analysis using representative volume element models was carried out to calibrate the yield-surface-related parameters (q1, q2, and q3). The effect of the hydrostatic pressure, the accumulated initial hardening strain, and the void volume fraction (VVF) on the yield surface were evaluated. An equation is proposed to describe the relationship between VVF and q1 value with a constant q2. The fracture-related parameters (fc and ff) were calibrated against the tensile coupon test. In addition, as the procedures for modifying the constitutive model and calibrating the damage model are rather complicated, a semi-empirical material damage model for HAZ correlating to the mechanical properties of BM is proposed to facilitate the FE analysis of welded joints.
Monotonic tensile tests were conducted on 18 welded cold-formed rectangular hollow section (RHS) X-joints made of S355, S500, and S700 to investigate the validity of Cf and the 0.8fu restriction. The test result shows that a conservative resistance is predicted using the current design rules without applying Cf and the 0.8fu restriction. The calibrated GTN damage model for HAZ and BM was implemented in the fracture simulation of welded X-joints. The FE results agree well with the experimental results concerning the load-deformation relationship and the failure mode. Based on the validated X-joint FE model, the importance of including HAZ in the FE model was revealed by the FE analysis without the HAZ constitutive model. Finally, the semi-empirical material damage model for HAZ was employed to predict the tensile behaviour of all 18 welded X-joints.
2NNT). The geometric structures of the resulting systems have been optimized using different methods, including B3LYP-D3(GD3BJ)/6-311G(d), ωB97XD/6-311G(d), and M06-2X/6-311G(d). The computed adsorption energies suggest that the studied nanotubes can enhance adsorption of CNCl, and thus promote its detection when employed as sensing materials. Wave function analysis has been implemented to study the type of intermolecular interactions at ωB97XD/6-311G(d,p) level of theory. Natural bond orbital (NBO) analysis has been used to study the charge transfer and bond order. Quantum theory of atoms in molecules (QTAIM) analysis has also been used to determine the type of interactions between the target gas and the nanotubes. To investigate the weak intermolecular interactions we also carried out non-covalent interaction analysis (NCI). The results also indicate that the CNCl-nanotube systems are created through physisorption as they are dominated by non-covalent interactions. The predicted adsorption energies increase as follows: BNAlNT: −1.175 eV > BC2NNT: −0.281 eV > BNNT: −0.256 eV; this shows that the aluminum-doped boron nitride nanotube is the best option from promoting adsorption of the target gas among them. The HOMO–LUMO energy gaps were as follows: BNNT: 7.090, BNAlNT: 9.193, and BC2NNT: 7.027 eV at B3LYP-D3/6-311G(d) level of theory.","Boron nitride nanotube; Cyanogen chloride, DFT; NBO; Wave function analysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Atmospheric Remote Sensing","","",""
"uuid:b4e52a96-cdbb-446f-b26e-4c509d30d016","http://resolver.tudelft.nl/uuid:b4e52a96-cdbb-446f-b26e-4c509d30d016","Dense 3D pressure discomfort threshold (PDT) map of the human head, face and neck: A new method for mapping human sensitivity","Smulders, M. (TU Delft Mechatronic Design); van Dijk, L.N.M. (Crescent Medical B.V.); Song, Y. (TU Delft Mechatronic Design); Vink, P. (TU Delft Materials and Manufacturing); Huysmans, T. (TU Delft Applied Ergonomics and Design)","","2023","When designing wearables that interface with the human head, face and neck, designers and engineers consider human senses, ergonomics and comfort. A dense 3D pressure discomfort threshold map could be helpful, but does not exist yet. Differences in pressure discomfort threshold for areas of the head, neck and face were recorded, to create a 3D pressure discomfort threshold map.
Between 126 and 146 landmarks were placed on the left side of the head, face and neck of twenty-eight healthy participants (gender balanced). The positions of the landmarks were specified using an EEG 10–20 system-based landmark-grid on the head and a self-developed grid on the face and neck. A 3D scan was made to capture the head geometry and landmark coordinates. In a randomised order, pressure was applied on each landmark with a force gauge until the participant indicated experiencing discomfort. By interpolating all collected pressure discomfort thresholds based on their corresponding 3D coordinates, a dense 3D pressure discomfort threshold map was made.
A relatively low-pressure discomfort threshold was found in areas around the nose, neck front, mouth, chin-jaw, cheek and cheekbone, possibly due to the proximate or direct location of nerves, blood veins and soft (muscular) tissue. Medium pressure discomfort was found in the neck back, forehead and temple regions. High pressure discomfort threshold was found in the back of the head and scalp, where skin is relatively thin and closely supported by bone, making these regions interesting for mounting or resting head, face and neck related equipment upon.","Comfort; Digital human modelling; 3D scanning; Wearables; Pressure ulcers","en","journal article","","","","","","","","","","","Mechatronic Design","","",""
"uuid:5d01c32a-868a-428d-9cd2-1480f35c6fd2","http://resolver.tudelft.nl/uuid:5d01c32a-868a-428d-9cd2-1480f35c6fd2","Ultrasound imaging through aberrating layers","van der Meulen, P.Q. (TU Delft Signal Processing Systems)","Leus, G.J.T. (promotor); Delft University of Technology (degree granting institution)","2023","Whereas aberrating layers are typically viewed as an impediment to medical ultrasound imaging, they can, surprisingly, also be used to our benefit. As long as we can model the effect of an aberrating layer, we can utilize ‘model-based imaging’, the imaging technique explored throughout this thesis, to reconstruct ultrasound images where traditional beamforming methods would fail, employing the ever increasing computational power available to us nowadays. Not only does this allow us to image through layers, but it also leads to interesting applications, such as 3D ultrasound imaging with spatially undersampled data, using an aberrating ‘coding mask’. The formulation of a measurementmodel, a fundamental part ofmodel-based imaging, also gives insight into the imaging problem mathematically, and allows us to investigate methods for estimating the effect of an aberrating layer ‘blindly’, i.e., without explicitly measuring it. In this thesis, we thus investigate (a), imaging through a layer when the layer’s aberration effect is known, and how it can be applied to imaging with spatially undersampled data, and (b), methods and algorithms for estimating the effect of the aberrating layer without knowing it a priori.
In the first part of this thesis, we illustrate how using model-based imaging can be utilized for 3D ultrasound imaging using a single ultrasound transducer, and equipping it with a plastic coding mask. The plastic mask acts as an analog coder, that scrambles the transmitted and received waves in a manner that is location dependent. As a result, the temporal shape of an ultrasound echo can be used instead of the traditional method of using phase differences between sensors in a sensor array. Imaging is instead accomplished using model-based imaging. By measuring the pulse-echo response of each pixel, we can form an image by solving a regularized linear least squares problem, which takes into account the measured pixel-specific pulse-echo signals. The proposed device and imaging method is then verified experimentally.
In the following chapter, a coding mask design method is proposed for the aforementioned imaging device. A measurement model is formulatedwhere themask geometry is an explicit parameter to be optimized. After forming this model, a numerical optimization method is proposed and numerically tested. Our numerical experiments show that optimized mask geometries exhibit an energy focusing effect on the region-of-interest, whilst simultaneously decorrelating echo signals between pixels.
In the second part of this thesis, in contrast, we consider methods for calibrating propagation models when the pulse-echo response per pixel is not known. The most important calibration challenge we consider is that of imaging through an aberrating layer in front of an ultrasound array. This could be subcutaneous fat or the human skull, for example. In this thesiswe formulate ameasurement model consisting of a partwhere wave propagation is known (i.e., the assumed homogeneous region behind the aberrating layer, where the contrast image of interest is located), and an unknown propagation part, consisting of the Green’s functions from an array sensor to any point on the the interface of the aberrating layer and the imaging medium. We then investigate methods for finding this set of Green’s functions without explicitly measuring them (so called ‘blind’ calibration).
The first proposed method exploits the singular value decomposition of the measurement data in combination with the assumed Toeplitz structure of the matrices representing the aberrating layer’s Green’s functions. However, the method is lacking in practicality since an additional set ofmeasurements is required with a phase screen mounted on the interface of the aberration layer and the imaging medium. The second method resolves these practical issues by utilizing a covariance matching technique. A sufficiently large set of measurements is obtained where each measurement is different due to e.g. moving particles such as blood flow or micro-bubbles. Using the covariance of the data, algorithms are then defined that can estimate the transfer functions of the aberrating layer from the measurement covariance data.
Finally,we propose amethod for estimating the electro-mechanical impulse response of an ultrasound sensor, by simply measuring its pulse-echo response from a flat plate reflector in front of the sensor. Estimating the one-way (electro-mechanical) impulse response then becomes a de-autoconvolution problem, for which we propose a method by solving a semi-definite relaxation of the de-autoconvolution problem.
In this PhD thesis, we develop tools for system-theoretical analysis of discrete-event systems when purely (max-plus) algebraic models, derived from timing constraints among events, are enriched with automata-theoretic conflict resolution schemes to treat variable schedules. We follow the hybrid dynamical systems approach that offers a powerful description of the interplay between the logical and timing aspects of discrete-event systems. On the one hand, the resulting hybrid automata allow a continuous-variable dynamic representation of discrete-event systems analogously to time-driven systems. On the other hand, the framework is convenient when timing constraints are of explicit concern in system dynamics and performance specifications. We address issues related to the stability, reachability, and solvability of discrete-event systems in this PhD thesis.
Firstly, we focus on formalising the discrete-event modelling framework as a novel max- plus-algebraic hybrid automaton analogously to the hybrid automaton framework in conventional algebra. There are mainly two phenomena of concern: synchronisation and choice of event occurrences. We illustrate how the proposed framework offers explicit flexibility in modelling the interplay of synchronisation and choice phenomena among event occurrences. We show that the proposed framework unifies and extends the existing max-plus-algebraic models of discrete-event systems with the variable ordering of events. We derive equivalence relations between the proposed framework and other automata-theoretic models with timing features such as weighted automata.
Stability analysis plays an important role in the operation and control of dynamical systems. There has been considerable research on generalising the notions of stability from linear time-invariant systems to hybrid systems in conventional algebra. The research for the counterpart in max-plus-algebraic systems is still limited. This motivates us to study the stability of discrete-event systems in the second part of the thesis. We present a novel stability analysis framework under the broad setting of max-plus-algebraic hybrid automata. We achieve this by reformulating various notions of stability of discrete-event systems phrased in the classical Lyapunov sense. We then integrate tools from max-plus algebra and Lyapunov theory to demonstrate the decision-making capabilities of the proposed approach.
In the last part of the PhD thesis, we focus on the parametric modelling of constrained discrete-event systems. This allows capturing variations in the timing and ordering of event occurrences within the framework of max-plus-algebraic hybrid automata analogously to the conventional time-driven linear parameter-varying systems. The analysis of the effect of parameter variations on the existence of admissible trajectories is of paramount importance in model-based decision-making for discrete-event systems. Therefore, we focus on validating the coherence of the obtained model in presence of nonlinear implicitness in the system dynamics. In our analysis, we borrow tools from max-plus algebra, monotone functions theory, graph theory, and computational geometry. Finally, we study the application of the proposed approach to an urban railway system.","Max-plus algebra; Discrete-event systems; Hybrid systems; Lyapunov stability; Piecewise-affine systems","en","doctoral thesis","","978-90-833032-4-6","","","","","","2023-12-31","","","Team Ton van den Boom","","",""
"uuid:291baefe-c4b9-46ea-b250-a6c8f4e6ece8","http://resolver.tudelft.nl/uuid:291baefe-c4b9-46ea-b250-a6c8f4e6ece8","Pressure-assisted CU sintering for SiC Die-attachment application","Liu, X. (TU Delft Electronic Components, Technology and Materials)","Zhang, Kouchi (promotor); Ye, H. (copromotor); Microelectronics (degree granting institution); Delft University of Technology (degree granting institution)","2023","","nano Cu sintering; Silicon carbide power electronics packaging; Shear Strength; Mechanical reliability; Thermal conductivity; Molecular dynamics; Static and dynamic test; Nanoindentation","en","doctoral thesis","","978-94-6473-018-0","","","","","","2025-01-30","","","Electronic Components, Technology and Materials","","",""
"uuid:3578fc9d-a6ff-4da6-b90a-e718df5825d2","http://resolver.tudelft.nl/uuid:3578fc9d-a6ff-4da6-b90a-e718df5825d2","Final Report – Bio-Ethanol as an alternative fuel for vessels","'t Hart, Pieter (Maritiem Kennis Centrum); Pruyn, J.F.J. (TU Delft Ship Design, Production and Operations); Ferrari, Felipe (GoodFuels, Amsterdam)","","2023","Many fuels are currently considered for reducing the emissions in shipping; Methanol, LNG, Ammonia, Nuclear, Biodiesel, etc. However, Bio-ethanol is not considered at all.This research looked into all aspects of ethanol in a comparison with its most closely related alternative methanol. Using a similar approach as found in earlier comparisons. Though updating the data as well as the application ot vessels relevant for the Dutch ship owners. This research identified that 2nd generation bio-ethanol would in price be close to 2nd generation bio-methanol, but with several technical advantages. Furthermore the bio-ethanol production capacity is far larger than that of any of the other alternative fuels for shipping and with the ongoing electrification of cars, this capacity will most likely be looking for a new market in the future, putting pressure on the price. Hence the report calls for further investigations and reconsiderations of bio-ethanol as a marine fuel.","bio-ethanol; Maritime; Alternative Fuels; Shipping","en","report","","","","","","","","","","","Ship Design, Production and Operations","","",""
"uuid:ac1af560-c551-46ef-94b8-8c2dbca21176","http://resolver.tudelft.nl/uuid:ac1af560-c551-46ef-94b8-8c2dbca21176","DISARMing viral invaders of bacteria","Aparicio Maldonado, C. (TU Delft BN/Stan Brouns Lab)","Brouns, S.J.J. (promotor); Luzia de Nobrega, F. (copromotor); Delft University of Technology (degree granting institution)","2023","The research performed in this thesis focuses on the understanding on the interactions of bacteria and phages occurring via anti-phage defense system mechanisms. This involved a set of literature research and experimental studies that provide an overview of the diverse defense systems described at the time of submission.","","en","doctoral thesis","","978-90-8593-548-3","","","","","","","","","BN/Stan Brouns Lab","","",""
"uuid:9a32a2ae-0ba7-4686-87df-24cb8be66133","http://resolver.tudelft.nl/uuid:9a32a2ae-0ba7-4686-87df-24cb8be66133","Probing the hyperfine structure of Fe-based water-gas shift catalysts","Ariëns, M.I. (TU Delft RST/Radiation, Science and Technology)","Brück, E.H. (promotor); Hensen, E.J.M. (promotor); Dugulan, A.I. (copromotor); Delft University of Technology (degree granting institution)","2023","Hydrogen gas is an essential reagent in numerous industrial processes including ammonia synthesis. Ammonia is a key intermediate in the synthesis of nitrogen-based fertilisers, e.g. nitrates and urea. According to recent estimates (2008), approximately half of the world population is fed by nitrogen-based fertilisers of synthetic origin. Therefore, statistically speaking, every other person reading this sentence owes their existence to ammonia synthesis. Nowadays, most hydrogen gas is produced from natural gas via steam reforming followed by a dual stage water-gas shift reaction. The catalyst used in high-temperature water-gas shift (HTS) is chromium/copper promoted iron oxide. Chromium is known to stabilise the active iron-oxide phase magnetite (Fe3O4) from sintering and over-reduction to α-Fe and Fe-carbides, while copper enhances the activity by providing additional active sites. The chromium stabiliser has been used for over a century, because it provides excellent stability and its low cost. Chromium is added to the catalyst precursor via a co-precipitation/calcination route. An unintended side effect of calcination is that some of the chromium can oxidise to chromium-6, which is prone to strict handling and partial bans. The active magnetite phase has an inverse spinel structure composed of a 1:1:1 mixture of; tetrahedral Fe3+, octahedral Fe3+, and octahedral Fe2+, resulting in an octahedral Fe3+/Fe2+ redox couple. The active sites of the bulk magnetite catalyst are the surface octahedral Fe3+/Fe2+ redox couple. Rational design of catalysts with alternative dopants to chromium is severely hindered because of a poor understanding of chromium incorporation into the inverse spinel magnetite structure. Accordingly, the position of chromium and its effect on the magnetite structure and the octahedral Fe3+/Fe2+ redox couple was investigated in detail.....","Water-gas shift; Fe-based catalysts; industrially relevant conditions; doped magnetite; (in situ) Mössbauer spectroscopy; (NAP)-XPS","en","doctoral thesis","","978-94-6419-713-6","","","","","","","","RST/Radiation, Science and Technology","","","",""
"uuid:65db94ec-c7b6-4c54-82b8-273dc18b3081","http://resolver.tudelft.nl/uuid:65db94ec-c7b6-4c54-82b8-273dc18b3081","Adsorption and Electrokinetics at Silica-Electrolyte Interfaces: A Molecular Simulation Study","Döpke, M.F. (TU Delft Complex Fluid Processing)","Padding, J.T. (promotor); Hartkamp, Remco (copromotor); Delft University of Technology (degree granting institution)","2023","Experimentally investigating the nanoscale behavior at oxide-electrolyte interfaces has proven to be extremely challenging. Molecular Dynamics (MD) simulations have arisen as a potential computational alternative to gain atomic level insights at these interfaces. But how accurately do these simulations represent the physics and chemistry at the interface? In many situations we do in fact not know. Validation at the interface remains challenging. The force fields used in MD simulations, that describe the inter-particle interactions, are generally optimized for purposes deviating considerably from interfaces. Yet, these same force fields are blindly used to model surface-fluid interactions, yielding wildly varying results of for example ion adsorption. This dissertation tackles the problem of simulating interfaces by critically looking at MD simulations and proposing novel solutions, both for MD simulations in general and specifically targeting their validity and limitations with regards to modeling interfaces…","molecular simulation; molecular dynamics simulation; interface; solid-fluid interface; amorphous silica; electrolyte; silica-electrolyte interface","en","doctoral thesis","","978-94-6384-404-8","","","","","","","","","Complex Fluid Processing","","",""
"uuid:8b974567-3db2-48e1-a54c-19ad6f615449","http://resolver.tudelft.nl/uuid:8b974567-3db2-48e1-a54c-19ad6f615449","Spectroscopy and imaging of spin waves and valley excitons in two dimensions","Carmiggelt, J.J. (TU Delft QN/vanderSarlab)","Steele, G.A. (promotor); van der Sar, T. (promotor); Delft University of Technology (degree granting institution)","2023","Knowledge about the magnetic and electronic properties of materials does not only expand our fundamental understanding of nature, but is also crucial for the development of new technologies. Today’s electronic devices rely on electrical currents to transport and process information, which generate a lot of heat waste via Joule heating. These devices could become much more energy efficient by using the electron’s spin or valley degree of freedom to encode information, rather than its charge. In this dissertation we study the elementary excitations of magnetic and semiconducting materials, called spin waves and excitons, which have been proposed as respectively spin and valley information carriers in future electronic devices...","Spin waves; valleytronics; excitons; nonlinear magnonics; magnetism; NV centers","en","doctoral thesis","","978-90-8593-551-3","","","","","","","","","QN/vanderSarlab","","",""
"uuid:5ac369fa-595c-41b1-a5e0-d96337abe1e8","http://resolver.tudelft.nl/uuid:5ac369fa-595c-41b1-a5e0-d96337abe1e8","“But, it’s just a really good idea!”: Investigating the guidance of design feedback processes to mitigate pupils' fixation and stimulate their creative thinking","Schut, A. (TU Delft Science Education and Communication)","de Vries, M.J. (promotor); Klapwijk, R.M. (copromotor); Delft University of Technology (degree granting institution)","2023","","","en","doctoral thesis","","978-94-6419-686-3","","","","","","","","","Science Education and Communication","","",""
"uuid:7d0e8676-8323-448a-af4e-9fc6b01b775f","http://resolver.tudelft.nl/uuid:7d0e8676-8323-448a-af4e-9fc6b01b775f","Spatio-Temporal Multi- Objective Optimization of Agricultural Best Management Practices","Uribe, N. (TU Delft Water Resources)","Solomatine, D.P. (promotor); Corzo Perez, G.A. (copromotor); Delft University of Technology (degree granting institution)","2023","Farmers around the world are facing the need to improve crop yield due to substantial increase in food demand. However, in an effort to meet the global growing food demand, nutrient pollutants in runoff have also increased due to intensified agricultural practices. For this reason, stakeholders and decision-makers have tried to shift from conventional agricultural practices to other types of practices, commonly referred to as best management practices (BMPs). The emphasis of agricultural BMPs (Ag-BMPs) is on environmental protection, which in this research is extended to consider food production, as well as environmental, economic, and social factors as a part of Ag-BMPs.","","en","doctoral thesis","","978-90-73445-48-2","","","","","","","","","Water Resources","","",""
"uuid:f5c3f45b-6862-4f8c-900a-fa4de4ce731e","http://resolver.tudelft.nl/uuid:f5c3f45b-6862-4f8c-900a-fa4de4ce731e","Landslide hazard assessment: Hydro-meteorological thresholds in Rwanda","Uwihirwe, J. (TU Delft Water Resources)","Bogaard, T.A. (promotor); Hrachowitz, M. (promotor); Delft University of Technology (degree granting institution)","2023","For the development of regional landslide early warning systems, empirical-statistical thresholds are of crucial importance. The thresholds indicate the meteorological and hydrological conditions initiating landslides and are an affordable approach towards reducing people’s vulnerability to landslide hazards. This thesis defined different landslide hydro-meteorological thresholds in Rwanda and evaluated their predictive capabilities. Chapter 1 identifies the landslide problem to society, opportunities for possible solutions, overview of the previous research and knowledge gap. It defines the research concepts, research objectives and outlines...","Landslide; Hydro-geology; Hydro-Meteorology; Groundwater; Soil Moisture","en","doctoral thesis","","978-94-6366-644-2","","","","","","","","","Water Resources","","",""
"uuid:7e4f868b-7716-4c36-8fa0-b55572d1572b","http://resolver.tudelft.nl/uuid:7e4f868b-7716-4c36-8fa0-b55572d1572b","Physics and Control of Transonic Buffet","D'Aguanno, A. (TU Delft Aerodynamics)","van Oudheusden, B.W. (promotor); Schrijer, F.F.J. (copromotor); Delft University of Technology (degree granting institution)","2023","The flight envelope of an aircraft operating at high subsonic velocities is bounded by several limitations, one of these consists in thewing experiencing oscillations of a shockwave on its suction side for a certain range ofMach number (Ma), angle of attack (®) and Reynolds number (Re). This phenomenon is referred to as transonic buffet and it may ultimately result in violent structural oscillations of the wing (the so-called buffeting), in addition to the oscillations of the aerodynamics loads. Notwithstanding the relevance of this topic, there is not yet an only explanation regarding its mechanism, therefore, the first aim of this experimental project is to obtain further insight on the physics of transonic buffet (Part I). As a second objective, in Part II different strategies for the control of buffet have been investigated. The experiments of this study have been carried out in the transonic-supersonic wind tunnel of TUDelft on supercritical airfoil and wings based on theOAT15A airfoil. The behavior of this phenomenon has been scrutinized using optical experimental techniques, such as particle image velocimetry (PIV), schlieren, and, background oriented schlieren (BOS)...","Transonic buffet; Shockwave; Control systems; Supercritical airfoil; Swept wings; PIV; Schlieren; BOS","en","doctoral thesis","","978-94-6366-652-7","","","","","","","","","Aerodynamics","","",""
"uuid:88ce92a5-153e-47e4-bb21-999237161ab7","http://resolver.tudelft.nl/uuid:88ce92a5-153e-47e4-bb21-999237161ab7","Extracellular Polymeric Substances of ""Candidatus Accumulibacter"": Composition, application and turnover","Tomas Martinez, S. (TU Delft BT/Environmental Biotechnology)","van Loosdrecht, Mark C.M. (promotor); Weissbrodt, D.G. (promotor); Lin, Y. (promotor); Delft University of Technology (degree granting institution)","2023","The majority of bacteria grow in the form of microbial aggregates known as biofilms. In these biofilms, microorganisms are embedded in a mixture of extracellular polymeric substances (EPS) produced by the microorganisms themselves. EPS is a complex mixture of biopolymers of different nature, such as polysaccharides, proteins, nucleic acids or lipids, among others. In spite of the significant progress over the last decades, EPS is still a black box waiting to be opened, in terms of specific composition, function, structure and production.
Biofilms have great importance in many environmental engineering processes, as for example, aerobic granular sludge (AGS). AGS is a novel biological wastewater treatment where microorganisms are stimulated to form compact granules. Among the complex microbial community in AGS, polyphosphate accumulating organisms (PAOs) are of great importance, due to their role in phosphate removal and granule stabilization. Because of their dominance in AGS and their rapid anaerobic carbon sequestration, they are assumed to be the main EPS producer in AGS. Therefore, PAOs (specifically the well-studied “Candidatus Accumulibacter phosphatis”) can be used as model microorganism for the study of EPS of AGS.
The goal of this thesis is to study the EPS of “Ca. Accumulibacter” in terms of specific composition, application and synthesis/consumption. A better characterization of the EPS of “Ca. Accumulibacter” will lead to a comprehensive understanding of this microorganism and further optimization of the granular sludge processes, and their application...
This Ph.D. research aims to develop a computational design methodology for configurational layout optimization of hospital buildings concerning physical matters & human factors, which are directly attributable to the layout/configuration of the hospital. In the optimization models, the considered performance indicators are related with patients (e.g. ease of way-finding), staff (e.g. average walking-time), and operations (e.g. fitness for workflows). Two case studies are studied here as (1) reconfiguration of existing hospitals; and (2) designing the new hospitals by focussing on “layout planning” and “corridor design”. The developed models are programmed in the form of design tool-kits for supporting conceptual design phases.
Effectively, this project presents an interdisciplinary methodological framework that can tackle hospital layout design problems by integrating Computational Design workflows, Graph Theory techniques, Operations Research, and Computational Intelligence into the field of Architectural Space Planning.
When employees interact with any system in their organization, interventions can be aimed at employees and at the system. When investigating possibilities to improve the system, it is important to take into account how employees interact with the system. We need to be able to predict human behavior and in order to do that, we need to understand human behavior....","Human error; safety; SPAD; Incidental learning; human factors","en","doctoral thesis","","","","","","","","","","","Safety and Security Science","","",""
"uuid:df26ce2c-4b0f-41ee-93ff-301aa82457c3","http://resolver.tudelft.nl/uuid:df26ce2c-4b0f-41ee-93ff-301aa82457c3","Development of a teaching-learning sequence for scientific inquiry through argumentation in secondary physics education","Pols, C.F.J. (TU Delft ImPhys/Practicum support)","de Vries, M.J. (promotor); Dekkers, P.J.J.M. (copromotor); Delft University of Technology (degree granting institution)","2023","Enabling students to engage in independent scientific inquiry is a highly valued but seemingly elusive goal of (secondary school) science education. Therefore, this study aims to determine and understand how to effectively develop inquiry knowledge in students. The chosen approach to enable students to plan, carry out and evaluate a physics inquiry, is to regard an inquiry as the construction of a scientifically cogent argument for a specific claim. In an authentic scientific inquiry, the researcher invests - from the very start of the inquiry - time and effort in making the inquiry’s claim as indisputable as possible. The researcher strives for optimal cogency of the argument in support of that claim. Throughout the various studies in this thesis it is argued that this idea can be translated to classroom situations: fostering the insight that students’ inquiry should result in a complete, correct and substantiated answer to the research question. It is shown that this is a meaningful strategy in enabling them to engage in independent scientific inquiry: it results in a cognitive need in students to develop the knowledge that allows them to produce such an answer. As such, this thesis shows that argumentation is an indispensable part of teaching scientific inquiry. Explicit attention for argumentation promotes development of students’ inquiry knowledge.","scientific inquiry; argumentation; practical work; Physics Education","en","doctoral thesis","","","","","","","","","","","ImPhys/Practicum support","","",""
"uuid:6f0520c8-791d-41fe-a3d8-b7bc993e3b38","http://resolver.tudelft.nl/uuid:6f0520c8-791d-41fe-a3d8-b7bc993e3b38","Safe Online and Offline Reinforcement Learning","Simão, T. D. (TU Delft Algorithmics)","Spaan, M.T.J. (promotor); Stikkelman, R.M. (copromotor); Delft University of Technology (degree granting institution)","2023","Reinforcement Learning (RL) agents can solve general problems based on little to no knowledge of the underlying environment. These agents learn through experience, using a trial-and-error strategy that can lead to effective innovations, but this randomized process might cause undesirable events. Therefore, to enable the adoption of RL in our daily lives, we must ensure their reliability and safety. Safety requirements are often incompatible with the naive random exploration usually performed by RL agents. Safe RL studies how to make such agents more reliable and how to ensure they behave appropriately. We investigate these issues in online settings, where the agent interacts directly with the environment, and in offline settings, where the agent only has access to historical data and does not interact directly with the environment.
While safety has numerous facets in RL, in this thesis, we focus on two of them. First, the safe policy improvement problem, which considers how to compute a policy offline reliably. Second, the constrained reinforcement learning problem, which investigates how to learn a policy that satisfies a set of safety constraints. Next, we detail these perspectives and how we approach them.
The first perspective is of particular interest in offline settings. In this setting, we can imagine some decision mechanism has been operating the system, we refer to this mechanism as the behavior policy. Assuming these past decisions were recorded in a database, we would like to use RL to compute a new policy using such database. It would be difficult to convince stakeholders to switch to the policy computed by RL if there were chances that the new policy would cause considerable performance loss compared to the behavior policy. Therefore, developing algorithms that reliably compute policies that outperform the behavior policy is essential as this gives confidence to decision-makers that the new policy will not degrade the performance of the underlying system. The safe policy improvement problem formalizes these issues.
Considering that real-world data is limited and costly, in Chapter 3, we investigate how to improve the sample complexity of safe policy improvement algorithms by exploiting the factored structure of the underlying problem. In particular, we consider problems where the dynamics of each state variable depend only on a small subset of the state variables. Exploiting this structure, we develop RL algorithms that require orders of magnitude fewer data to find better policies than their counterparts that ignore such structure. This method also generalizes samples from one state to another, which allows us to compute improved policies if the data only partially cover the problem.
In many real-world applications such as dialogue systems, pharmaceutical tests, and crop management, data is collected under human supervision, and the behavior policy remains unknown. In Chapter 4, we apply safe policy improvement algorithms with an estimated policy built from data. We formally provide safe policy improvement guarantees over the behavior policy even without direct access to it. Our empirical experiments on tasks with finite and continuous states support the theoretical findings.
The second safety perspective is relevant for online RL agents. Engineering a reward signal that allows the agent to maximize its performance while remaining safe is not trivial. Therefore, it is better to decouple safety from reward using constrained Markov decision processes (CMDPs), where an independent signal models the safety aspects. In this setting, an RL agent can autonomously find trade-offs between performance and safety. Unfortunately, most RL agents designed for the constrained setting only guarantee safety after the learning phase, which prevents their direct deployment.
In Chapter 6, we investigate settings where a concise abstract model of the safety aspects is given, a reasonable assumption since a thorough understanding of safety-related matters is a prerequisite for deploying RL in typical applications. We propose an RL algorithm that uses this abstract model to learn policies safely. During the training process, this algorithm can seamlessly switch from a conservative to a greedy policy without violating the safety constraints. We prove that this algorithm is safe under the given assumptions. Empirically, we show that even if safety and reward signals are contradictory, this algorithm always operates safely, while when they are aligned, this approach also improves the agent's performance. Finally, we study how to reduce the performance regret of this algorithm without sacrificing the safety guarantees.
To summarize, we develop new RL methods exploiting prior knowledge about the structure of the problem. We propose reliable offline algorithms that can improve the policy using fewer data and online algorithms that comply with safety constraints while learning. Besides safety and reliability, we also touch on other issues preventing the deployment of RL to real-world tasks, such as data efficiency and learning with a fixed batch of data. Nevertheless, we must recall that other challenges, such as partial-observability and explainability, still require attention. We hope this thesis serves as a stepping stone toward combining different types of prior knowledge to improve various aspects of RL.
Semiconductors with strong spin-orbit coupling proximitized with a superconductor are another prominent example of hybrid devices. Although semiconductors and conventional superconductors have been well understood for decades, their combination is predicted to yield a new state of matter known as topological superconductivity. Topological superconductors hostMajorana bound states: topologically protected quasiparticles with non-abelian statistics that are promising candidates to realize fault-tolerant qubits. Reliably creating and manipulating Majorana modes remains one of the outstanding challenges inmodern condensed matter physics.","","en","doctoral thesis","","978-94-6366-641-1","","","","","","","","","QN/Akhmerov Group","","",""
"uuid:f2047227-f838-4527-a751-acddfee08c13","http://resolver.tudelft.nl/uuid:f2047227-f838-4527-a751-acddfee08c13","Climate Change and the Resilience of Collective Memories: The Case Study of Fındıklı in Rize, Türkiye","Aktürk, Gül (TU Delft Marketing and Consumer Research; TU Delft History, Form & Aesthetics)","Hein, C.M. (promotor); van Bergeijk, H.D. (copromotor); Delft University of Technology (degree granting institution)","2023","Vernacular heritage sites encompass customs, practices, places, objects, artistic expressions, and values that are innate to a particular place and time. Climate knowledge of the particular place and time is embedded in vernacular settlements and lifestyles along with other environmental, cultural, and societal determinants of the place. Rebuilt, restored, and adapted, vernacular settlements evolved with changing climate, cultural practices, community aspirations, and
a gradual influx of modernization and urbanization. However, its legacy —as represented by traditional houses from the pre-industrial period that were built by laypeople— is challenged by climate and disaster risks, e.g., loss of lands, food sources, water resources, intangible values, and displacement. Although the impacts of climate change combined with anthropic influences have been recognized as a threat to cultural heritage by scholars, this underappreciated form
of cultural heritage has not been the focus of the integrated understanding risks of climate and disaster discussions. The aim of this dissertation, therefore, is to reveal the deteriorations caused by changing climate and anthropic interventions on vernacular heritage at both spatial planning decisions such as urban development projects and at local level practices such as maladaptation from the case of Fındıklı of Rize in Turkiye. The factors behind the deterioration of vernacular heritage sites under changing climate and the ways to achieve climate resilience are analysed through interviews with local people, the observations of on-site visits conducted in January and July 2019 in addition to mapping.","vernacular heritage; climate resilience; river flooding; landslides; disaster risk management","en","doctoral thesis","A+BE | Architecture and the Built Environment","978-94-6366-645-9","","","","","","2023-01-11","","","Marketing and Consumer Research","","",""
"uuid:af2ee2aa-564e-4702-bd36-e3c724ac76b8","http://resolver.tudelft.nl/uuid:af2ee2aa-564e-4702-bd36-e3c724ac76b8","It's a Trap: Studying the quantum dot surface on an atomistic scale","du Fossé, I. (TU Delft ChemE/Opto-electronic Materials)","Houtepen, A.J. (promotor); Grozema, F.C. (promotor); Delft University of Technology (degree granting institution)","2023","Due to their size-dependent properties, high photoluminescence quantum yield and relatively cheap solution-based processing, colloidal quantum dots (QDs) are of great interest for application in optoelectronic devices. However, the efficiency of these devices is often limited by the presence of trap states: localized electronic states that lead to energy levels in the bandgap. Although much research has been geared to passivating (i.e., removing) these trap states, our understanding of the atomic configurations that lead to traps remains limited. Therefore, the work presented in this thesis is aimed at investigating trap states and the QD surface on an atomistic scale. We use a combination of experimental and computational techniques to show that reduced metal sites can lead to trap-formation, and that these trap states can be dynamic in nature. In addition, we find suggestions that the QD surface is more complex than often assumed and that surface reconstructions may play a pivotal role in the delocalization of the wavefunction. Lastly, we study the formation of deep traps in CsPbBr3 perovskite nanocrystals. We find that the traditional picture of defect tolerance in these materials is incomplete and should also include the local electrostatic potential in order to explain deep traps.","quantum dots; dft; trap states; semiconductors; nanocrystals; surface","en","doctoral thesis","","978-94-6421-957-9","","","","","","2024-01-01","","","ChemE/Opto-electronic Materials","","",""
"uuid:c4d83571-9445-44b7-b495-5d18ca66ef4f","http://resolver.tudelft.nl/uuid:c4d83571-9445-44b7-b495-5d18ca66ef4f","Induced superconductivity in antimony-based two-dimensional electron gases","Möhle, C.M. (TU Delft QRD/Goswami Lab)","Kouwenhoven, Leo P. (promotor); Goswami, S. (copromotor); Delft University of Technology (degree granting institution)","2023","Majorana zero modes (MZMs) are a topic of intense research as they constitute the main building block of topological qubits - a qubit type with potentially enhanced coherence time. A promising way to create these quantum states is to couple a one-dimensional (1D) semiconducting segment with spin-orbit interaction to a superconductor, in the presence of an external magnetic field. Growing the active semiconductor as a 2D layer and creating 1Dstructures by top-downprocessing might allowto realize complex multiqubit devices in the future. This thesis explores antimony-based two-dimensional electron gases (2DEGs), known for their favorable material properties, as platforms for topological superconductivity....","Two-dimensional electron gases; planar Josephson junctions; mesoscopic superconductivity","en","doctoral thesis","","978-90-8593-549-0","","","","","","","","","QRD/Goswami Lab","","",""
"uuid:36b8a133-2a5a-49b3-9701-f75f102bbe3d","http://resolver.tudelft.nl/uuid:36b8a133-2a5a-49b3-9701-f75f102bbe3d","Cyclic behaviour of laterally loaded (mono)piles in sand: With emphasis on pile driving effects","Kementzetzidis, E. (TU Delft Offshore Engineering)","Metrikine, A. (promotor); Pisano, F. (copromotor); Delft University of Technology (degree granting institution)","2023","At the end of 2019, the European Union (EU) put forward the European Green Deal to facilitate the technological progress necessary to achieve CO2-neutrality by 2050. Such a monumental achievement would require massive investments in infrastructure for the harvesting, storage and the transnational transportation of green energy. To date, the more mature of the scalable (cf. to hydroelectric) green-energy resources is offshore wind, with joint academic and industry efforts allocated to reduce its capital expenditure. Approximately 13-37% of the required investment for offshore wind farms is currently expended on the design, manufacturing, and installation of the substructure. Further reduction in the cost of offshore wind can be achieved by addressing the main technical challenges associated with the predominant offshore wind foundation, i.e., the monopile. The main challenges typically relate to its lifetime operations, namely, (i) the identification of the wind turbine's fundamental frequencies, which are strongly dependent on the monopile-soil interaction, (ii) and the prediction of the lifetime foundation tilt, but also the current installation technology (impact driving); the current norm in the offshore industry. In particular, impact driving is associated with (i) long installation times, especially in the presence of competent soils, (ii) excessive use of construction material (steel) to avoid pile damage under many hammer blows, and (iii) costly underwater noise mitigation measures to reduce noise the levels of installation-borne noise emissions harmful to marine life.
In an attempt to accelerate the growth of offshore wind, the Netherlands, country of origin of this study, has supported several research initiatives to reduce the engineering and manufacturing costs for the prevalent offshore wind foundation in the country (the monopile). This study elaborates upon the experimental findings of two major research projects, namely the DISSTINCT (2014-2018) and the Gentle Driving of Piles (2018-2022) projects, each designed to address specific technical uncertainties associated with the foundation concept. The DISSTINCT project (launched in 2014) aimed to improve the understanding of the natural frequency of installed monopiles as well as the engineering procedures used in the identification thereof. By conducting experiments at full scale on a monopile installed in the IJsselmeer lake in the Netherlands, the experimental campaign produced invaluable data on the dynamic response of monopiles during small amplitude lateral vibrations. Later, the GDP project (launched in 2018) was designed to propose, engineer, and demonstrate a novel monopile installation procedure, foreseen to alleviate most of the aforementioned installation-related challenges; the Gentle Driving of Piles (GDP) method. Moreover, the project would provide answers to questions concerning the long-term response of (mono)piles in sandy soils, relative to the installation method. For these reasons, an extensive experimental campaign was conducted in the port of Rotterdam (Maasvlakte II), where a total of 9 piles were driven into the sandy Maasvlakte soil via different driving procedures, namely with the established impact hammering, the traditional axial vibro-driving, and the new GDP method. Subsequently, the cyclic lateral performance for four of these piles (which were heavily instrumented), was evaluated via an elaborate 82.000 load cycle (≈42 hours) loading programme of slow (0.1 Hz) high amplitude, and fast (0.1 - 4 Hz) low amplitude cyclic force applied to the (mono)piles' head.
This study elaborates and builds upon experimental findings from the above-mentioned test campaigns. These measurements were first carefully examined, and later interpreted using a variety of modelling tools (both 1D and 3D FE modelling) formulated and adapted to meet the particular geotechnical and loading challenges of the examined fieldwork. Enabled by the diversity of the field and numerical work performed, this study addresses a number of engineering challenges and knowledge gaps related to the design of monopiles, namely i) their post-installation resonance frequency, ii) the long-term response to environmental loading, and iii) the impact of the installation method on the long-term operations. In particular, 3D FE modelling was adopted to successfully simulate the dynamic response of the examined monopile in the DISSTINCT project. The modelling efforts enabled the interpretation of the field test measurements, and in turn, inspired confidence in the suitability of available simulation tools to identify the resonance frequencies of monopile foundations, and accurately calculate dynamic soil-monopile interactions. For the interpretation of the GDP field test data, 1D FE modelling was employed. In the field, the elaborate lateral loading programme returned a fairly complex cyclic pile response, with pronounced differences in the performance of piles installed by different installation methods. The particular geotechnical conditions at the GDP site, i.e., site inhomogeneity and the 4 m deep unsaturated topsoil, prevented the direct comparison of the installation methods. This was later achieved through the formulation of a cyclic soil reaction p-y model able to simulate soil ratcheting and gapping effects. The results provided rich insights into the impact of relevant installation effects on the cyclic pile response on many loading cycles and indicated that the GDP-installed piles performed excellent overall in lateral cyclic loading.","monopiles; cyclic loading; cyclic p-y modelling; monopile installation (effects); GDP driving","en","doctoral thesis","","978-94-6419-699-3","","","","","","","","","Offshore Engineering","","",""
"uuid:833c58fb-c3b9-478c-8d8a-9f8fd99d8fde","http://resolver.tudelft.nl/uuid:833c58fb-c3b9-478c-8d8a-9f8fd99d8fde","How does “listening” help fracture understanding?","de Araujo Alves Lima, Rosemere (TU Delft Structural Integrity & Composites); Tao, R. (TU Delft Structural Integrity & Composites); Bernasconi, A. (Politecnico di Milano); Carboni, Michele (Politecnico di Milano); Carrere, Nicolas (ENSTA); Teixeira De Freitas, S. (TU Delft Structural Integrity & Composites)","","2023","Understanding the relationship between the sensors’ outputs and the damage evolution within the joints is becoming increasingly crucial to improving structural health monitoring systems and collecting data to improve the joint’s design. Therefore, a study of the acoustic emission method associated with visual fracture evaluation was proposed to give insights into the toughening of composite bonded joints and better understand the relationship between the acoustic emission features and the damage mechanism involved. Thus, two different layups were proposed for the substrates: [0]8 and [0/902/0]S. In addition, a toughened epoxy adhesive with an embedded carrier (AF163-2k) was used to bond the substrates. Five specimens of each stacking sequence were tested under quasi-static mode I loading conditions. A travelling microscope and a regular digital camera were used on the lateral sides of the specimens to track the crack propagation paths. One piezoelectric sensor linked to the AMSY-6 Vallen system was used to assess the acoustic emission features produced within the joints during the tests. Unsupervised machine learning algorithms based on artificial neural networks and the Morlet continuous wavelet transformation were used to pattern recognition of the acoustic emission data. Self-organising maps, together with k-means algorithms, were used for data clustering. Following that, the acoustic emission features of each cluster were associated with the insights obtained from the crack propagation images. Finally, it was observed that the different layups triggered simultaneous toughening mechanisms. The combination of the acoustic emission and the visual evaluation was crucial for a deeper understanding of the underlying phenomena.","acoustic emission; Toughening mechanisms; Adhesively bonded joints; Artificial Neural Networks","en","poster","","","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:5e9cc64f-088d-4bd1-b35f-7d244e51cd58","http://resolver.tudelft.nl/uuid:5e9cc64f-088d-4bd1-b35f-7d244e51cd58","Bridging geological and seismic modelling: Study of petrophysical properties and their trends on outcrop analogue","Cuesta Cano, Andrea","","2023","Within the project Seismic property characterization for stratigraphic architecture analysis and static geomodel conditioning, a major challenge is populating the forward stratigraphic modelling (FSM) realisations with petrophysical properties to perform forward seismic modelling. FSM provides information about grain size and facies distribution. However, forward seismic modelling requires density, p-velocity, and s-velocity values. Typically, a single value is allocated to each facies, without honouring the potential intra-facies variability associated to, for instance, gradational porosity variations. During the field campaign, the Judith River Formation (Southern Montana) was analysed and samples were collected to study the trends of the petrophysical parameters in three-dimensions. These trends will be used to populate the outputs from FSM and realistically capture the variations that occur at the sub-seismic scale.","","en","report","Geoscience and Engineering","","","","","","","","","","","","Molengraaff Fonds",""
"uuid:59ea469d-5338-4025-98c6-cf2d19615880","http://resolver.tudelft.nl/uuid:59ea469d-5338-4025-98c6-cf2d19615880","Langs de Alumni Walk of Fame: deze historische W&S Alumnus: Prof. Dr. Ir. Hendricus J. van der Maas","van Woerkom, Paul Th.L.M.","Rozema, DirkJan (contributor)","2023","De TU Delft heeft in het kader van haar 180-jarig bestaan (1842 – 2022) in oktober 2022 een aantal nieuwe plaquettes geplaatst op haar campus langs de Mekelweg. De locatie wordt aangeduid met “Walk of Fame”. De plaquettes langs deze walk of Fame eren zowel zogenaamde TU Delft “Historische Alumni” en TU Delft “Hedendaagse Alumni”. Hierbij springt de naam van één der geplaquetteerde historische alumni direct in het oog: die van prof.dr.ir. Hendricus Jacobus van der Maas. Een naam die in de Nederlandse Luchtvaart- en Ruimtevaartgemeenschap bij voortduring met groot respect wordt genoemd. Maar een naam ook die binnen de faculteit waarbinnen hij in 1923 afstudeerde weinig Aha-Erlebnisse oproept. Wel bij LR en ver daarbuiten geëerd, maar bij 3mE vergeten? Hoog tijd dan ook om aandacht te besteden aan deze historische alumnus van onze eigen faculteit 3mE – een alumnus waarlijk nulli secundus....","H.J. van der Maas; prof.dr.ir. Hendricus Jacobus van der Maas; historische alumni","; nl","journal article","","","","","","","","","Mechanical, Maritime and Materials Engineering","Precision and Microsystems Engineering (PME)","","","",""
"uuid:9dc36cb9-95ca-442e-b803-e61ddc19c3d1","http://resolver.tudelft.nl/uuid:9dc36cb9-95ca-442e-b803-e61ddc19c3d1","Eunomia: Anonymous and Secure Vehicular Digital Forensics based on Blockchain","Li, Meng (Hefei University of Technology); Chen, Yifei (Hefei University of Technology); Lal, C. (TU Delft Cyber Security); Conti, M. (University of Padua); Alazab, Mamoun (Charles Darwin University); Hu, Donghui (Hefei University of Technology)","","2023","Vehicular Digital Forensics (VDF) is essential to enable liability cognizance of accidents and fight against crimes. Ensuring the authority to timely gather, analyze, and trace data promotes vehicular investigations. However, adversaries crave the identity of the data provider/user, damage the evidence, violate evidence jurisdiction, and leak evidence. Therefore, protecting privacy and evidence accountability while guaranteeing access control and traceability in VDF is no easy task. To address the above-mentioned issues, we propose Eunomia: an anonymous and secure VDF scheme based on blockchain. It preserves privacy with decentralized anonymous credentials without trusted third parties. Vehicular data and evidence are uploaded by data providers to the blockchain and stored in distributed data storage. Each investigation is modeled as a finite state machine with state transitions being executed by smart contracts. Eunomia achieves fine-grained evidence access control via ciphertext-policy attribute-based encryption and Bulletproofs. A user must hold specific attributes and a temporary-and unexpired token/warrant to retrieve data from the blockchain. Finally, a secret key is embedded into data to trace the traitor if any evidence breach happens. We use a formal analysis to demonstrate the strong privacy and security properties of Eunomia. Moreover, we build a prototype in a WiFi-based Ethereum test network to evaluate its performance.","Vehicular networks; digital forensics; privacy; security; blockchain","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-16","","","Cyber Security","","",""
"uuid:45ad3cc9-3a64-4a39-bb77-1922f6e1a5f3","http://resolver.tudelft.nl/uuid:45ad3cc9-3a64-4a39-bb77-1922f6e1a5f3","Individual and joint body movement assessed by wearable sensing as a predictor of attraction in speed dates","Vargas Quiros, J.D. (TU Delft Pattern Recognition and Bioinformatics); Kapcak, Oyku (Student TU Delft); Hung, H.S. (TU Delft Pattern Recognition and Bioinformatics); Cabrera Quiros, L.C. (Costa Rican Institute of Technology)","","2023","Interpersonal attraction is known to motivate behavioral responses in the person experiencing this subjective phenomenon. Such responses may involve the imitation of behavior, as in mirroring or mimicry of postures or gestures, which have been found to be associated with the desire to be liked by an interlocutor. Speed dating provides a unique opportunity for the study of such behavioral manifestations of interpersonal attraction through the elimination of barriers to initiating communication, while maintaining significant ecological validity. In this paper we investigate the relationship between body movement, measured via accelerometer sensors, and self-reports or ratings of attraction and affiliation in a dataset of 399 speed dates between 72 subjects. Through machine learning experiments, we found that both features derived from a single individual's body movement and features designed to measure aspects of synchrony and convergence of the couple's body movement signals were predictive of different attraction ratings. Our statistical analysis revealed that the overall increase or decrease in an individual's body movement throughout an interaction is a potential indicator of friendly intentions, possibly related to the desire to affiliate.","Accelerometers; attraction; body movement; Convergence; convergence; Feature extraction; Machine learning; non-verbal behavior; Robot sensing systems; Sensors; speed dates; synchrony; Wearable computers","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-05","","","Pattern Recognition and Bioinformatics","","",""
"uuid:a27efc12-b18d-48a3-9c96-de97c10139df","http://resolver.tudelft.nl/uuid:a27efc12-b18d-48a3-9c96-de97c10139df","Extended balancing of continuous LTI systems: a structure-preserving approach","Borja Rosales, L.P. (TU Delft Learning & Autonomous Control); Scherpen, Jacquelien M.A. (Rijksuniversiteit Groningen); Fujimoto, Kenji (Kyoto University)","","2023","In this article, we treat extended balancing for continuous-time linear time-invariant systems. We take a dissipativity perspective, thus, resulting in a characterization in terms of linear matrix inequalities. This perspective is useful for determining a priori error bounds. In addition, we address the problem of structure-preserving model reduction of the subclass of port-Hamiltonian systems. We establish sufficient conditions to ensure that the reduced-order model preserves a port-Hamiltonian structure. Moreover, we show that the use of extended Gramians can be exploited to get a small error bound and, possibly, to preserve a physical interpretation for the reduced-order model. We illustrate the results with a large-scale mechanical system example. Furthermore, we show how to interpret a reduced-order model of an electrical circuit again as a lower dimensional electrical circuit.","Controllability; error bound; extended Gramians; Linear matrix inequalities; Linear systems; model reduction; Observability; port-Hamiltonian systems; Reduced order systems; Standards; Symmetric matrices","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Learning & Autonomous Control","","",""
"uuid:8561dfab-02f8-4e01-947e-7d8014922c9b","http://resolver.tudelft.nl/uuid:8561dfab-02f8-4e01-947e-7d8014922c9b","Single module identifiability in linear dynamic networks with partial excitation and measurement","Shi, S. (TU Delft Team Bart De Schutter; Eindhoven University of Technology); Cheng, Xiaodong (University of Cambridge); Van den Hof, Paul M.J. (Eindhoven University of Technology)","","2023","Identifiability of a single module in a network of transfer functions is determined by whether a particular transfer function in the network can be uniquely distinguished within a network model set, on the basis of data. Whereas previous research has focused on the situations that all network signals are either excited or measured, we develop generalized analysis results for the situation of partial measurement and partial excitation. As identifiability conditions typically require a sufficient number of external excitation signals, this article introduces a novel network model structure such that excitation from unmeasured noise signals is included, which leads to less conservative identifiability conditions than relying on measured excitation signals only. More importantly, graphical conditions are developed to verify global and generic identifiability of a single module based on the topology of the dynamic network. Depending on whether the input or the output of the module can be measured, we present four identifiability conditions which cover all possible situations in single module identification. These conditions further lead to synthesis approaches for allocating excitation signals and selecting measured signals, to warrant single module identifiability. In addition, if the identifiability conditions are satisfied for a sufficient number of external excitation signals only, indirect identification methods are developed to provide a consistent estimate of the module. All the obtained results are also extended to identifiability of multiple modules in the network.","Brain modeling; Data models; dynamic networks; graph theory; identifiability; MISO communication; Network topology; Power system dynamics; System identification; Topology; Transfer functions","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Bart De Schutter","","",""
"uuid:704dd3e3-ee8b-4104-85a9-8a5321c82f51","http://resolver.tudelft.nl/uuid:704dd3e3-ee8b-4104-85a9-8a5321c82f51","A Fixed-Wing UAV Formation Algorithm Based on Vector Field Guidance","Wang, X. (TU Delft Team Bart De Schutter); Baldi, S. (TU Delft Team Bart De Schutter; Southeast University); Feng, Xuewei (Taiyuan University of Technology); Wu, Changwei (Taiyuan University of Technology); Xie, Hongwei (Taiyuan University of Technology); De Schutter, B.H.K. (TU Delft Team Bart De Schutter)","","2023","The vector field method was originally proposed to guide a single fixed-wing Unmanned Aerial Vehicle (UAV) towards a desired path. In this work, a non-uniform vector field method is proposed that changes in both magnitude and direction, for the purpose of achieving formations of UAVs. As compared to related work in the literature, the proposed formation control law does not need to assume absence of wind. That is, due to the effect of the wind on the UAV, one can handle the UAV air speed being different from its ground speed, and the UAV heading angle being different from its course angle. Stability of the proposed formation method is analyzed via Lyapunov stability theory, and validations are carried out in software-in-the-loop and hardware-in-the-loop comparative experiments. Note to Practitioners - The software-in-the-loop and hardware-in-the-loop experiments, which are done with PX4 autopilot software and hardware, show that the proposed method can be implemented on board of UAVs and integrated with the control architecture of existing autopilot suites. Comparisons with standard formation algorithms show that the proposed method is effective in achieving formation in different path scenarios.","Autonomous aerial vehicles; Autopilot; Computer architecture; Formation control; hardware-in-the-loop; Orbits; PX4 autopilot.; Standards; Task analysis; unmanned aerial vehicles; vector field; Wind speed","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Bart De Schutter","","",""
"uuid:14b1af75-bf0f-42d6-b2cb-33232afacd4b","http://resolver.tudelft.nl/uuid:14b1af75-bf0f-42d6-b2cb-33232afacd4b","Distributed Actor-Critic Algorithms for Multiagent Reinforcement Learning Over Directed Graphs","Dai, Pengcheng (Southeast University); Yu, Wenwu (Southeast University); Wang, He (Southeast University); Baldi, S. (TU Delft Team Bart De Schutter; Southeast University)","","2023","Actor-critic (AC) cooperative multiagent reinforcement learning (MARL) over directed graphs is studied in this article. The goal of the agents in MARL is to maximize the globally averaged return in a distributed way, i.e., each agent can only exchange information with its neighboring agents. AC methods proposed in the literature require the communication graphs to be undirected and the weight matrices to be doubly stochastic (more precisely, the weight matrices are row stochastic and their expectation are column stochastic). Differently from these methods, we propose a distributed AC algorithm for MARL over directed graph with fixed topology that only requires the weight matrix to be row stochastic. Then, we also study the MARL over directed graphs (possibly not connected) with changing topologies, proposing a different distributed AC algorithm based on the push-sum protocol that only requires the weight matrices to be column stochastic. Convergence of the proposed algorithms is proven for linear function approximation of the action value function. Simulations are presented to demonstrate the effectiveness of the proposed algorithms.","Approximation algorithms; Convergence; Directed graph; Directed graphs; distributed actor-critic (AC) algorithm; Function approximation; multiagent reinforcement learning (MARL); Protocols; push-sum protocol.; Q-learning; Topology","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-07-11","","","Team Bart De Schutter","","",""
"uuid:c8e12baf-f9fb-472b-8343-bfc930c2c2a5","http://resolver.tudelft.nl/uuid:c8e12baf-f9fb-472b-8343-bfc930c2c2a5","Adaptive Prescribed Performance Asymptotic Tracking for High-Order Odd-Rational-Power Nonlinear Systems","Lv, Maolong (TU Delft Team Bart De Schutter); De Schutter, B.H.K. (TU Delft Team Bart De Schutter); Cao, Jinde (Southeast University); Baldi, S. (TU Delft Team Bart De Schutter; Southeast University)","","2023","Practical tracking results have been reported in the literature for high-order odd-rational-power nonlinear dynamics (a chain of integrators whose power is the ratio of odd integers). Asymptotic tracking remains an open problem for such dynamics. This note gives a positive answer to this problem in the framework of prescribed performance control, without approximation structures (neural networks, fuzzy logic, etc.) being involved in the control design. The unknown system uncertainties are first transformed to unknown but bounded terms using barrier Lyapunov functions, and then these terms are compensated by appropriate adaptation laws. A method is also proposed to extract the control terms in a linear-like fashion during the control design, which overcomes the difficulty that virtual or actual control signals appear in a nonaffine manner. A practical poppet valve system is used to validate the effectiveness of the theoretical findings.","Asymptotic tracking; Control design; High-order odd-rational-power nonlinear systems; Hysteresis; Neural networks; Nonlinear dynamical systems; Prescribed performance; Quantization (signal); Trajectory; Uncertainty","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Bart De Schutter","","",""
"uuid:6de8ebab-7dc1-4a81-bac7-323e53db9592","http://resolver.tudelft.nl/uuid:6de8ebab-7dc1-4a81-bac7-323e53db9592","Training Generative Adversarial Networks via Stochastic Nash Games","Franci, B. (TU Delft Team Sergio Grammatico); Grammatico, S. (TU Delft Team Sergio Grammatico; TU Delft Team Bart De Schutter)","","2023","Generative adversarial networks (GANs) are a class of generative models with two antagonistic neural networks: a generator and a discriminator. These two neural networks compete against each other through an adversarial process that can be modeled as a stochastic Nash equilibrium problem. Since the associated training process is challenging, it is fundamental to design reliable algorithms to compute an equilibrium. In this article, we propose a stochastic relaxed forward-backward (SRFB) algorithm for GANs, and we show convergence to an exact solution when an increasing number of data is available. We also show convergence of an averaged variant of the SRFB algorithm to a neighborhood of the solution when only a few samples are available. In both cases, convergence is guaranteed when the pseudogradient mapping of the game is monotone. This assumption is among the weakest known in the literature. Moreover, we apply our algorithm to the image generation problem.","Convergence; Games; Generative adversarial networks; Generative adversarial networks (GANs); Generators; Neural networks; stochastic Nash equilibrium (SNE) problems (SNEPs); Stochastic processes; Training; two-player game; variational inequalities.","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-02-26","","","Team Sergio Grammatico","","",""
"uuid:e30a43ca-720d-44c7-b385-390da0b2fd6f","http://resolver.tudelft.nl/uuid:e30a43ca-720d-44c7-b385-390da0b2fd6f","Fuzzy Adaptive Zero-Error-Constrained Tracking Control for HFVs in the Presence of Multiple Unknown Control Directions","Lv, Maolong (Air Force Engineering University China); De Schutter, B.H.K. (TU Delft Team Bart De Schutter); Wang, Ying (Air Force Engineering University China); Shen, Di (Air Force Engineering University China)","","2023","This article attempts to realize zero-error constrained tracking for hypersonic flight vehicles (HFVs) subject to unknown control directions and asymmetric flight state constraints. The main challenges of reaching such goals consist in that addressing multiple unknown control directions requires novel conditional inequalities encompassing the summation of multiple Nussbaum integral terms, and in that the summation of conditional inequality may be bounded even when each term approaches infinity individually, but with opposite signs. To handle this challenge, novel Nussbaum functions that are designed in such a way that their signs keep the same on some periods of time are incorporated into the control design, which not only ensures the boundedness of multiple Nussbaum integral terms but preserves that velocity and altitude tracking errors eventually converge to zero. Fuzzy-logic systems (FLSs) are exploited to approximate model uncertainties. Asymmetric integral barrier Lyapunov functions (IBLFs) are adopted to handle the fact that the operating regions of flight state variables are asymmetric in practice, while ensuring the validity of fuzzy-logic approximators. Comparative simulations validate the effectiveness of our proposed methodology in guaranteeing convergence, smoothness, constraints satisfaction, and in handling unknown control directions.","Aerodynamics; Control design; Flight state constraints; hypersonic flight vehicles; Integral equations; Lyapunov methods; Mathematical models; Stability analysis; unknown control directions; Vehicle dynamics; zero-error tracking","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-09-23","","","Team Bart De Schutter","","",""
"uuid:a15b4c34-ec6e-4421-bf92-eedee8bb5e36","http://resolver.tudelft.nl/uuid:a15b4c34-ec6e-4421-bf92-eedee8bb5e36","Pull Request Decisions Explained: An Empirical Overview","Zhang, Xunhui (National University of Defense Technology); Yu, Yue (National University of Defense Technology); Gousios, G. (TU Delft Software Technology); Rastogi, A. (TU Delft Software Engineering; Rijksuniversiteit Groningen)","","2023","Context: The pull-based development model is widely used in open source projects, leading to the emergence of trends in distributed software development. One aspect that has garnered significant attention concerning pull request decisions is the identification of explanatory factors. Objective: This study builds on a decade of research on pull request decisions and provides further insights. We empirically investigate how factors influence pull request decisions and the scenarios that change the influence of such factors. Method: We identify factors influencing pull request decisions on GitHub through a systematic literature review and infer them by mining archival data. We collect a total of 3,347,937 pull requests with 95 features from 11,230 diverse projects on GitHub. Using these data, we explore the relations among the factors and build mixed effects logistic regression models to empirically explain pull request decisions. Results: Our study shows that a small number of factors explain pull request decisions, with that concerning whether the integrator is the same as or different from the submitter being the most important factor. We also note that the influence of factors on pull request decisions change with a change in context; e.g., the area hotness of pull request is important only in the early stage of project development, however it becomes unimportant for pull request decisions as projects become mature.","pull-based development; pull request decision; distributed software development; GitHub","en","journal article","","","","","","","","2023-03-06","","Software Technology","Software Engineering","","",""
"uuid:4420a964-7423-44d3-96b5-bd1450c3b5ea","http://resolver.tudelft.nl/uuid:4420a964-7423-44d3-96b5-bd1450c3b5ea","Collecting Mementos: A Multimodal Dataset for Context-Sensitive Modeling of Affect and Memory Processing in Responses to Videos","Dudzik, B.J.W. (TU Delft Pattern Recognition and Bioinformatics); Hung, H.S. (TU Delft Pattern Recognition and Bioinformatics); Neerincx, M.A. (TU Delft Interactive Intelligence); Broekens, D.J. (Universiteit Leiden)","","2023","In this article we introduce Mementos: the first multimodal corpus for computational modeling of affect and memory processing in response to video content. It was collected online via crowdsourcing and captures 1995 individual responses collected from 297 unique viewers responding to 42 different segments of music videos. Apart from webcam recordings of their upper-body behavior (totaling 2012 minutes) and self-reports of their emotional experience, it contains detailed descriptions of the occurrence and content of 989 personal memories triggered by the video content. Finally, the dataset includes self-report measures related to individual differences in participants' background and situation (Demographics, Personality, and Mood), thereby facilitating the exploration of important contextual factors in research using the dataset. We describe 1) the construction and contents of the corpus itself, 2) analyse the validity of its content by investigating biases and consistency with existing research on affect and memory processing, 3) review previously published work that demonstrates the usefulness of the multimodal data in the corpus for research on automated detection and prediction tasks, and 4) provide suggestions for how the dataset can be used in future research on modeling Video-Induced Emotions, Memory-Associated Affect, and Memory Evocation.","Affect Detection; Atmospheric measurements; Computational modeling; Context-Sensitivity; Films; Media; Memory Evocation; Memory-Associated Affect; Mood; Multimodal Dataset; Particle measurements; Personal Memory; Personalization; Video Affective Content Analysis; Video-induced Emotion; Videos","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-01","","","Pattern Recognition and Bioinformatics","","",""
"uuid:a4d80371-1673-476d-a6db-f09aae329e83","http://resolver.tudelft.nl/uuid:a4d80371-1673-476d-a6db-f09aae329e83","Band-Passing Nonlinearity in Reset Elements","Karbasizadeh, Nima (TU Delft Mechatronic Systems Design); Ahmadi Dastjerdi, A. (TU Delft Mechatronic Systems Design); Saikumar, N. (TU Delft Mechatronic Systems Design); Hassan HosseinNia, S. (TU Delft Mechatronic Systems Design)","","2023","This article addresses nonlinearity in reset elements and its effects. Reset elements are known for having less phase lag based on describing function (DF) analysis compared to their linear counterparts; however, they are nonlinear elements and produce higher-order harmonics. This article investigates the steady-state higher-order harmonics for reset elements with one resetting state and proposes an architecture and a method of design that allows for band-passing the nonlinearity and its effects, namely, higher-order harmonics and phase advantage. The nonlinearity of reset elements is not entirely useful for all frequencies, for example, they are useful for reducing phase lag at crossover frequency regions; however, higher-order harmonics can compromise tracking and disturbance rejection performance at lower frequencies. Using the proposed “phase shaping” method, one can selectively suppress the nonlinearity of a single-state reset element in a desired range of frequencies and allow the nonlinearity to provide its phase benefit in a different desired range of frequencies. This can be especially useful for the reset elements in the framework of the “constant in gain, lead in phase” (CgLp) filter, which is a newly introduced nonlinear filter, bound to circumvent the well-known linear control limitation—the waterbed effect.","","en","journal article","","","","","","","","","","","Mechatronic Systems Design","","",""
"uuid:9526fff4-b294-4c9e-89a2-25a5d3a345a0","http://resolver.tudelft.nl/uuid:9526fff4-b294-4c9e-89a2-25a5d3a345a0","Acknowledging the Dignity of Architectural Heritage: Adding a Fourth Virtue to the Vitruvian Triad","Clarke, Nicholas (TU Delft Heritage & Architecture); Kuipers, M.C. (TU Delft Heritage & Values)","","2023","Addressing the complex legacies of the past in architectural education and built constructions, calls for a reconsidering of the principles of architectural design and conservation. The current challenges of housing, sustainable development and heritage adaptation present huge dilemmas for architects. Yet today architects are only by exception trained to detect heritage values prior to drafting their interventions for adaptive reuse or upgrading. To this day, Western architectural thinking is influenced by the Vitruvian triad Firmitas, Utilitas and Venustas, and the truncated maxim ‘Form Follows Function’ as disseminated by the protagonists of the Modern Movement. These established a divide between the design for new-build and the care of already existing buildings. This divide is marked by the two Charters of Athens: the 1931 Carta del Restauro adopted at the First International Congress of Architects and Technicians of Historic Monuments, and La Chartre d’Athènes presented by Le Corbusier as a result of the 4th CIAM Congress on the Functional City (1933). This paper attempts to bridge the identified divide by adding the idea of ‘Dignitas’ (dignity) as an equal virtue to the Vitruvian triad. Though not new for itself, this concept may aid to raise awareness of architectural dignity in extant buildings.","architectural theory; architectural education; conservation theory; renovation challenge; dignitas","en","journal article","","","","","","","","","","","Heritage & Architecture","","",""
"uuid:f0393396-0044-4cc4-8d44-68950eeaade5","http://resolver.tudelft.nl/uuid:f0393396-0044-4cc4-8d44-68950eeaade5","Single and Multi-objective Test Cases Prioritization for Self-driving Cars in Virtual Environments","Birchler, Christian (Zurich University of Applied Science (ZHAW)); Khatiri, Sajad (Zurich University of Applied Science (ZHAW)); Derakhshanfar, P. (TU Delft Software Engineering); Panichella, Sebastiano (Zurich University of Applied Science (ZHAW)); Panichella, A. (TU Delft Software Engineering)","","2023","Testing with simulation environments helps to identify critical failing scenarios for self-driving cars (SDCs). Simulation-based tests are safer than in-field operational tests and allow detecting software defects before deployment. However, these tests are very expensive and are too many to be run frequently within limited time constraints.In this article, we investigate test case prioritization techniques to increase the ability to detect SDC regression faults with virtual tests earlier. Our approach, called SDC-Prioritizer, prioritizes virtual tests for SDCs according to static features of the roads we designed to be used within the driving scenarios. These features can be collected without running the tests, which means that they do not require past execution results. We introduce two evolutionary approaches to prioritize the test cases using diversity metrics (black-box heuristics) computed on these static features. These two approaches, called SO-SDC-Prioritizer and MO-SDC-Prioritizer, use single-objective and multi-objective genetic algorithms (GA), respectively, to find trade-offs between executing the less expensive tests and the most diverse test cases earlier.Our empirical study conducted in the SDC domain shows that MO-SDC-Prioritizer significantly (P- value <=0.1e-10) improves the ability to detect safety-critical failures at the same level of execution time compared to baselines: random and greedy-based test case orderings. Besides, our study indicates that multi-objective meta-heuristics outperform single-objective approaches when prioritizing simulation-based tests for SDCs.MO-SDC-Prioritizer prioritizes test cases with a large improvement in fault detection while its overhead (up to 0.45% of the test execution cost) is negligible.","Autonomous Systems; Software Simulation; Test Case Prioritization; Self-driving cars; Search-based Software Engineering; Software Testing; Evolutionary computation","en","journal article","","","","","","","","","","","Software Engineering","","",""
"uuid:ac0ab01e-8d77-40e0-a304-b5497baf29ba","http://resolver.tudelft.nl/uuid:ac0ab01e-8d77-40e0-a304-b5497baf29ba","Power Disequilibrium Suppression in Bipolar DC Distribution Grids By Using A Series-Parallel Power Flow Controller","Liao, Jianquan (Sichuan University); Zhou, Niancheng (Chongqing University); Qin, Z. (TU Delft DC systems, Energy conversion & Storage); Wang, Qianggang (Chongqing University); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2023","The unbalanced power between positive and negative poles in a bipolar DC distribution network (DC-DN) generates an unbalanced current at the neutral line, which enlarges the power losses of the system and the voltage deviation of DC loads. An unbalanced power suppression strategy based on a series-parallel power flow controller (SP-PFC) is proposed in this paper. The SP-PFC is adopted as the interconnection between two different DC-DNs. The topology and operating modes of SP-PFC are analyzed. Subsequently, SP-PFC output voltage and line current expressions under constant power control are derived. The nonlinear relationship between the output voltage and line current is linearized at the operating point. On this basis, the influences of unbalanced load and receiving-end voltage on the SP-PFC are investigated. A small-signal model of bipolar DC-DN containing an SP-PFC is established, and the system stability is analyzed. A simulation model of the bipolar DC distribution network containing an SP-PFC is built up in MATLAB/Simulink, and the effectiveness of the SP-PFC in the suppression of unbalanced power is verified.","Bipolar DC distribution network; unbalanced power; power flow controller; constant power control","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-01","","","DC systems, Energy conversion & Storage","","",""
"uuid:1280a3c4-b32b-4019-9903-c82996848040","http://resolver.tudelft.nl/uuid:1280a3c4-b32b-4019-9903-c82996848040","DeepPick: A Deep Learning Approach to Unveil Outstanding Users Ranking with Public Attainable Features","Li, Wanda (Fudan University); Xu, Zhiwei (Fudan University); Sun, Yi (Fudan University); Gong, Qingyuan (Fudan University); Chen, Y. (Fudan University); Ding, Aaron Yi (TU Delft Information and Communication Technology); Wang, Xin (Fudan University); Hui, Pan (The Hong Kong University of Science and Technology; University of Helsinki)","","2023","Outstanding users (OUs) denote the influential, 'core' or 'bridge' users in online social networks. How to accurately detect and rank them is an important problem for third-party online service providers and researchers. Conventional efforts, ranging from early graph-based algorithms to recent machine learning-based approaches, typically rely on an entire social network's information. However, for privacy-conscious users or newly-registered users, such information is not easily accessible. To address this issue, we present DeepPick, a novel framework that considers both the generalization and specialization in the detection task of OUs. For generalization, we introduce deep neural networks to capture dynamic features of the users. For specialization, we leverage the traditional descriptive features to make use of public information about users. Extensive experiments based on real-world datasets demonstrate that our approach achieves a high efficacy of detection performance against the state-of-the-art.","Bridges; Computer science; Deep Neural Networks; Feature extraction; Integrated circuit modeling; Neural networks; Online Social Networks; Outstanding User Detection; Social networking (online); Task analysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Information and Communication Technology","","",""
"uuid:c8fde9a9-ed21-451f-b76f-abde0e357b12","http://resolver.tudelft.nl/uuid:c8fde9a9-ed21-451f-b76f-abde0e357b12","Low-Voltage dc System Building Blocks: Integrated Power Flow Control and Short Circuit Protection","Purgat, P. (Eaton Industries GmbH); Shekhar, A. (TU Delft DC systems, Energy conversion & Storage); Qin, Z. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2023","Low-voltage direct current (LVdc) systems are a promising technology for systems with a high penetration of renewable sources and storage that operate with bidirectional power flow. In this article, a fundamental building block for LVdc is presented for different applications, such as charge controllers, voltage regulation in street-lighting systems, and current limiters in meshed dc grids. The developed building block integrates a solid-state circuit breaker (SSCB) and partially rated power flow control converter (PFCC) capable of achieving the given control objectives with extremely high system efficiency and full short circuit protection.","Buildings; Lighting; Silicon; Data centers; Costs; Voltage; Microgrids","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-30","","","DC systems, Energy conversion & Storage","","",""
"uuid:0ae78042-8e70-49ea-b7b8-c9859c69eeab","http://resolver.tudelft.nl/uuid:0ae78042-8e70-49ea-b7b8-c9859c69eeab","Energy Communities Coming of Age: Developing a Tool to Monitor Maturity and Scaling","Hoppe, T. (TU Delft Organisation & Governance); Itten, A.V. (TU Delft Organisation & Governance); trovalusci, F. (Student TU Delft); Fremouw, M.A. (TU Delft Organisation & Governance)","","2023","This report presents Deliverables 2.1 and 2.2 of the Horizon 2020 SCCALE 203050 project (Sustainable Collective Citizen Action for a Local Europe). The aim of this project is to scale the growth of energy communities - or “Renewable Energy Communities'' according to the EU Renewable Energy Directive (RED II) - across Europe in the areas of energy efficiency, renewable energy production, district heating in households and non-residential buildings. This report is the first deliverable of Work package 2 (Research and Academic Validation) which seeks to gain a better understanding of how collective citizen actions in sustainable energy develop, grow, mature - in other words, how they come of age. This will be done by analysing, monitoring and evaluating experiences of collective citizen action and community engagement in the domains of sustainable energy (i.e. renewable energy, energy efficiency, and energy conservation).
This report first presents the results of a literature review (i.e. Deliverable 2.1) – using both academic and grey literature - on energy communities and collective citizen actions contributing to sustainable energy transitions. However, the report goes beyond a literature study. Instead, it was developed as a collaborative effort between the research team at Delft University of Technology and community energy experts and practitioners using multiple interactive and feedback meetings.
The central aim of this report is to generate insights into the actions and activities energy communities and citizen collectives undertake to develop and mature their organisations with the objective to scale, achieve transformative change, and make both a social and environmental impact. The report maps state of the art insights into collective citizen actions at the neighbourhood level, targeting energy efficiency and renewable energy technology measures alike. Moreover, it addresses relevant theory and good practice on actions and activities that energy communities can pursue, partly based on theory and partly based on case studies. In addition, the report also takes into account issues like energy poverty, energy democracy, energy justice, social inclusiveness, citizen engagement, multi-stakeholder management in neighbourhoods, the use of digital tools, and data protection (to cope with increasing cybersecurity issues).
In addition, the report presents the development and design of a monitoring tool (Deliverable 2.2). The Development Progress Tool uses knowledge from the literature study. This is firstly used to elaborate the energy community maturity scale and framework as developed under the Horizon 2020 COMPILE project (Seebauer et al., 2022). The elaborated maturity index forms the conceptual basis and framework to develop a monitoring tool. The latter will be implemented, tested and validated among the five demonstration pilots of SCCALE 203050 in 2022-2023.","","en","report","","","","","","Funded by the H2020 Framework Programme of the European Union under grant agreement No 101033676","","","","","Organisation & Governance","","",""
"uuid:1fe808ba-a627-4bc9-aaea-03f90883e5aa","http://resolver.tudelft.nl/uuid:1fe808ba-a627-4bc9-aaea-03f90883e5aa","Compatibility Assessment of Multistatic/Polarimetric Clutter Data with the SIRP Model","Aubry, Augusto (Università degli Studi di Napoli Federico II); Carotenuto, Vincenzo (Università degli Studi di Napoli Federico II); De Maio, Antonio (Università degli Studi di Napoli Federico II); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems)","","2023","This article deals with the statistical inference of simultaneously recorded co- and cross-polarized bistatic coherent sea-clutter returns at S-band. This study is conducted employing appropriate statistical learning tools, involving the complex envelope of data, to assess the compliance of the available measurements with the spherically invariant random process (SIRP) representation, as well as to analyze possible texture correlations among the diverse polarimetric channels. Moreover, the spatial heterogeneity of the sea-clutter data is studied. The results highlight that the SIRP model is a good candidate for the representation of bistatic coherent clutter and usually the coherence time of the SIRP texture at the bistatic nodes is longer than that in the monostatic sensing. Notably, at bistatic angles in order of 60°, the quadrature components of the cross-polarized bistatic measurements substantially exhibit a Gaussian behavior. These achievements further shed light on the bistatic sea-clutter diversity from the geometric and polarimetric point of view.","Multistatic/polarimetric radar; Spherically Invariant Random Process (SIRP); Geometry; sea-clutter; coherence time; spatial heterogeneity","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-31","","","Microwave Sensing, Signals & Systems","","",""
"uuid:e7a48097-5f24-4199-ba54-fdc276cc0dcf","http://resolver.tudelft.nl/uuid:e7a48097-5f24-4199-ba54-fdc276cc0dcf","Output Controllability of a Linear Dynamical System with Sparse Controls","Joseph, G. (TU Delft Signal Processing Systems)","","2023","In this article, we study the conditions to be satisfied by a discrete-time linear system to ensure output controllability using sparse control inputs. A set of necessary and sufficient conditions can be directly obtained by extending the Kalman rank test for output controllability. However, the verification of these conditions is computationally heavy due to their combinatorial nature. Therefore, we derive noncombinatorial conditions for output sparse controllability that can be verified with polynomial time complexity. Our results also provide bounds on the minimum sparsity level required to ensure output controllability of the system. This additional insight is useful for designing sparse control input that drives the system to any desired output.","Controllability; linear dynamical systems; time-varying support; discrete-time system; sparsity; output controllability; Kalman rank test; optimal sparse control; general linear systems; minimal input","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public","","2023-09-13","","","Signal Processing Systems","","",""
"uuid:996e61c7-a501-4b73-8b97-06c582ee5e6f","http://resolver.tudelft.nl/uuid:996e61c7-a501-4b73-8b97-06c582ee5e6f","Zorro: Valid, sparse, and stable explanations in graph neural networks","Funke, Thorben (Leibniz University of Hannover); Khosla, M. (TU Delft Multimedia Computing); Rathee, Mandeep (Leibniz University of Hannover); Anand, A. (TU Delft Web Information Systems)","","2023","With the ever-increasing popularity and applications of graph neural networks, several proposals have been made to explain and understand the decisions of a graph neural network. Explanations for graph neural networks differ in principle from other input settings. It is important to attribute the decision to input features and other related instances connected by the graph structure. We find that the previous explanation generation approaches that maximize the mutual information between the label distribution produced by the model and the explanation to be restrictive. Specifically, existing approaches do not enforce explanations to be valid, sparse, or robust to input perturbations. In this paper, we lay down some of the fundamental principles that an explanation method for graph neural networks should follow and introduce a metric RDT-Fidelity as a measure of the explanation's effectiveness. We propose a novel approach Zorro based on the principles from rate-distortion theory that uses a simple combinatorial procedure to optimize for RDT-Fidelity. Extensive experiments on real and synthetic datasets reveal that Zorro produces sparser, stable, and more faithful explanations than existing graph neural network explanation approaches.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-24","","","Multimedia Computing","","",""
"uuid:ab99a0a3-9c56-4f80-a753-0f7c079ff03b","http://resolver.tudelft.nl/uuid:ab99a0a3-9c56-4f80-a753-0f7c079ff03b","Abstracting the Traffic of Nonlinear Event-Triggered Control Systems","Delimpaltadakis, Giannis (TU Delft Team Manuel Mazo Jr); Mazo, M. (TU Delft Team Manuel Mazo Jr)","","2023","Scheduling communication traffic in networks of event-triggered control (ETC) systems is challenging, as their sampling times are unknown, hindering application of ETC in networks. In previous work, finite-state abstractions were created, capturing the sampling behavior of linear time-invariant (LTI) ETC systems with quadratic triggering functions. Offering an infinite-horizon look to ETC systems' sampling patterns, such abstractions can be used for scheduling of ETC traffic. Here, we significantly extend this framework, by abstracting perturbed uncertain nonlinear ETC systems with general triggering functions. To construct an ETC system's abstraction: 1) the state space is partitioned into regions; 2) for each region, an interval is determined, containing all intersampling times of points in the region; and 3) the abstraction's transitions are determined through reachability analysis. To determine intervals and transitions, we devise algorithms based on reachability analysis. For partitioning, we propose an approach based on isochronous manifolds, resulting into tighter intervals and providing control over them, thus containing the abstraction's nondeterminism. Simulations showcase our developments.","Control systems; Linear systems; Reachability analysis; Timing; Trajectory; Uncertainty; Writing","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-02-01","","","Team Manuel Mazo Jr","","",""
"uuid:41d54d45-250a-4cfb-b5b5-4b129994adb2","http://resolver.tudelft.nl/uuid:41d54d45-250a-4cfb-b5b5-4b129994adb2","Integrated Sensing and Communication in UAV Swarms for Cooperative Multiple Targets Tracking","Zhou, Longyu (University of Electronic Science and Technology of China (UESTC)); Leng, Supeng (University of Electronic Science and Technology of China (UESTC)); Wang, Q. (TU Delft Embedded Systems); Liu, Qiang (University of Electronic Science and Technology of China (UESTC))","","2023","Various interconnected Internet of Things (IoT) devices have emerged, led by the intelligence of the IoT, to realize exceptional interaction with the physical world. In this context, UAV swarm-enabled Multiple Targets Tracking (UAV-MTT), which can sense and track mobile targets for many applications such as hit-and-run, is an appealing topic. Unfortunately, UAVs cannot implement real-time MTT based on the traditional centralized pattern due to the complicated road network environment. It is also challenging to realize low-overhead UAV swarm cooperation in a distributed architecture for the real-time MTT. To address the problem, we propose a cyber-twin-based distributed tracking algorithm to update and optimize a trained digital model for real-time MTT. We then design a distributed cooperative tracking framework to promote MTT performance. In the design, both short-distance and long-distance distributed tracking cooperation manners are first realized with low energy consumption in communication by integrating resources of sensing and communication. Resource integration promotes target sensing efficiency with a highly successful tracking ratio as well. Theoretical derivation proves our algorithmic convergence. Hardware-in-the-loop simulation results demonstrate that our proposed algorithm can remarkably save 65.7% energy consumption in communication compared to other benchmarks while efficiently promoting 20.0% sensing performance.","Integrated sensing and communication; UAV swarm; Target tracking; cyber-twin","en","journal article","","","","","","","","2023-10-05","","","Embedded Systems","","",""
"uuid:71dec77a-bb95-4e9a-86bd-3b39d620d29f","http://resolver.tudelft.nl/uuid:71dec77a-bb95-4e9a-86bd-3b39d620d29f","Accurate and Energy-Efficient Bit-Slicing for RRAM-Based Neural Networks","Diware, S.S. (TU Delft Computer Engineering); Singh, A. (TU Delft Computer Engineering); Gebregiorgis, A.B. (TU Delft Computer Engineering); Joshi, Rajiv V. (IBM Thomas J. Watson Research Centre); Hamdioui, S. (TU Delft Quantum & Computer Engineering); Bishnoi, R.K. (TU Delft Computer Engineering)","","2023","Computation-in-memory (CIM) paradigm leverages emerging memory technologies such as resistive random access memories (RRAMs) to process the data within the memory itself. This alleviates the memory-processor bottleneck resulting in much higher hardware efficiency compared to von-Neumann architecture-based conventional hardware. Hence, CIM becomes an attractive alternative for applications like neural networks which require a huge number of data transfer operations in conventional hardware. CIM-based neural networks typically employ bit-slicing scheme which represents a single neural weight using multiple RRAM devices (called slices) to meet the high bit-precision demand. However, such neural networks suffer from significant accuracy degradation due to non-zero Gmin error where a zero weight in the neural network is represented by an RRAM device with a non-zero conductance. This paper proposes an unbalanced bit-slicing scheme to mitigate the impact of non-zero Gmin error. It achieves this by allocating appropriate sensing margins for different slices based on their binary positions. It also tunes the sensing margins to meet the demands of either high accuracy or energy-efficiency. The sensing margin allocation is supported by 2's complement arithmetic which further reduces the influence of non-zero Gmin error. Simulation results show that our proposed scheme achieves up to 7.3× accuracy and up to 7.8× correct operations per unit energy consumption compared to state-of-the-art.","Computation-in-memory; bit-slicing; neural networks; non-zero Gmin error; conductance variation; nonidealities","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-07","","Quantum & Computer Engineering","Computer Engineering","","",""
"uuid:9491e6e1-fbce-4369-9049-cc3fd9202827","http://resolver.tudelft.nl/uuid:9491e6e1-fbce-4369-9049-cc3fd9202827","Discrete-Time Fractional-Order Dynamical Networks Minimum-Energy State Estimation","Chatterjee, Sarthak (Rensselaer Polytechnic Institute); Alessandretti, Andrea (Magneti Marelli); Aguiar, A. Pedro (Universidade do Porto); Gonçalves Melo Pequito, S.D. (TU Delft Team Sergio Pequito)","","2023","Fractional-order dynamical networks are increasingly being used to model and describe processes demonstrating long-term memory or complex interlaced dependencies among the spatial and temporal components of a wide variety of dynamical networks. Notable examples include networked control systems or neurophysiological networks which are created using electroencephalographic (EEG) or blood-oxygen-level-dependent data. As a result, the estimation of the states of fractional-order dynamical networks poses an important problem. To this effect, this article addresses the problem of minimum-energy state estimation for discrete-time fractional-order dynamical networks, where the state and output equations are affected by an additive noise that is considered to be deterministic, bounded, and unknown. Specifically, we derive the corresponding estimator and show that the resulting estimation error is exponentially input-to-state stable with respect to the disturbances and to a signal that is decreasing with the increase of the accuracy of the adopted approximation model. An illustrative example shows the effectiveness of the proposed method on real-world neurophysiological networks. Our results may significantly contribute to the development of novel neurotechnologies, particularly in the development of state estimation paradigms for neural signals such as EEG, which are often noisy signals known to be affected by artifacts not having any particular stochastic characterization.","Additives; Biological networks; cyber-physical systems; decision/estimation theory; Electroencephalography; Linear programming; Network systems; other applications; State estimation; Symmetric matrices; Uncertainty","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-02-16","","","Team Sergio Pequito","","",""
"uuid:93a0cd82-772c-4148-a2d3-1c3b229f14f0","http://resolver.tudelft.nl/uuid:93a0cd82-772c-4148-a2d3-1c3b229f14f0","Energy-Efficient Routing of a Multirobot Station: A Flexible Time-Space Network Approach","Xin, Jianbin (Zhengzhou University); Meng, Chuang (Zhengzhou University); D'Ariano, Andrea (University of Roma Tre); Schulte, F. (TU Delft Transport Engineering and Logistics); Peng, Jinzhu (Zhengzhou University); Negenborn, R.R. (TU Delft Transport Engineering and Logistics)","","2023","This paper investigates a novel routing problem of a multi-robot station in a manufacturing cell. In the existing literature, the objective is to minimize the cycle time or energy consumption separately. The routing problem considered in this paper aims to reduce the cycle time and energy consumption jointly for each robot while avoiding collisions between these robots. For this routing problem, we propose a new flexible time-space network model that allows us to reduce energy consumption while minimizing the cycle time. The corresponding optimization problem is Mixed-Integer Nonlinear Programming (MINLP). For addressing its computational complexity, this paper designs a metaheuristic algorithm tailored to the studied problem and proposes an ϵ-constraint algorithm to study the trade-off between these two objectives. We conduct industrially relevant simulation experiments of case studies to show its effectiveness, in comparison to a conventional method, two state-of-the-art solvers, and two commonly-used metaheuristics. The results show that the proposed methodology can reduce energy consumption by up to 30% without compromising the cycle time. Meanwhile, the proposed algorithm can provide efficient solutions within a reasonable computation time. Note to Practitioners-This paper is motivated by the problem of improving energy efficiency when routing cooperative robots in a manufacturing station. In current approaches for routing multi-robot stations, the cycle time and energy consumption are minimized separately. This paper focuses on the movement of the robot end-effector and its connected joint and suggests a new approach to minimize these two objectives jointly by proposing a new mathematical model. The resulting planning problem is computationally intractable. A customized metaheuristic algorithm is thus designed for efficiently solving this planning problem. Our meta-heuristic algorithm is integrated with the ϵ-constraint method to study the relationship between these two objectives. Simulation experiments suggest that this approach can reduce energy consumption considerably, for the shortest cycle time, compared with the current approaches. In future research, the movements of multi-joints will be investigated whereby 3-D collision-free trajectory planning will be considered.","Collision avoidance; collision avoidance; energy consumption; Energy consumption; flexible time-space network model; Multi-robot systems; Planning; Robot kinematics; Robots; Routing; routing; Task analysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-27","","","Transport Engineering and Logistics","","",""
"uuid:d39a6b3d-9666-453f-8dd8-6b929738faca","http://resolver.tudelft.nl/uuid:d39a6b3d-9666-453f-8dd8-6b929738faca","The Potential of Mass Timber Building for Future-proof Cities","van der Lugt, P. (TU Delft Environmental Technology and Design)","Veddeler, Christian (editor); Kuijper, Joran (editor); Gath Morad, Michal (editor); van der Wal, Iris (editor)","2023","The latest generation of timber products enable complete multi storey neighbourhoods to be built from sustainably sourced softwood.
This chapter explores how a large-scale transition to timber building in urban environments could contribute to solving the three major global crises we are currently facing with climate, natural resources, and health. If key external determinants are used to set the right preconditions, by 2030, the combined forestry and construction sectors in Europe could mitigate23 per cent of greenhouse gas emissions and provide sufficient timber to sustainably meet housing demand in Europe while contributing significantly to the well-being of urban citizens.","mass timber; biobased building; climate; circularity; health and well-being","en","book chapter","TU Delft OPEN Publishing","","","","","","","","","","Environmental Technology and Design","","",""
"uuid:7623f849-e6a2-4f3d-8965-d5d59942b9bc","http://resolver.tudelft.nl/uuid:7623f849-e6a2-4f3d-8965-d5d59942b9bc","The influence of intra-cortical microstructure on the contrast in ultrasound images of the cortex of long bones: A 2D simulation study","Dia, Amadou Sall (Sorbonne Université); Renaud, G.G.J. (TU Delft ImPhys/Medical Imaging; Sorbonne Université); Nooghabi, Aida Hejazi (Sorbonne Université); Grimal, Quentin (Sorbonne Université)","","2023","Decreased thickness of the bone cortex due to bone loss in the course of ageing and osteoporosis is associated with reduced bone strength. Cortical thickness measurement from ultrasound images was recently demonstrated in young adults. This requires the identification of both the outer (periosteum) and inner (endosteum) surfaces of the bone cortex. However, with bone loss, the cortical porosity and the size of the vascular pores increase resulting in enhanced ultrasound scattering which may prevent the detection of the endosteum. The aim of this work was to study the influence of cortical bone microstructure variables, such as porosity and pore size, on the contrast of the endosteum in ultrasound images. We wanted to estimate the range of these variables for which ultrasound imaging of the endosteum is feasible. We generated synthetic data using a two-dimensional time-domain code to simulate the propagation of elastodynamic waves. A synthetic aperture imaging sequence with an array transducer operating at a center frequency of 2.5 MHz was used. The numerical simulations were conducted for 105 cortical microstructures obtained from high resolution X-ray computed tomography images of ex vivo bone samples with a porosity ranging from 2% to 24 %. Images were reconstructed using a delay-and-sum (DAS) algorithm with optimized f-number, correction of refraction at the periosteum, and sample-specific wave-speed. We observed a range variation of 18 dB of endosteum contrast in our data set depending on the bone microstructure. We found that as porosity increases, speckle intensity inside the bone cortex increases whereas the intensity of the signal from the endosteum decreases. Also, a microstructure with large pores (diameter >250 μm) was associated with poor endosteum visibility, compared with a microstructure with equal porosity but a more narrow distribution of pore sizes. These findings suggest that ultrasound imaging of the bone cortex with a probe operating at a central frequency of 2.5 MHz using refraction-corrected DAS is capable of detecting the endosteum of a cortex with moderate porosity (less than about 10%) if the largest pores remain smaller than about 200 μm.","Cortical bone; Cortical bone ultrasound imaging; Refraction corrected DAS; Ultrasound imaging","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","ImPhys/Medical Imaging","","",""
"uuid:7ad2db50-f9d1-4b86-aa3f-42b3170cbb89","http://resolver.tudelft.nl/uuid:7ad2db50-f9d1-4b86-aa3f-42b3170cbb89","ADAPT: A 3 Degrees of Freedom Reconfigurable Force Balanced Parallel Manipulator for Aerial Applications","Suryavanshi, Kartik (Student TU Delft); Hamaza, S. (TU Delft Control & Simulation); van der Wijk, V. (TU Delft Mechatronic Systems Design); Herder, J.L. (TU Delft Precision and Microsystems Engineering)","","2023","In this paper, we present the ADAPT, a novel reconfigurable force-balanced parallel manipulator for spatial motions and interaction capabilities underneath a drone. The reconfigurable aspect allows different motion-based 3-DoF operation modes like translational, rotational, planar, and so on, without the need for disassembly. For the purpose of this study, the manipulator is used in translation mode only. A kinematic model is developed and validated for the manipulator. The design and motion capabilities are also validated both by conducting dynamics simulations of a simplified model on MSC ADAMS, and experiments on the physical setup. The force-balanced nature of this novel design decouples the motion of the manipulator’s end-effector from the base, zeroing the reaction forces, making this design ideally suited for aerial manipulation applications, or generic floating-base applications.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-04","","Precision and Microsystems Engineering","Control & Simulation","","",""
"uuid:53ad382e-5e25-43e0-ba34-191f6ab8549e","http://resolver.tudelft.nl/uuid:53ad382e-5e25-43e0-ba34-191f6ab8549e","Huawei Knowledge Transfer in Africa: Corporate Communication and Users’ Responses on Social Networks","Calzati, S. (TU Delft Urban Data Science)","","2023","This article focuses on the launch by the Chinese company Huawei of ICT academies in sub-Saharan Africa (SSA), and Kenya especially. Existing literature shows contrasting findings concerning the impact of such formation and recruitment centres. Through high-level thematic and sentiment analyses, this article examines various Huawei accounts on social networking sites (SNSs) – that is, Facebook and Twitter – in order to better assess: (1) the kind of online corporate communication unfolded by the company with particular regard to these centres; and (2) how the advertising of such centres is perceived by users. The results suggest that Huawei’s online corporate requires better fine-tuning with local SNSs’ users.","China; corporate communication; Huawei; ICTs; Kenya; knowledge transfer; social networks; sub-Saharan Africa","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care. Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-25","","","Urban Data Science","","",""
"uuid:843a40c2-0fc1-4478-b7ce-e1c9f1cc610e","http://resolver.tudelft.nl/uuid:843a40c2-0fc1-4478-b7ce-e1c9f1cc610e","Standards for passenger comfort in automated vehicles: Acceleration and jerk","de Winkel, K.N. (TU Delft Intelligent Vehicles); Irmak, T. (TU Delft Intelligent Vehicles); Happee, R. (TU Delft Intelligent Vehicles); Shyrokau, B. (TU Delft Intelligent Vehicles)","","2023","A prime concern for automated vehicles is motion comfort, as an uncomfortable ride may reduce acceptance of the technology amongst the general population. However, it is not clear how transient motions typical for travelling by car affect the experience of comfort. Here, we determine the relation between properties of vehicle motions (i.e., acceleration and jerk) and discomfort empirically, and we evaluate the ability of normative models to account for the data. 23 participants were placed in a moving-base driving simulator and presented sinusoidial and triangular motion pulses with various peak accelerations (Amax0.4 − 2 ms−2) and jerks (Jmax0.5 − 15 ms−3), designed to recreate typical vehicle accelerations. Participants provided discomfort judgments on absolute ‘Verbal Qualifiers’ and relative ‘Magnitude Estimates’ associated with these motions. The data show that discomfort increases with acceleration amplitude, and that the strength of this effect depends on the direction of motion. We furthermore find that higher jerks (shorter duration pulses) are considered more comfortable, and that triangular pulses are more comfortable than sinusoidal pulses. ME responses decrease (i.e., reduced discomfort) with increasing pulse duration. Evaluations of normative models of vibration and shock (ISO 2631), and perceived motion intensity provide mixed results. The vibration model could not account for the data well. Reasonable agreement between predictions and observations were found for the shock model and perceived intensity model, which emphasize the role of acceleration. We present novel statistical models that describe motion comfort as a function of acceleration, jerk, and direction. The present findings are essential to develop motion planning algorithms aimed at maximizing comfort.","Acceleration; Automated; Autonomous; Cars; Comfort; Frequency; Jerk; Motion; Self-driving; Vehicles","en","journal article","","","","","","","","","","","Intelligent Vehicles","","",""
"uuid:3ce3f0da-22f1-4c58-92cc-076d8a1f0652","http://resolver.tudelft.nl/uuid:3ce3f0da-22f1-4c58-92cc-076d8a1f0652","Multiport Hybrid Converter for Electrified Transportation Systems","Abdelhakim, Ahmed (ABB Corporate Research Centre); Soeiro, Thiago B. (European Space Agency (ESA)); Stecca, M. (TU Delft DC systems, Energy conversion & Storage); Canales, Francisco (ABB Research)","","2023","Compact and efficient power converter solutions are seen to be the backbone of future transportation systems in order to cope with the ongoing transition toward greener systems. Such systems usually comprise a main load section, in which one or more propulsion or traction motors are connected, in addition to an auxiliary load, which might comprise the hotels and air conditioning for example. This auxiliary load can be as low as 5-10% of the main load power. Therefore, it can be challenging to drive this power from a typical high-power system that employs a medium-voltage (MV) dc (MVDC) grid, which is typical in high-power systems. In such MVDC-integrated systems, neutral-point-clamped and active neutral-point-clamped (ANPC) converters are commonly used, where the auxiliary load converter is overrated in this case, resulting in a bulky and inefficient power system. Thus, in order to enable a lighter and efficient transportation power system, a multiport hybrid converter (MHC) is presented in this article. This converter can feed the main MV motor, in addition to two auxiliary low-voltage loads. Compared with the state-of-the-art ANPC converter, the proposed MHC utilizes only two extra switches per phase leg in order to achieve this multiport operation along with increasing the voltage rating of another two switches. The proposed MHC is analyzed in this article, where its operation, modulation, and mathematical derivation are presented. These analyses are supported by simulation and experimental results utilizing a reduced-scale 5-kW system.","Energy storage; Electric vehicle; Fuel cell; Locomotive; Low voltage; Marine; Medium voltage; Multilevel; Multiport; Ships; Space vector modulation; Trains; Vessels; Zero-emission","en","journal article","","","","","","","","2023-03-06","","","DC systems, Energy conversion & Storage","","",""
"uuid:9280e138-edbe-4308-a376-5ad8a6eeb023","http://resolver.tudelft.nl/uuid:9280e138-edbe-4308-a376-5ad8a6eeb023","Stability and conditioning of immersed finite element methods: analysis and remedies","de Prenter, Frits (TU Delft Wind Energy); Verhoosel, Clemens (Eindhoven University of Technology); Brummelen, Harald van (Eindhoven University of Technology); Larson, Mats (Umeå University); Badia, Santiago (Monash University)","","2023","This review paper discusses the developments in immersed or unfitted finite element methods over the past decade. The main focus is the analysis and the treatment of the adverse effects of small cut elements. We distinguish between adverse effects regarding the stability and adverse effects regarding the conditioning of the system, and we present an overview of the developed remedies. In particular, we provide a detailed explanation of Schwarz preconditioning, element aggregation, and the ghost penalty formulation. Furthermore, we outline the methodologies developed for quadrature and weak enforcement of Dirichlet conditions, and we discuss open questions and future research directions.","","en","review","","","","","","","","","","","Wind Energy","","",""
"uuid:aeb9caee-cd3f-493d-8e2f-fc9ffaba79ea","http://resolver.tudelft.nl/uuid:aeb9caee-cd3f-493d-8e2f-fc9ffaba79ea","A Control Method for Converter-interfaced Sources to Improve Operation of Directional Protection Elements","Yang, Zhe (Aalborg University); Liu, Zhou (Aalborg University); Zhang, Qi (Siemens Gamesa Renewable Energy AS); Chen, Zhe (Aalborg University); de Jesus Chavez, Jose (TU Delft Intelligent Electrical Power Grids); Popov, M. (TU Delft Intelligent Electrical Power Grids)","","2023","The traditional fault control strategy of converter-interfaced renewable energy sources (CIRESs) may bring about a lower sensitivity level or misoperation of fault component-based directional elements. To overcome this problem, a new control scheme is proposed to adjust sequence impedance angles of CIRESs by computing suitable current references of the CIRES controller. Meanwhile, these current references are maximized by an iterative algorithm to make full use of the short-circuit capacity of CIRESs. The proposed control scheme is applicable to various faulty conditions such as different fault types, power factors, weak grids, and larger fault resistances. Compared with the new directional elements that need to update protection algorithms, the proposed control strategies can make CIRESs compatible with the existing directional elements whilst the necessary fault ride-through (FRT) requirements can still be satisfied. Furthermore, all the controller parameters are not required to be revised based on the detected fault type, even with only local measured data collected. The associated PSCAD simulations, real-time digital simulator (RTDS) testing and the downscale hardware experiment verify the proposed method.","Circuit faults; converter-interfaced renewable energy sources; directional elements; Fault currents; fault ride through; Impedance; impedance angle; Power transmission lines; Security; Voltage control; Voltage measurement","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-02-06","","","Intelligent Electrical Power Grids","","",""
"uuid:aa682c85-688f-4c56-861b-42063c7ab4ba","http://resolver.tudelft.nl/uuid:aa682c85-688f-4c56-861b-42063c7ab4ba","Minimally modified balanced codes","Schouhamer Immink, Kees A. (Turing Machines Inc.); Weber, J.H. (TU Delft Discrete Mathematics and Optimization)","","2023","We present and analyze a new construction of bipolar balanced codes where each codeword contains equally many -1's and +1's. The new code is minimally modified as the number of symbol changes made to the source word for translating it into a balanced codeword is as small as possible. The balanced codes feature low redundancy and time complexity. Large look-up tables are avoided.","balanced code; Codes; Complexity theory; constrained code; Decoding; Encoding; error propagation; Indexes; Raney’s Lemma; Redundancy; Symbols","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Discrete Mathematics and Optimization","","",""
"uuid:c6e6cf2c-de3d-439c-bb8e-8b176fcb3b58","http://resolver.tudelft.nl/uuid:c6e6cf2c-de3d-439c-bb8e-8b176fcb3b58","Generating Class-Level Integration Tests Using Call Site Information","Derakhshanfar, P. (TU Delft Software Engineering); Devroey, Xavier (University of Namur); Panichella, A. (TU Delft Software Engineering); Zaidman, A.E. (TU Delft Software Engineering); van Deursen, A. (TU Delft Software Technology)","","2023","Search-based approaches have been used in the literature to automate the process of creating unit test cases. However, related work has shown that generated tests with high code coverage could be ineffective, i.e., they may not detect all faults or kill all injected mutants. In this paper, we propose Cling, an integration-level test case generation approach that exploits how a pair of classes, the caller and the callee, interact with each other through method calls. In particular, Cling generates integration-level test cases that maximize the Coupled Branches Criterion (CBC). Coupled branches are pairs of branches containing a branch of the caller and a branch of the callee such that an integration test that exercises the former also exercises the latter. CBC is a novel integration-level coverage criterion, measuring the degree to which a test suite exercises the interactions between a caller and its callee classes. We implemented Cling and evaluated the approach on 140 pairs of classes from five different open-source Java projects. Our results show that (1) Cling generates test suites with high CBC coverage, thanks to the definition of the test suite generation as a many-objectives problem where each couple of branches is an independent objective; (2) such generated suites trigger different class interactions and can kill on average 7.7% (with a maximum of 50%) of mutants that are not detected by tests generated randomly or at the unit level; (3) Cling can detect integration faults coming from wrong assumptions about the usage of the callee class (25 for our subject systems) that remain undetected when using automatically generated random and unit-level test suites.","search-based software engineering; Class Integration testing; coverage criteria; evolutionary algorithms; Many-objective optimization","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-01","","Software Technology","Software Engineering","","",""
"uuid:3af26809-578d-4980-a4f0-b39a3808242f","http://resolver.tudelft.nl/uuid:3af26809-578d-4980-a4f0-b39a3808242f","A Scalable Distributed Dynamical Systems Approach to Learn the Strongly Connected Components and Diameter of Networks","Reed, Emily A. (University of Southern California); Ramos, Guilherme (Universidade do Porto); Bogdan, Paul (University of Southern California); Gonçalves Melo Pequito, S.D. (TU Delft Team Sergio Pequito)","","2023","Finding strongly connected components (SCCs) and the diameter of a directed network play a key role in a variety of machine learning and control theory problems. In this article, we provide for the first time a scalable distributed solution for these two problems by leveraging dynamical consensus-like protocols to find the SCCs. The proposed solution has a time complexity of O(NDd in-degreemax), where N is the number of vertices in the network,D is the (finite) diameter of the network, and din-degreemax is the maximum in-degree of the network. Additionally, we prove that our algorithm terminates in D+2 iterations, which allows us to retrieve the finite diameter of the network. We perform exhaustive simulations that support the outperformance of our algorithm against the state of the art on several random networks, including Erdős-Rényi, Barabási-Albert, and Watts-Strogatz networks.","Distributed algorithms; Geometry; Heuristic algorithms; Machine learning; Machine learning algorithms; Power grids; Protocols","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-27","","","Team Sergio Pequito","","",""
"uuid:c6b2ec76-d392-484d-a42b-dbdbe42954e0","http://resolver.tudelft.nl/uuid:c6b2ec76-d392-484d-a42b-dbdbe42954e0","Learning to Solve Multiple-TSP With Time Window and Rejections via Deep Reinforcement Learning","Zhang, Rongkai (Nanyang Technological University); Zhang, Cong (Nanyang Technological University); Cao, Zhiguang (Singapore Institute of Manufacturing Technology); Song, Wen (Shandong University); Tan, Puay Siew (Singapore Institute of Manufacturing Technology); Zhang, Jie (Nanyang Technological University); Wen, Bihan (Nanyang Technological University); Dauwels, J.H.G. (TU Delft Signal Processing Systems)","","2023","We propose a manager-worker framework (the implementation of our model is publically available at: https://github.com/zcaicaros/manager-worker-mtsptwr) based on deep reinforcement learning to tackle a hard yet nontrivial variant of Travelling Salesman Problem (TSP), i.e. multiple-vehicle TSP with time window and rejections (mTSPTWR), where customers who cannot be served before the deadline are subject to rejections. Particularly, in the proposed framework, a manager agent learns to divide mTSPTWR into sub-routing tasks by assigning customers to each vehicle via a Graph Isomorphism Network (GIN) based policy network. A worker agent learns to solve sub-routing tasks by minimizing the cost in terms of both tour length and rejection rate for each vehicle, the maximum of which is then fed back to the manager agent to learn better assignments. Experimental results demonstrate that the proposed framework outperforms strong baselines in terms of higher solution quality and shorter computation time. More importantly, the trained agents also achieve competitive performance for solving unseen larger instances.","deep reinforcement learning; graph neural network; Travelling salesman problem","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-05","","","Signal Processing Systems","","",""
"uuid:71693660-0fd0-47d7-a7c6-0b436ccc0315","http://resolver.tudelft.nl/uuid:71693660-0fd0-47d7-a7c6-0b436ccc0315","Voltage/Current Doubler Converter for an Efficient Wireless Charging of Electric Vehicles With 400V and 800V Battery Voltages","Grazian, F. (TU Delft DC systems, Energy conversion & Storage); Soeiro, Thiago B. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2023","The lithium-ion battery of an electric vehicle (EV) is typically rated at either 400 or 800 V. When considering public parking infrastructures, EV wireless chargers must efficiently deliver electric power to both battery options. This can be normally achieved by regulating the output voltage through a dc-dc converter at the cost of higher onboard circuit complexity and lower overall efficiency. This article proposes a wireless charging system that maintains a high power transfer efficiency when charging EVs with either 400- or 800-V nominal battery voltage at the same power level. The control scheme is implemented at the power source side, and only passive semiconductor devices are employed on board the EV. The presented system, called voltage/current doubler (V/I-D), comprises two sets of series-compensated coupled coils, each of them connected to a dedicated H-bridge converter. The equivalent circuit has been analyzed while explaining the parameters' selection. The analytical power transfer efficiency has been compared to the one resulting from the conventional one-to-one coil system at 7.2 kW. For the same power level, the dc-to-dc efficiency of 97.11% and 97.52% have been measured at 400-V and 800-V voltage output, respectively. Finally, the functionality of the V/I-D converter has been proved at both the even and uneven misalignments of the two sets of coupled coils.","Battery voltage; electric vehicles (EVs); inductive power transfer; wireless charging","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-15","","","DC systems, Energy conversion & Storage","","",""
"uuid:23a8f1d5-d7e5-4fdc-868a-1ba0d82c016d","http://resolver.tudelft.nl/uuid:23a8f1d5-d7e5-4fdc-868a-1ba0d82c016d","Estimation of microtubule-generated forces using a DNA origami nanospring","Nick Maleki, A. (TU Delft BN/Marileen Dogterom Lab; Kavli institute of nanoscience Delft); Huis In 't Veld, Pim J. (Max Planck Institute of Molecular Physiology); Akhmanova, Anna (Universiteit Utrecht); Dogterom, A.M. (TU Delft BN/Marileen Dogterom Lab; Kavli institute of nanoscience Delft); Volkov, V. (TU Delft BN/Marileen Dogterom Lab; Queen Mary University of London; Kavli Institute at Cornell University)","","2023","Microtubules are dynamic cytoskeletal filaments that can generate forces when polymerizing and depolymerizing. Proteins that follow growing or shortening microtubule ends and couple forces to cargo movement are important for a wide range of cellular processes. Quantifying these forces and the composition of protein complexes at dynamic microtubule ends is challenging and requires sophisticated instrumentation. Here, we present an experimental approach to estimate microtubule-generated forces through the extension of a fluorescent spring-shaped DNA origami molecule. Optical readout of the spring extension enables recording of force production simultaneously with single-molecule fluorescence of proteins getting recruited to the site of force generation. DNA nanosprings enable multiplexing of force measurements and only require a fluorescence microscope and basic laboratory equipment. We validate the performance of DNA nanosprings against results obtained using optical trapping. Finally, we demonstrate the use of the nanospring to study proteins that couple microtubule growth and shortening to force generation.","DNA origami; Dynein; Force sensor; Kinetochore; Microtubule; Optical trap","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","BN/Marileen Dogterom Lab","","",""
"uuid:2b18580e-d12a-492b-8486-a1bb81dd8678","http://resolver.tudelft.nl/uuid:2b18580e-d12a-492b-8486-a1bb81dd8678","No One Drinks From the Firehose: How Organizations Filter and Prioritize Vulnerability Information","de Smale, S. (TU Delft Organisation & Governance); van Dijk, Rik (NCSC-NL (National Cyber Security Centre of the Netherlands)); Bouwman, X.B. (TU Delft Organisation & Governance); van der Ham, Jeroen (NCSC-NL (National Cyber Security Centre of the Netherlands); University of Twente); van Eeten, M.J.G. (TU Delft Organisation & Governance)","","2023","The number of published software vulnerabilities is increasing every year. How do organizations stay in control of their attack surface despite their limited staff resources? Prior work has analyzed the overall software vulnerability ecosystem as well as patching processes within organizations, but not how these two are connected. We investigate this missing link through semi-structured interviews with 22 organizations in critical infrastructure and government services. We analyse where in these organizations the responsibility is allocated to collect and triage information about software vulnerabilities, and find that none of our respondents is acquiring such information comprehensively, not even in a reduced and aggregated form like the National Vulnerability Database (NVD). This means that information on known vulnerabilities will be missed, even in critical infrastructure organizations. We observe that organizations apply implicit and explicit coping mechanisms to reduce their intake of vulnerability information, and identify three trade-offs in these strategies: independence, pro-activeness and formalization. Although our respondents’ behavior is in conflict with the widely accepted security advice to collect comprehensive vulnerability information about active systems, no respondents re- call having experienced a security incident that was associated with missing information on a known software vulnerability. This suggests that, given scarce resources, reducing the intake of vulnerability information by up to 95% can be considered a rational strategy. Our findings raise questions about the allocation of responsibility and accountability for finding vulnerable systems, as well as suggest changing expectations around collecting vulnerability information.","","en","conference paper","","","","","","","","","","","Organisation & Governance","","",""
"uuid:1300c1d6-d3e6-4c4c-8c1a-41893b4bba8e","http://resolver.tudelft.nl/uuid:1300c1d6-d3e6-4c4c-8c1a-41893b4bba8e","HPAKE: Honey Password-authenticated Key Exchange for Fast and Safer Online Authentication","Li, Wenting (Peking University); Wang, Ping (National Engineering Research Center for Software Engineering); Liang, K. (TU Delft Cyber Security)","","2023","Password-only authentication is one of the most popular secure mechanisms for real-world online applications. But it easily suffers from a practical threat - password leakage, incurred by external and internal attackers. The external attacker may compromise the password file stored on the authentication server, and the insider may deliberately steal the passwords or inadvertently leak the passwords. So far, there are two main techniques to address the leakage: Augmented password-authentication key exchange (aPAKE) against insiders and honeyword technique for external attackers. But none of them can resist both attacks. To fill the gap, we propose the notion of <italic>honey PAKE (HPAKE)</italic> that allows the authentication server to detect the password leakage and achieve the security beyond the traditional bound of aPAKE. Further, we build an HPAKE construction on the top of the honeyword mechanism, honey encryption, and OPAQUE which is a standardized aPAKE. We formally analyze the security of our design, achieving the insider resistance and the password breach detection. We implement our design and deploy it in the real environment. The experimental results show that our protocol only costs 71.27 ms for one complete run, within 20.67 ms on computation and 50.6 ms on communication. This means our design is secure and practical for real-world applications.","Password; honeyword; leakage detection; password-authenticated key exchange","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-24","","","Cyber Security","","",""
"uuid:a8368a60-6752-4061-a7ec-3576f4e9e44b","http://resolver.tudelft.nl/uuid:a8368a60-6752-4061-a7ec-3576f4e9e44b","A Low-Spur Fractional-N PLL Based on a Time-Mode Arithmetic Unit","Gao, Z. (TU Delft Electronics); He, J. (TU Delft Electronics); Fritz, Martin (Sony Europe Limited, Germany); Shen, Y. (TU Delft Electronics); Zong, Z. (TU Delft Electronics); Spalink, Gerd (Sony Europe Limited, Germany); Alavi, S.M. (TU Delft Electronics); Staszewski, R.B. (TU Delft Electronics); Babaie, M. (TU Delft Electronics)","","2023","This article introduces a low-jitter low-spur fractional-N phase-locked loop (PLL) adopting a new concept of a time-mode arithmetic unit (TAU) for phase error extraction. The TAU is a time-signal processor that calculates the weighted sum of input time offsets. It processes two inputs - the period of a digitally controlled oscillator (DCO) and the instantaneous time offset between the DCO and reference clock edges - and then extracts the DCO phase error by calculating their weighted sum. The prototype, implemented in 40-nm CMOS, achieves 182-fs rms jitter with 3.5-mW power consumption. In a near-integer channel, it shows the worst fractional spur below -59 dBc. Under considerable supply or temperature variations, the worst spur still remains below -51.7 dBc without any background calibration tracking.","Arithmetic; Capacitors; Clocks; Digital-to-time converter (DTC); fractional spur; Microelectronics; Phase locked loops; phase-locked loop (PLL); process voltage and temperature (PVT); Switches; time-mode arithmetic unit (TAU); Voltage","en","journal article","","","","","","","","","","","Electronics","","",""
"uuid:467b3504-4163-44eb-9b88-1eb9010f4dbe","http://resolver.tudelft.nl/uuid:467b3504-4163-44eb-9b88-1eb9010f4dbe","Phased Array With Pattern Shaping and Scan Loss Reduction for Millimeter Waves","van Schelven, R.M. (NXP); Syed, Waqas (NXP); Carluccio, G. (NXP); Doris, Kostas (NXP); de Graauw, Anton (NXP); Neto, A. (TU Delft Tera-Hertz Sensing); Cavallo, D. (TU Delft Tera-Hertz Sensing)","","2023","In this work, we investigate antenna architectures to implement dual-mode operation in phased array designs. Planar slot antenna elements are used in array configuration, in combination with artificial dielectrics layers (ADLs) located in the close proximity of the array, to achieve pattern shaping. The artificial dielectric superstrate supports the propagation of leaky waves that can be optimized to enhance the gain in a specific angular region or to enlarge the array field of view. By controlling the amplitude and phase of the antenna elements, the radiation patterns can be combined to realize either wide or narrow beams. This concept present advantages for both millimeter-wave (mm-wave) communication and radar applications. A design of a four-element array fabricated in standard printed circuit board (PCB) technology validates the feasibility of the dual-mode operation. The measured results also show good agreement with simulations.","Artificial dielectric layers; leaky waves; millimeter waves; pattern diversity; pattern shaping; phased array","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-31","","","Tera-Hertz Sensing","","",""
"uuid:28c5224d-e7fb-427c-95e5-112bab4e9d33","http://resolver.tudelft.nl/uuid:28c5224d-e7fb-427c-95e5-112bab4e9d33","Design of experiments: A statistical tool for PIV uncertainty quantification","Adatrao, S. (TU Delft Aerodynamics); van der Velden, S. (Student TU Delft); van der Meulen, Mark-Jan (Royal Netherlands Aerospace Centre NLR); Cruellas Bordes, Marc (German-Dutch Wind Tunnels (DNW)); Sciacchitano, A. (TU Delft Aerodynamics)","","2023","A statistical tool called design of experiments (DOEs) is introduced for uncertainty quantification in particle image velocimetry (PIV). DOE allows to quantify the total uncertainty as well as the systematic uncertainties arising from various experimental factors. The approach is based on measuring a quantity (e.g. time-averaged velocity or Reynolds stresses) several times by varying the levels of the experimental factors which are known to affect the value of the measured quantity. Then, using Analysis of Variances, the total variance in the measured quantity is computed and hence the total uncertainty. Moreover, the analysis provides the individual variances for each of the experimental factors, leading to the estimation of the systematic uncertainties from each factor and their contributions to the total uncertainty. The methodology is assessed for planar PIV measurements of the flow over a NACA0012 airfoil at 15 degrees angle of attack considering five experimental factors, namely camera aperture, inter-frame time separation, interrogation window size, laser sheet thickness and seeding density. Additionally, the methodology is applied to the investigation by stereoscopic PIV of the flow at the outlet of a ducted Boundary Layer Ingesting propulsor. The total uncertainty in the time-averaged velocity as well as the constituent systematic uncertainties due to the experimental factors, namely camera aperture, inter-frame time separation, interrogation window size and stereoscopic camera angle, are quantified.","","en","journal article","","","","","","","","","","","Aerodynamics","","",""
"uuid:1b2be59d-96be-4a86-a3b4-487653ced666","http://resolver.tudelft.nl/uuid:1b2be59d-96be-4a86-a3b4-487653ced666","AnyoneNet: Synchronized Speech and Talking Head Generation for Arbitrary Persons","Wang, X. (TU Delft Multimedia Computing; Xi’an Jiaotong University; Northwestern Polytechnical University); Xie, Qicong (Northwestern Polytechnical University); Xie, Lei (Northwestern Polytechnical University); Zhu, Jihua (Xi’an Jiaotong University); Scharenborg, O.E. (TU Delft Multimedia Computing)","","2023","Automatically generating videos in which synthesized speech is synchronized with lip movements in a talking head has great potential in many human-computer interaction scenarios. In this paper, we present an automatic method to generate synchronized speech and talking-head videos on the basis of text and a single face image of an arbitrary person as input. In contrast to previous text-driven talking head generation methods, which can only synthesize the voice of a specific person, the proposed method is capable of synthesizing speech for any person. Specifically, the proposed method decomposes the generation of synchronized speech and talking head videos into two stages, i.e., a text-to-speech (TTS) stage and a speech-driven talking head generation stage. The proposed TTS module is a face-conditioned multi-speaker TTS model that gets the speaker identity information from face images instead of speech, which allows us to synthesize a personalized voice on the basis of the input face image. To generate the talking head videos from the face images, a facial landmark-based method that can predict both lip movements and head rotations is proposed. Extensive experiments demonstrate that the proposed method is able to generate synchronized speech and talking head videos for arbitrary persons, in which the timbre of the synthesized voice is in harmony with the input face, and the proposed landmark-based talking head method outperforms the state-of-the-art landmark-based method on generating natural talking head videos.","speech synthesis; talking head generation; avatar; facial landmark","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-01","","","Multimedia Computing","","",""
"uuid:f48a4197-15d8-497b-8464-87b8ea942e17","http://resolver.tudelft.nl/uuid:f48a4197-15d8-497b-8464-87b8ea942e17","Exploring effects of introducing a ban on handheld phone use for cyclists – Pre-post results from the Netherlands and Denmark","Brandt, R. K. (Technical University of Denmark); Haustein, S. (Technical University of Denmark); Hagenzieker, Marjan (TU Delft Transport and Planning); Møller, M. (Technical University of Denmark)","","2023","Cyclists’ phone use can cause distractions and impose risks towards traffic safety. To prevent phone-related distractions, the Netherlands introduced a ban on handheld (HH) phone use for cyclists in July 2019. The effects of traffic rules on phone use and their underlying mechanisms are, however, uncertain. Comparing survey results from the Netherlands before (N = 553) and after (N = 484) the ban, using Denmark (before N = 568, after N = 519) as comparison group, this study explores whether introducing a ban is associated with changes in phone use, traffic rule beliefs, perceived risk, sense of guilt, and perceived annoyance. Comparison of phone function use before and after the Dutch ban revealed a significant decrease in the proportion using HH phone for conversation, while there was no change for other functions. In Denmark, proportions remained stable for all functions. Changes in the Netherlands possibly correspond to specific phone functions characteristics, e.g., how effortless one can pause and resume the function. The results additionally identified an increase in correct traffic rule identification, sense of guilt for HH phone use, and perceived annoyance, while there was no significant change in perceived risk of HH phone use. The study found that banning HH phone use was associated with increase in correct rule identification, but only to limited changes in HH phone use. Banning HH phone use might have greater effects in changing behaviours over time as a result of social mechanisms related to changes in sense of guilt and perceived annoyance.","Cyclist safety; Cyclists’ phone use; Preventive measures; Safety legislation; Traffic laws","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:82defedc-e3ad-4a3f-b0fd-a7c7f6020e02","http://resolver.tudelft.nl/uuid:82defedc-e3ad-4a3f-b0fd-a7c7f6020e02","Circulant Shift-based Beamforming for Secure Communication with Low-resolution Phased Arrays","Patel, Kartik (The University of Texas at Austin); Myers, N.J. (TU Delft Team Nitin Myers); Heath, Robert W. (University of North Carolina)","","2023","Millimeter wave (mmWave) technology can achieve high-speed communication due to the large available spectrum. Furthermore, the use of directional beams in mmWave system provides a natural defense against physical layer security attacks. In practice, however, the beams are imperfect due to mmWave hardware limitations such as the low-resolution of the phase shifters. These imperfections in the beam pattern introduce an energy leakage that can be exploited by an eavesdropper. To defend against such eavesdropping attacks, we propose a directional modulation-based defense technique where the transmitter applies random circulant shifts of a beamformer. We show that the use of random circulant shifts together with appropriate phase adjustment induces (APN) in the directions different from that of the target receiver. Our method corrupts the phase at the eavesdropper without affecting the communication link of the target receiver. We also experimentally verify the APN induced due to circulant shifts, using channel measurements from a 2-bit mmWave phased array testbed. Using simulations, we study the performance of the proposed defense technique against a greedy eavesdropping strategy in a vehicle-to-infrastructure scenario. The proposed technique achieves better defense than the antenna subset modulation, without compromising on the communication link with the target receiver.","Antenna arrays; Array signal processing; Eavesdropping; Millimeter wave communication; Phase shifters; Phased arrays; Symbols","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-06","","","Team Nitin Myers","","",""
"uuid:a5b08d01-0cd6-49d3-b942-c74f2b9e50e7","http://resolver.tudelft.nl/uuid:a5b08d01-0cd6-49d3-b942-c74f2b9e50e7","Hybrid Space Vector Modulation Scheme for the Multiport Hybrid Converter","Stecca, M. (TU Delft DC systems, Energy conversion & Storage); Abdelhakim, Ahmed (Abb Research Sweden); Soeiro, Thiago B. (TU Delft DC systems, Energy conversion & Storage; University of Twente); Canales, Francisco (ABB Switzerland Ltd.); Bauer, P. (TU Delft DC systems, Energy conversion & Storage); Palensky, P. (TU Delft Intelligent Electrical Power Grids)","","2023","This work proposes a hybrid space vector modulation (HSVM) scheme for multiport hybrid converters (MHCs). Moreover, the impact of shifting the auxiliary currents from the main ones is proposed and investigated in order to enhance the converter efficiency. The proposed operational schemes have been implemented in a three-phase 5 kW MHC prototype. It is shown that the proposed HSVM scheme can improve the MHC efficiency by 0.3% at full load with respect to space vector modulation. At partial loads, the improvement is even more significant, reaching +0.7% at 30% of the rated power. A further 0.15% increase in efficiency at full power can be achieved by a 180$^{\circ }$ phase shifting of the auxiliary currents with respect to the main terminal currents, reaching a peak efficiency of 98.5%.","Hybrid space vector modulation (HSVM); multiport hybrid converter (MHC); voltage source converter (VSC)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-02-27","","","DC systems, Energy conversion & Storage","","",""
"uuid:2106c2f5-8a86-47f6-9f9e-10283bda8bab","http://resolver.tudelft.nl/uuid:2106c2f5-8a86-47f6-9f9e-10283bda8bab","Quantifying frequency containment reserve using cross-entropy frequency-constrained contingency-state-analysis model","Yuan, Yiping (Xi’an Jiaotong University); Liu, Zhou (Siemens Gamesa Renewable Energy); Chen, Zhe (Aalborg University); Hoej Jensen, Kim (Siemens Gamesa Renewable Energy); Popov, M. (TU Delft Intelligent Electrical Power Grids)","","2023","With the increasing penetration of converter-interfaced generators, the frequency containment reserve (FCR) from conventional generators keeps going down, leading to a potential risk of frequency instability under contingencies. Consequently, Converter-interfaced generators are required to provide FCR and participate in the corrective rescheduling. Nevertheless, how to assess the FCR and quantify the adequacy of FCR under contingencies is a big challenge in modern new power system. To address this challenge, a cross-entropy-based frequency-constrained contingency-state-analysis (FC-CSA) model is proposed in this paper. Notably, both frequency control (FC) of units (i.e., conventional synchronous generators and converter-interfaced generators), and under frequency load shedding (UFLS) are incorporated in the primary frequency response. Then a unified system frequency response (SFR) function representing frequency dynamic is derived. This SFR function is extracted and reformulated as a group of mixed-integer linear constraints and participates in the traditional CSA model. Moreover, a set of frequency dynamic indexes, i.e., Expectation of UFLS risk, Expectation of FCR from conventional and converter-interfaced generators, is extended to depict the FCR that the power system requires. These indexes are calculated by the FC-CSA in a cross-entropy-based monte carlo simulation (CE-MCs). Case studies on a modified IEEE 6-bus test system and IEEE 118-bus test system are carried out to demonstrate the effectiveness of the proposed FC-CSA model.","Contingency-State-Analysis; Cross-Entropy-based Monte Carlo simulation; Frequency Containment Reserve; Frequency Control; Under-frequency Load Shedding","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-20","","","Intelligent Electrical Power Grids","","",""
"uuid:5b2a7880-d105-49df-9e84-e40bbb942bf6","http://resolver.tudelft.nl/uuid:5b2a7880-d105-49df-9e84-e40bbb942bf6","Self-Calibration of Acoustic Scalar and Vector Sensor Arrays","Ramamohan, Krishnaprasad Nambur (Microflown Technologies, Arnhem); Chepuri, Sundeep Prabhakar (Indian Institute of Science India); Comesana, Daniel Fernandez (Microflown Technologies, Arnhem); Leus, G.J.T. (TU Delft Signal Processing Systems)","","2023","In this work, we consider the self-calibration problem of joint calibration and direction-of-Arrival (DOA) estimation using acoustic sensor arrays. Unlike many previous iterative approaches, we propose solvers that can be readily used for both linear and non-linear arrays for jointly estimating the sensor gain, phase errors, and the source DOAs. We derive these algorithms for both the conventional element-space and covariance data models. We focus on sparse and regular arrays formed using scalar sensors as well as vector sensors. The developed algorithms are obtained by transforming the underlying non-linear calibration model into a linear model, and subsequently by using convex relaxation techniques to estimate the unknown parameters. We also derive identifiability conditions for the existence of a unique solution to the self-calibration problem. To demonstrate the effectiveness of the developed techniques, numerical experiments, and comparisons to the state-of-The-Art methods are provided. Finally, the results from an experiment that was performed in an anechoic chamber using an acoustic vector sensor array are presented to demonstrate the usefulness of the proposed self-calibration techniques.","Acoustics; Calibration; Direction-of-arrival estimation; Manifolds; Measurement uncertainty; Sensor arrays; Signal processing algorithms","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-24","","","Signal Processing Systems","","",""
"uuid:9a764d0f-3f7d-4dd7-97a6-0cf2641304c7","http://resolver.tudelft.nl/uuid:9a764d0f-3f7d-4dd7-97a6-0cf2641304c7","Uniaxial tensile response and tensile constitutive model of ultra-high performance concrete containing coarse aggregate (CA-UHPC)","Shi, Z. (TU Delft Steel & Composite Structures; Tongji University); Su, Q. (Tongji University; Shanghai Engineering Research Center of High Performance Composite Bridges); Kavoura, Dr. Florentia (TU Delft Steel & Composite Structures); Veljkovic, M. (TU Delft Steel & Composite Structures)","","2023","To establish the tensile constitutive model of ultra-high performance concrete containing coarse aggregate (CA-UHPC), monotonic and cyclic uniaxial tensile tests for CA-UHPC with fiber volume fractions of 2.5% and 2.0% were conducted. Test results showed that CA-UHPC exhibits approximately linear stress-strain relation up to the tensile strength, and tensile softening response composed of the smeared- and localized-cracking stages, regardless of the tested fiber contents. Based on the monotonic test data, the tensile stress-crack opening model of CA-UHPC was established, and the model was further simplified into tri-linear relation. Based on the cyclic test results, tensile damage evolution laws according to the strain equivalence principle and the energy equivalence principle were developed, respectively. Finally, the proposed tensile constitutive model and the calibrated tensile damage evolution laws were demonstrated to effectively predict the mechanical response of CA-UHPC members under both monotonic tension and cyclic tension through numerical simulations.","CA-UHPC; dog-bone-shaped specimen; uniaxial tensile test; tensile stress-crack opening model; tensile damaga variable; finite element model","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Steel & Composite Structures","","",""
"uuid:c7fd77b8-cfdb-4f24-86a9-19774cf41955","http://resolver.tudelft.nl/uuid:c7fd77b8-cfdb-4f24-86a9-19774cf41955","Current models to understand the onset and progression of scoliotic deformities in adolescent idiopathic scoliosis: a systematic review","Meiring, A. R. (Student TU Delft); de Kater, E.P. (TU Delft Medical Instruments & Bio-Inspired Technology; TU Delft Sports & Games); Stadhouder, A. (Amsterdam UMC; Amsterdam Movement Sciences); van Royen, B. J. (Universiteit van Amsterdam; Amsterdam UMC; Amsterdam Movement Sciences); Breedveld, P. (TU Delft Medical Instruments & Bio-Inspired Technology); Smit, T. H. (Amsterdam UMC; Amsterdam Movement Sciences)","","2023","Purpose: To create an updated and comprehensive overview of the modeling studies that have been done to understand the mechanics underlying deformities of adolescent idiopathic scoliosis (AIS), to predict the risk of curve progression and thereby substantiate etiopathogenetic theories. Methods: In this systematic review, an online search in Scopus and PubMed together with an analysis in secondary references was done, which yielded 86 studies. The modeling types were extracted and the studies were categorized accordingly. Results: Animal modeling, together with machine learning modeling, forms the category of black box models. This category is perceived as the most clinically relevant. While animal models provide a tangible idea of the biomechanical effects in scoliotic deformities, machine learning modeling was found to be the best curve-progression predictor. The second category, that of artificial models, has, just as animal modeling, a tangible model as a result, but focusses more on the biomechanical process of the scoliotic deformity. The third category is formed by computational models, which are very popular in etiopathogenetic parameter-based studies. They are also the best in calculating stresses and strains on vertebrae, intervertebral discs, and other surrounding tissues. Conclusion: This study presents a comprehensive overview of the current modeling techniques to understand the mechanics of the scoliotic deformities, predict the risk of curve progression in AIS and thereby substantiate etiopathogenetic theories. Although AIS remains to be seen as a complex and multifactorial problem, the progression of its deformity can be predicted with good accuracy. Modeling of AIS develops rapidly and may lead to the identification of risk factors and mitigation strategies in the near future. The overview presented provides a basis to follow this development.","Adolescent idiopathic scoliosis; Biomechanics; Curve progression; Modeling; Pathogenesis","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:a9eb38a0-04db-4585-a9ec-e846beeeb882","http://resolver.tudelft.nl/uuid:a9eb38a0-04db-4585-a9ec-e846beeeb882","An Introduction to the Application of Marine Controlled-Source Electromagnetic Methods for Natural Gas Hydrate Exploration","Li, Y. (TU Delft Applied Geophysics and Petrophysics; Beijing University of Technology; Peking University); Slob, E.C. (TU Delft Applied Geophysics and Petrophysics); Werthmüller, D. (TU Delft Geoscience and Engineering); Wang, Lipeng (Beihang University); Lu, Hailong (Beijing Normal University)","","2023","Natural gas hydrates have been an unconventional source of energy since the beginning of this century. Gas-hydrate-filled reservoirs show higher resistivity values compared with water-filled sediments. Their presence can be detected using marine controlled-source electromagnetic methods. We classify acquisition configurations into stationary and moving receiver configurations, which are described in terms of the design group, the operational details, and where they have been used successfully in the field for natural gas hydrate exploration. All configurations showed good numerical results for the detection of a 700 m long gas hydrate reservoir buried 200 m below the seafloor, but only the stationary configurations provided data that can be used to estimate the horizontal boundaries of the resistive part of the reservoir when the burial depth is known from seismic data. We discuss the operational steps of the configurations and provide the steps on how to choose a suitable configuration. Different CSEM configurations were used together with seismic data to estimate the edge of the gas hydrate reservoir and the total volume of the gas hydrates, to optimize the drilling location, to increase production safety, and to improve geological interpretations. It seems that CSEM has become a reliable method to aid in the decision-making process for gas hydrate reservoir appraisal and development.","marine electromagnetic; natural gas hydrates; exploration; resistivity","en","journal article","","","","","","","","","","Geoscience and Engineering","Applied Geophysics and Petrophysics","","",""
"uuid:e473c39b-e977-44fe-bf01-d94292f713db","http://resolver.tudelft.nl/uuid:e473c39b-e977-44fe-bf01-d94292f713db","CEM: Constrained Entropy Maximization for Task-Agnostic Safe Exploration","Yang, Q. (TU Delft Algorithmics); Spaan, M.T.J. (TU Delft Algorithmics)","","2023","Without an assigned task, a suitable intrinsic objective for an agent is to explore the environment efficiently. However, the pursuit of exploration will inevitably bring more safety risks.
An under-explored aspect of reinforcement learning is how to achieve safe efficient exploration when the task is unknown.
In this paper, we propose a practical Constrained Entropy Maximization (CEM) algorithm to solve task-agnostic safe exploration problems, which naturally require a finite horizon and undiscounted constraints on safety costs.
The CEM algorithm aims to learn a policy that maximizes the state entropy under the premise of safety.
To avoid approximating the state density in complex domains, CEM leverages a $k$-nearest neighbor entropy estimator to evaluate the efficiency of exploration.
In terms of safety, CEM minimizes the safety costs, and adaptively trades off safety and exploration based on the current constraint satisfaction. We empirically show that CEM allows learning a safe exploration policy in complex continuous-control domains, and the learned policy benefits downstream tasks in safety and sample efficiency.","Reinforcement Learning; Safe Exploration","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-05","","","Algorithmics","","",""
"uuid:e1856943-8197-402f-90d7-11d6547d2334","http://resolver.tudelft.nl/uuid:e1856943-8197-402f-90d7-11d6547d2334","Exploiting high-resolution data to investigate the characteristics of water consumption at the end-use level: A Dutch case study","Mazzoni, Filippo (University of Ferrara); Alvisi, Stefano (University of Ferrara); Franchini, Marco (University of Ferrara; KWR Water Research Institute); Blokker, E.J.M. (TU Delft Sanitary Engineering)","","2023","In the water industry, an accurate estimation of end-use water consumption is helpful for the implementation of efficient water systems and water-saving technologies. This study aimed to explore the characteristics of water consumption at nine households north of Amsterdam (the Netherlands), subjected to water consumption monitoring at high temporal resolution (i.e. 1 s). Overall, 36,297 water-use events monitored over about 447 days were automatically segmented into 44,115 individual events by means of a new rule-based filtering algorithm, and then labelled by expert analysts. A multi-stage analysis was then conducted in order to evaluate daily per capita end-use water consumption, daily end-use profiles, average end-use parameter average, and their statistical distributions. The results achieved provide insight into the features of end-use consumption, confirming that the largest components are typically related to showers/bathtubs, toilets, and washing machines, whereas different end-use parameter distributions can emerge.","Combined event segmentation; Daily profiles; End-use parameters; Individual event labelling; Water consumption; Water end uses","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:a352f021-7661-4ceb-b713-ae824761d57c","http://resolver.tudelft.nl/uuid:a352f021-7661-4ceb-b713-ae824761d57c","Mining of Deep-Seabed Nodules Using a Coandă-Effect-Based Collector","Alhaddad, S.M.S. (TU Delft Offshore and Dredging Engineering); Mehta, D. (TU Delft Sanitary Engineering); Helmons, R.L.J. (TU Delft Offshore and Dredging Engineering; Norwegian University of Science and Technology (NTNU))","","2023","We present an effective design of a hydraulic, polymetallic nodule collector, which fundamentally depends on the Coandă effect in harvesting nodules. The design was first developed based on 2D numerical simulations conducted using a computational fluid dynamics tool, ANSYS FLUENT. Following that, the design was tested in full-scale experiments, which provided insights into the collection efficiency of the collector and confirmed its functionality and effectiveness. The latter means, in the context of deep sea mining, high effective pick-up of nodules, with minimum sediment disturbance. Our observations indicate that our design hardly disturbs the tested sediment bed. The experimental results show that a higher jet velocity leads to a higher pick-up efficiency. Two forward velocities were tested and the higher forward velocity led to a lower pick-up efficiency. It is revealed that the available time for the nodules to respond to the pressure gradient under the collector is of great importance; if the available time is not sufficient, the nodules will not be picked-up even if the pressure gradient is adequate. The clearance under the rear cowl of the collection duct is found to play a major influential role in the collection process; a smaller bottom clearance results in a higher pick-up efficiency.","Deep sea mining; Polymetallic Nodules; Hydraulic collector; Coandă effect; Nodule pick-up device","en","journal article","","","","","","","","","","","Offshore and Dredging Engineering","","",""
"uuid:cfb4ec7d-0459-4076-a63b-26ec8afa4a65","http://resolver.tudelft.nl/uuid:cfb4ec7d-0459-4076-a63b-26ec8afa4a65","Associations between self-reported IEQ stressors of students' homes and self-reported rhinitis, stuffy nose, migraine and headache in student profiles","Bluyssen, P.M. (TU Delft Indoor Environment); Zhang, D. (TU Delft Indoor Environment); Ortiz, Marco A. (TU Delft Indoor Environment)","","2023","Recent studies have shown that both personal and building-related factors may affect the health and comfort of occupants in their homes. It is also known that people differ in their needs and can therefore respond differently to these stressors. Therefore, based on the large database from the survey conducted yearly from 2016 to 2020 among the first-year students of the faculty of Architecture and the Built environment at the Delft University of Technology, this study aimed to explore the associations between self-reported rhinitis/stuffy nose/migraine/headache, and the indoor environment of the students' homes, taking into account potential confounders and profiles. Two-steps cluster analysis resulted in three profiles of students based on their IEQ-related perceptions: Cluster 1 with the highest reported percentage of symptoms and the lowest reported percentage of diseases; Cluster 2 with moderate reported symptoms and diseases; and Cluster 3 with the lowest percentage of reported symptoms and the highest percentage of reported diseases. Logistic regression modelling showed that risk factors contributing to having rhinitis, stuffy nose, migraine and/or headache, differ per cluster, and showed little overlap with the all-respondents group. Moreover, when there is an overlap, the associated risk factor might increase the risk for one cluster, while for another it decreases the risk, indicating differences in response between the different clusters; and therefore, the importance of clustering instead of considering all respondents as one.","Profiles of students; Patterns of risk factors; Rhinitis; Stuffy nose; Migraine; Headache","en","journal article","","","","","","","","","","","Indoor Environment","","",""
"uuid:0e4d11be-9c34-4602-98e0-79be8aaeb57f","http://resolver.tudelft.nl/uuid:0e4d11be-9c34-4602-98e0-79be8aaeb57f","AGIC: Approximate Gradient Inversion Attack on Federated Learning","Xu, J. (Student TU Delft); Hong, C. (TU Delft Dataintensive Systems); Huang, J. (TU Delft Dataintensive Systems); Chen, Lydia Y. (TU Delft Dataintensive Systems); Decouchant, Jérémie (TU Delft Dataintensive Systems)","Ceballos, Cristina (editor); Torres, Hector (editor)","2023","Federated learning is a private-by-design distributed learning paradigm where clients train local models on their own data before a central server aggregates their local updates to compute a global model. Depending on the aggregation method used, the local updates are either the gradients or the weights of local learning models, e.g., FedAvg aggregates model weights. Unfortunately, recent reconstruction attacks apply a gradient inversion optimization on the gradient update of a single mini- batch to reconstruct the private data used by clients during training. As the state-of-the-art reconstruction attacks solely focus on single update, realistic adversarial scenarios are over- looked, such as observation across multiple updates and updates trained from multiple mini-batches. A few studies consider a more challenging adversarial scenario where only model updates based on multiple mini-batches are observable, and resort to computationally expensive simulation to untangle the underlying samples for each local step. In this paper, we propose AGIC, a novel Approximate Gradient Inversion Attack that efficiently and effectively reconstructs images from both model or gradient updates, and across multiple epochs. In a nutshell, AGIC (i) approximates gradient updates of used training samples from model updates to avoid costly simulation procedures, (ii) leverages gradient/model updates collected from multiple epochs, and (iii) assigns increasing weights to layers with respect to the neural network structure for reconstruction quality. We extensively evaluate AGIC on three datasets, namely CIFAR-10, CIFAR- 100 and ImageNet. Our results show that AGIC increases the peak signal-to-noise ratio (PSNR) by up to 50% compared to two representative state-of-the-art gradient inversion attacks. Furthermore, AGIC is faster than the state-of-the-art simulation- based attack, e.g., it is 5x faster when attacking FedAvg with 8 local steps in between model updates.","Reconstruction attack; Federated Learning; Federated Averaging","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Dataintensive Systems","","",""
"uuid:ccf34aaa-accf-4c76-838a-50eec058fea3","http://resolver.tudelft.nl/uuid:ccf34aaa-accf-4c76-838a-50eec058fea3","Using co-creation methods for research integrity guideline development: How, what, why and when?","Labib, Krishma (VU University Medical Centre); Pizzolato, Daniel (Katholieke Universiteit Leuven); Stappers, P.J. (TU Delft Design Conceptualization and Communication); Evans, Natalie (VU University Medical Centre); Lechner, Iris (Vrije Universiteit Amsterdam); Widdershoven, Guy (VU University Medical Centre); Bouter, Lex (VU University Medical Centre; Vrije Universiteit Amsterdam); Diericks, Chris (Katholieke Universiteit Leuven); Bergema, Katinka (Zwaluw); Tijdink, Joeri (VU University Medical Centre; Vrije Universiteit Amsterdam)","","2023","Existing research integrity (RI) guideline development methods are limited in including various perspectives. While co-creation methods could help to address this, there is little information available to researchers and practitioners on how, why and when to use co-creation for developing RI guidelines, nor what the outcomes of co-creation methods are. In this paper, we aim to address this gap. First, we discuss how co-creation methods can be used for RI guideline development, based on our experience of developing RI guidelines. We elaborate on steps including preparation of the aims and design; participant sensitization; organizing and facilitating workshops; and analyzing data and translating them into guidelines. Secondly, we present the resulting RI guidelines, to show what the outcome of co-creation methods are.Thirdly, we reflect on why and when researchers might want to use co-creation methods for developing RI guidelines. We discuss that stakeholder engagement and inclusion of diverse perspectives are key strengths of co-creation methods. We also reflect that co-creation methods have the potential to make guidelines implementable if followed by additional steps such as revision working groups. We conclude that co-creation methods are a valuable approach to creating new RI guidelines when used together with additional methods.","co-creation; guideline development; online research methods; research integrity; research policy","en","journal article","","","","","","","","","","","Design Conceptualization and Communication","","",""
"uuid:b5f8a553-762e-4e5c-b872-73c3579275d9","http://resolver.tudelft.nl/uuid:b5f8a553-762e-4e5c-b872-73c3579275d9","A seismic retrofitting design approach for activating dissipative behaviour of timber diaphragms in existing unreinforced masonry buildings","Mirra, M. (TU Delft Bio-based Structures & Materials); Ravenshorst, G.J.P. (TU Delft Bio-based Structures & Materials)","Zingoni, Alphose (editor)","2023","The region of Groningen (NL) has experienced increasing human-induced seismicity caused by gas extraction in the last decades. The local building stock, not designed for seismic loads, consists for more than 50% of unreinforced masonry buildings with timber diaphragms. In this context, a detailed seismic characterization of timber and masonry structural components has taken place, and a retrofitting technique for timber floors activating their energy dissipation has been developed. Besides, specific analytical and numerical modeling strategies for as-built and retrofitted timber floors have been formulated. This work presents a design approach for creating strengthened dissipative timber diaphragms, and maximizing the seismic capacity of existing masonry buildings through this retrofitting method. The results from the performed numerical analyses prove that the proposed design approach for timber floors can increase the energy dissipation capacity of masonry buildings, while improving the box behavior at both damage and near-collapse limit state.","","en","conference paper","CRC Press","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Bio-based Structures & Materials","","",""
"uuid:5f70b04d-3893-4b3a-a1ae-587076959822","http://resolver.tudelft.nl/uuid:5f70b04d-3893-4b3a-a1ae-587076959822","Assessment of the spatiotemporal prediction capabilities of machine learning algorithms on Sea Surface Temperature data: A comprehensive study","Kartal, S. (TU Delft Atmospheric Remote Sensing; Çukurova University)","","2023","Spatiotemporal time series prediction plays a crucial role in a wide range of applications. However, in most of the studies, spatial information was ignored and predictions were carried out either on a few points or on average values. In this study, 37 different configurations of 4 traditional ML models and 3 Neural Network (NN) based models were utilized to provide a comprehensive comparison and evaluate the spatiotemporal data prediction capabilities of the ML models. Additionally, to reveal the importance of spatial data for the time series prediction process, the best configuration of each ML model was evaluated with and without using spatial information. The utilized models were: (i) Linear Regression (LR), (ii) K-Nearest Neighbors (KNN), (iii) Decision-Trees (DT), (iv) Support Vector Machine (SVM), (v) Multi-Layer Perceptron (MLP), (vi) Long Short-Term Memory (LSTM), and (vii) Gated Recurrent Unit (GRU). The study was performed on the Sea Surface Temperature (SST) data collected by satellite radiometers via infrared measurements. The models were evaluated according to their one-month ahead spatiotemporal SST prediction performance over the southern coasts of Turkey, and the effects of spatial information on model performance were presented. Results reveal that the spatial information increased the prediction performance by approximately 25%, in terms of RMSE. Additionally, acquired results show that the LSTM model outperforms all other ML models and gives the smallest prediction errors in all metrics.","Machine Learning; Prediction; Sea Surface Temperature; Time series satellite data","en","journal article","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:ffbfdafd-758d-4ada-993a-c1a330732f95","http://resolver.tudelft.nl/uuid:ffbfdafd-758d-4ada-993a-c1a330732f95","Robustness Analysis of Platoon Control for Mixed Types of Vehicles","Wang, Yixia (University of Chinese Academy of Sciences); Lin, Shu (University of Chinese Academy of Sciences); Wang, Yibing (Zhejiang University); De Schutter, B.H.K. (TU Delft Delft Center for Systems and Control); Xu, Jungang (University of Chinese Academy of Sciences)","","2023","Currently, with the development of driving technologies, driverless vehicles gradually are becoming more and more available. Therefore, there would be a long period of time during which self-driving vehicles and human-driven vehicles coexist. However, for a mixed platoon, it is hard to control the formation due to the existence of the manual vehicles resulting in weak robustness and slow consensus rate on this system of platoons because of uncertainties caused by human factors for manual vehicles. In order to solve this problem, we establish models of mixed platoons with mixed types of connected and automated vehicles (CAVs), human-driven vehicles (HDVs) and HDVs without the vehicle awareness device (HDVWs). We subsequently design <inline-formula> <tex-math notation=""LaTeX"">$\mathcal{H}_\infty$</tex-math> </inline-formula> controllers for the mixed platoons to realize the formation consensus. In addition, we use the <inline-formula> <tex-math notation=""LaTeX"">$\mathcal{H}_\infty$</tex-math> </inline-formula> norm of mixed platoons as the control objective investigating the robustness of the control algorithms in alleviating the platoon uncertainties. Furthermore, conditions are proved to maintain the stability of the mixed platoons, and the stability is analyzed based on the variation of the penetration rate of the manual vehicles. Finally, we formulate conditions for parameters according to the definition of string stability to avoid the collisions of vehicles. The results in this study are tested with simulations and suggest that the presented controllers can ensure the consensus of mixed platoons under uncertainties.","
Systematic concurrency testing techniques, which explore all possible delivery orderings of messages to uncover concurrency bugs, are not scalable to large distributed systems such as blockchains.
Random concurrency testing methods search for bugs in a randomly generated set of executions and offer a practical testing method.
In this paper, we investigate the effectiveness of random concurrency testing on blockchain systems using a case study on the XRP Ledger of the Ripple blockchain, which maintains one of the most popular cryptocurrencies in the market today. We test the Ripple consensus algorithm of the XRP Ledger by exploring different delivery orderings of consensus protocol messages.
Moreover, we design an evolutionary algorithm to guide the random test case generation toward certain system behaviors to discover concurrency bugs more efficiently.
Our case study shows that random concurrency testing is effective at detecting concurrency bugs in blockchains, and the evolutionary approach for test generation improves test efficiency.
Our experiments could successfully detect the bugs we seeded in the Ripple source code. Moreover, we discovered a previously unknown concurrency bug in the production implementation of Ripple.","Blockchains; Distributed systems; Concurrency; Evolutionary algorithms; Software Testing; Ripple","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-07","","","Software Engineering","","",""
"uuid:f06e92ef-cd43-4417-ab98-62389e533fe5","http://resolver.tudelft.nl/uuid:f06e92ef-cd43-4417-ab98-62389e533fe5","Optimal training of integer-valued neural networks with mixed integer programming","Thorbjarnarson, Tómas (Student TU Delft); Yorke-Smith, N. (TU Delft Algorithmics)","","2023","Recent work has shown potential in using Mixed Integer Programming (MIP) solvers to optimize certain aspects of neural networks (NNs). However the intriguing approach of training NNs with MIP solvers is under-explored. State-of-the-art-methods to train NNs are typically gradient-based and require significant data, computation on GPUs, and extensive hyper-parameter tuning. In contrast, training with MIP solvers does not require GPUs or heavy hyper-parameter tuning, but currently cannot handle anything but small amounts of data. This article builds on recent advances that train binarized NNs using MIP solvers. We go beyond current work by formulating new MIP models which improve training efficiency and which can train the important class of integer-valued neural networks (INNs). We provide two novel methods to further the potential significance of using MIP to train NNs. The first method optimizes the number of neurons in the NN while training. This reduces the need for deciding on network architecture before training. The second method addresses the amount of training data which MIP can feasibly handle: we provide a batch training method that dramatically increases the amount of data that MIP solvers can use to train. We thus provide a promising step towards using much more data than before when training NNs using MIP models. Experimental results on two real-world data-limited datasets demonstrate that our approach strongly outperforms the previous state of the art in training NN with MIP, in terms of accuracy, training time and amount of data. Our methodology is proficient at training NNs when minimal training data is available, and at training with minimal memory requirements—which is potentially valuable for deploying to low-memory devices.","","en","journal article","","","","","","","","","","","Algorithmics","","",""
"uuid:e419a842-d1e5-419b-bafb-fe70974b8605","http://resolver.tudelft.nl/uuid:e419a842-d1e5-419b-bafb-fe70974b8605","Simulation-to-real generalization for deep-learning-based refraction-corrected ultrasound tomography image reconstruction","Zhao, Wenzhao (University Heidelberg); Fan, Yuling (University Heidelberg); Wang, Hongjian (Donghua University); Gemmeke, Hartmut (Karlsruhe Institut für Technologie); van Dongen, K.W.A. (TU Delft ImPhys/Medical Imaging; TU Delft ImPhys/Van Dongen goup); Hopp, Torsten (Karlsruhe Institut für Technologie); Hesser, Jürgen (University Heidelberg)","","2023","Objective. The image reconstruction of ultrasound computed tomography is computationally expensive with conventional iterative methods. The fully learned direct deep learning reconstruction is promising to speed up image reconstruction significantly. However, for direct reconstruction from measurement data, due to the lack of real labeled data, the neural network is usually trained on a simulation dataset and shows poor performance on real data because of the simulation-to-real gap.Approach. To improve the simulation-to-real generalization of neural networks, a series of strategies are developed including a Fourier-transform-integrated neural network, measurement-domain data augmentation methods, and a self-supervised-learning-based patch-wise preprocessing neural network. Our strategies are evaluated on both the simulation dataset and real measurement datasets from two different prototype machines.Main results. The experimental results show that our deep learning methods help to improve the neural networks' robustness against noise and the generalizability to real measurement data.Significance. Our methods prove that it is possible for neural networks to achieve superior performance to traditional iterative reconstruction algorithms in imaging quality and allow for real-time 2D-image reconstruction. This study helps pave the path for the application of deep learning methods to practical ultrasound tomography image reconstruction based on simulation datasets.","deep learning; Fourier transform; measurement domain; refraction-corrected ultrasound tomography; simulation-to-real generalization","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-27","","","ImPhys/Medical Imaging","","",""
"uuid:12880fb3-f374-4593-9fbd-fc4f627894e2","http://resolver.tudelft.nl/uuid:12880fb3-f374-4593-9fbd-fc4f627894e2","Complexity and self-organization in the evolution of cell polarization","Glazenburg, M.M. (TU Delft BN/Liedewij Laan Lab); Laan, L. (TU Delft BN/Liedewij Laan Lab)","","2023","Cellular life exhibits order and complexity, which typically increase over the course of evolution. Cell polarization is a well-studied example of an ordering process that breaks the internal symmetry of a cell by establishing a preferential axis. Like many cellular processes, polarization is driven by self-organization, meaning that the macroscopic pattern emerges as a consequence of microscopic molecular interactions at the biophysical level. However, the role of self-organization in the evolution of complex protein networks remains obscure. In this Review, we provide an overview of the evolution of polarization as a self-organizing process, focusing on the model species Saccharomyces cerevisiae and its fungal relatives. Moreover, we use this model system to discuss how self-organization might relate to evolutionary change, offering a shift in perspective on evolution at the microscopic scale.","Biochemical network; Budding yeast; Cdc42; Evolutionary theory; Fungi","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-24","","","BN/Liedewij Laan Lab","","",""
"uuid:279e0a03-a684-4d5c-b80e-194b40728a02","http://resolver.tudelft.nl/uuid:279e0a03-a684-4d5c-b80e-194b40728a02","Shear and Punching Capacity Predictions for One-Way Slabs under Concentrated Loads Considering the Transition between Failure Mechanisms","de Sousa, Alex Micael Dantas (Universidade de São Paulo); Lantsoght, E.O.L. (TU Delft Concrete Structures; Universidad San Francisco de Quito); Debs, Mounir Khalil El (Universidade de São Paulo)","","2023","Reinforced concrete one-way slabs under concentrated loads can develop different shear failure mechanisms: as wide beams in one-way shear, punching shear around the load or a mixed mode between them. Until now, most publications presented recommendations to assess the shear capacity considering only the one-way shear failure mechanism. This study proposed developing recommendations to assess both the one-way shear and punching shear capacity of such slabs. Different codes of practice were addressed, including the current Eurocode and fib Model Code 2010 expressions. The recommendations were validated against 143 test results from the literature. Following these recommendations, one-way shear and punching capacities predictions achieved enhanced and almost the same level of accuracy.","one-way shear; punching shear; one-way slabs; concentrated loads","en","journal article","","","","","","","","","","","Concrete Structures","","",""
"uuid:a6329da8-6bb9-4f19-9337-0fdd7fe28370","http://resolver.tudelft.nl/uuid:a6329da8-6bb9-4f19-9337-0fdd7fe28370","High-speed predictions of post-burn contraction using a neural network trained on 2D-finite element simulations","Egberts, G. (TU Delft Numerical Analysis; University of Hasselt); Vermolen, F.J. (University of Hasselt); van Zuijlen, Paul (Red Cross Hospital, Beverwijk; Amsterdam UMC; Emma Children's Hospital Academic Medical Center, University of Amsterdam)","","2023","Severe burn injuries often lead to skin contraction, leading to stresses in and around the damaged skin region. If this contraction leads to impaired joint mobility, one speaks of contracture. To optimize treatment, a mathematical model, that is based on finite element methods, is developed. Since the finite element-based simulation of skin contraction can be expensive from a computational point of view, we use machine learning to replace these simulations such that we have a cheap alternative. The current study deals with a feed-forward neural network that we trained with 2D finite element simulations based on morphoelasticity. We focus on the evolution of the scar shape, wound area, and total strain energy, a measure of discomfort, over time. The results show average goodness of fit (R2) of 0.9979 and a tremendous speedup of 1815000X. Further, we illustrate the applicability of the neural network in an online medical app that takes the patient's age into account.","machine learning; post-burn scar contraction; morphoelasticity; eed–forward neural network; online application; Monte Carlo simulations","en","journal article","","","","","","","","","","","Numerical Analysis","","",""
"uuid:a82e0099-1ea5-4923-b860-59c15ce5aa78","http://resolver.tudelft.nl/uuid:a82e0099-1ea5-4923-b860-59c15ce5aa78","Corrigendum to “Organocatalyst reactivation with improved performance in O2-mediated styrene synthesis” (Molecular Catalysis (2022) 529, (112525) (S2468823122004114), (10.1016/j.mcat.2022.112525))","Mercadal, Juan J. (Rijksuniversiteit Groningen); Osadchii, D. (TU Delft ChemE/Product and Process Engineering); Zarubina, Valeriya (Erasmus Universiteit Rotterdam; Rijksuniversiteit Groningen); Valero-Romero, María José (Universidad de Málaga); Melián-Cabrera, Ignacio (Rijksuniversiteit Groningen; University of la Laguna, San Cristóbal de la Laguna)","","2023","The authors regret that Eq. (2) in the original manuscript was mistyped by accident. Below, an amended Eq. (2) is given. The values associated to this equation in the original publication are however correct and it does not change the discussion of the results. However, the wrong equation in the original publication can lead to confusion. Corrected equation: [Formula presented] Where [Formula presented]values (mol/h) are the molar flowrates, p is a stoichiometric factor (1 for styrene and 8 for COx). The term ‘EB’ refers to ethylbenzene. The term ‘X’ refers to the products which can be styrene or COx. The subscript ‘IN’ means the molar flow entering the reactor, whereas ‘OUT’ means the flow out of the reactor. The authors would like to apologise for any inconvenience caused.","","en","journal article","","","","","","Corrigendum 10.1016/j.mcat.2022.112897","","","","","ChemE/Product and Process Engineering","","",""
"uuid:acb9f316-1e90-48db-8869-2689f61a0463","http://resolver.tudelft.nl/uuid:acb9f316-1e90-48db-8869-2689f61a0463","Diffuse reflectance spectroscopy of the spine: improved breach detection with angulated fibers","Losch, M.S. (TU Delft Medical Instruments & Bio-Inspired Technology); Kardux, Famke (Student TU Delft); Dankelman, J. (TU Delft Medical Instruments & Bio-Inspired Technology); Hendriks, B.H.W. (TU Delft Medical Instruments & Bio-Inspired Technology; Philips Research)","","2023","Accuracy in spinal fusion varies greatly depending on the experience of the physician. Real-time tissue feedback with diffuse reflectance spectroscopy has been shown to provide cortical breach detection using a conventional probe with two parallel fibers. In this study, Monte Carlo simulations and optical phantom experiments were conducted to investigate how angulation of the emitting fiber affects the probed volume to allow for the detection of acute breaches. Difference in intensity magnitude between cancellous and cortical spectra increased with the fiber angle, suggesting that outward angulated fibers are beneficial in acute breach scenarios. Proximity to the cortical bone could be detected best with fibers angulated at θf = 45° for impending breaches between θp = 0° and θp = 45°. An orthopedic surgical device comprising a third fiber perpendicular to the device axis could thus cover the full impending breach range from θp = 0° to θp = 90°.","","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:6f5e3cb3-444b-4bcc-a33f-fc9e595a2308","http://resolver.tudelft.nl/uuid:6f5e3cb3-444b-4bcc-a33f-fc9e595a2308","Shear creep behaviour of soil-structure interfaces under thermal cyclic loading","Golchin, A. (TU Delft Geo-engineering; Southeast University); Guo, Y. (TU Delft Geo-engineering); Vardon, P.J. (TU Delft Geo-engineering; Southeast University); Liu, S. (Student TU Delft); Zhang, G. (Student TU Delft); Hicks, M.A. (TU Delft Geo-engineering; Southeast University)","","2023","The coupling effect of initial shear stress and thermal cycles on the thermomechanical behaviour of clay concrete and sand-concrete interfaces has been studied. A set of drained monotonic direct shear tests was conducted at the soil-concrete interface level. Samples were initially sheared to half of the material's shear strength and then they were subjected to five heating/cooling cycles before being sheared to failure. The test results showed that the effect of thermal cycles on the shear strength of the materials was negligible, yet shear displacement occurred during application of thermal cycles without an increase in shear stress, confirming the coupling between the shear stress and temperature. In addition, a slight increase of stiffness due to the coupling was observed which diminished with further shearing.","Creep; Interface; Thermal cycles; Thermo-active structures; Thermomechanical behaviour","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:066439e2-b5ee-43a3-953b-552e0be441da","http://resolver.tudelft.nl/uuid:066439e2-b5ee-43a3-953b-552e0be441da","Case study report Amsterdam Functional Urban Area","Gentili, M. (TU Delft Urban Development Management); Hoekstra, J.S.C.M. (TU Delft Urban Development Management)","","2023","The current document is the result of the research activities carried out in Work Package 3 (WP3) of the UPLIFT project1 in Amsterdam, the Netherlands. It aims to understand which micro, meso and macro level factors influence vulnerable young people's decisions in education, employment and housing, and how these young people create their own strategies and make choices within the possibilities available in the given locality.","","en","report","UPLIFT","","","","","","","","","","Urban Development Management","","",""
"uuid:ce72daef-c501-46f1-82eb-2e58688b463a","http://resolver.tudelft.nl/uuid:ce72daef-c501-46f1-82eb-2e58688b463a","Destructive Feedback: a user created strategy for collecting user feedback in shared systems","Meijer, Wo (TU Delft Internet of Things)","","2023","This paper documents a method for collecting user feedback on broken or malfunctioning devices dubbed Destructive Feedback; where the user deliberately “breaks” the device by removing an affordance. This makes it easier to detect visually and with sensors, as well as discourages others from using a broken device. This method is inspired by turning the bike seats around in the Paris bike share system (Vélib’). A designer lead application of Destructive Feedback would allow for easier detection of faults by users, repair personnel, and the system itself. First, it is unclear how widely used and understood the behavior is in the Vélib’ system; pointing to the need for an ethnographic study. If the benefits in this real example are significant, the main challenge of such a system is to create “destruction” in an easily repairable way, inform users of the meaning of the destruction, and prevent miss-use. Finally,it will be necessary to test example devices with users to gauge feedback, work with engineers to create sturdy “breakable” systems, and compile these findings into a set of design tools and methods that allow designers to implement destructive feedback in other PSS’.","Shape changing interfaces; Internet of things","en","conference paper","","","","","","","","","","","Internet of Things","","",""
"uuid:029e6508-92d2-4ba6-a921-f9de8b23c93f","http://resolver.tudelft.nl/uuid:029e6508-92d2-4ba6-a921-f9de8b23c93f","Sparsity-based Human Activity Recognition with PointNet using a Portable FMCW Radar","Ding, Chuanwei (Nanjing University of Science and Technology); Zhang, Li (Nanjing University of Science and Technology); Chen, Haoyu (Nanjing University of Science and Technology); Hong, Hong (Nanjing University of Science and Technology); Zhu, Xiaohua (Nanjing University of Science and Technology); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems)","","2023","Radar-based solutions have attracted great attention in human activity recognition (HAR) for their advantages in accuracy, robustness, and privacy protection. The conventional approaches transform radar signals into feature maps and then directly process them as visual images. While effective, these image-based methods may not be the best solutions in terms of representation efficiency to encode the relevant information for classification. This article proposes a novel HAR method combining sparse theory and PointNet network, with both operations in the time-Doppler (TD) and range-Doppler (RD) domains. First, sparsity-based feature extraction is introduced to use a limited number of sparse solutions to characterize human activities in the form of TD sparse point clouds (TDSP) or dynamic RD sparse point clouds (DRDSP). This new representation is validated by comparing the reconstructed and original signals. Then, PointNet networks are adopted to summarize multidomain features and predict human activity labels by a sparse set of input point clouds. Comprehensive experiments were conducted to demonstrate that the proposed method can yield a higher representation efficiency, classification accuracy, and better generalization capability than existing ones.","Classification algorithms; Data mining; Doppler effect; Feature extraction; FMCW radar; Human activity recognition; human activity recognition (HAR); Point cloud compression; PointNet; Radar; sparse representation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-10","","","Microwave Sensing, Signals & Systems","","",""
"uuid:acd71cf8-09d6-4b00-bcea-a09117aa811c","http://resolver.tudelft.nl/uuid:acd71cf8-09d6-4b00-bcea-a09117aa811c","Ultrasonically Powered and Controlled Microsystem for Dual-Wavelength Optogenetics with a Multi-Load Regulation Scheme","Rashidi, A. (TU Delft Bio-Electronics); Zamani, M. (TU Delft Bio-Electronics; Aarhus University); Mondal, Tanmay (Tyndall National Institute); Hosseini, Seyedsina (Technical University of Denmark); Laursen, Kjeld (Aarhus University); Corbet, Brian (Tyndall National Institute); Moradi, Farshad (Aarhus University)","","2023","This letter presents an ultrasonically powered dual-wavelength optogenetic device that targets simultaneous excitation and inhibition of neural activities, or in a broader sense, optical stimulation in two distinct wavelengths for targeting different populations of neurons. This can be applied to a variety of neurological disorders. The device features a load regulator circuit that shares the available power budget between two LEDs in a power-efficient and controlled way suppressing the need for adaptive matching and overvoltage protection circuits. Furthermore, the regulator circuit is capable of detecting power burst availability on the device and generating a control signal, accordingly. For 5.25 -mW acoustic power at the device's surface, the rectified voltage, and the total current load of the system are regulated to 2.79 V and 600A , respectively. The maximum chip and device efficiencies of 92.5% and 31.8% are measured, respectively. The total die area in 180- nm CMOS technology nose and the estimated system volume are 0.16/2 and 0.572/3 , respectively.","Acoustics; Aperture efficiency; dual-wavelength optogenetics; dust; load regulator; Optogenetics; Oscilloscopes; piezoelectric; Regulators; Sonar equipment; Transducers; Ultrasonic power transfer; Voltage control","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Bio-Electronics","","",""
"uuid:11497560-68ea-4d3b-8d30-a432c72ecd6d","http://resolver.tudelft.nl/uuid:11497560-68ea-4d3b-8d30-a432c72ecd6d","Modeling, Control, and Operation of an M-DAB DC-DC Converter for Interconnection of HVDC Grids","Yazdi, Seyed Saeid Heidari (Nazarbayev University); Rouzbehi, Kumars (University of Seville); Carrizosa, Miguel Jimenez (Universidad Politécnica de Madrid); Heidary, Amir (TU Delft Intelligent Electrical Power Grids); Bagheri, Mehdi (Nazarbayev University)","","2023","Future high-voltage direct-current (HVDC) networks based on voltage source converters (VSCs) will have different structures (asymmetric monopolar, bipolar, or symmetric monopolar), voltage levels, control, and protection schemes. Therefore, dc-dc converters are needed to interconnect those VSC-HVDC grids and several technical issues on their control and operational systems must be adequately addressed. A dc-dc converter based on a modular-dual active bridge (M-DAB) converter is suggested to reach a desirable interconnection of the HVDC grids and regulate power flow (PF) between them. A dynamic averaged model is proposed for the M-DAB converter and its stability is analyzed using the Lyapunov function. Moreover, a new local controller based on nonlinear control theory is proposed for the M-DAB. The new M-DAB local controller is integrated with the energy management system (EMS), by updating the PF equations, to create a complete control structure. Considering the CIGRE DCS3 HVDC test system and the studied M-DAB, static, dynamic simulation, and experimental studies are conducted and the dc-dc converter and the performance of the designed controllers and the EMS are examined and validated.","Control systems; DC–DC converter; HVDC grids interconnection; HVDC transmission; modular dual active bridge (M-DAB) converter; Modulation; MT-HVDC grids; nonlinear control; Switches; Topology; Transformers; Voltage control","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-24","","","Intelligent Electrical Power Grids","","",""
"uuid:3edf79c3-7370-404c-aa65-1b8377dbf4b3","http://resolver.tudelft.nl/uuid:3edf79c3-7370-404c-aa65-1b8377dbf4b3","Editorial for the Radiation Measurements/Physics Open Virtual Special Issue Radiation dosimetry: current challenges and future directions","McKeever, Stephen W.S. (Oklahoma State University); Bailiff, Ian (Durham University); bos, A.J.J. (TU Delft RST/Fundamental Aspects of Materials and Energy); Yukihara, Eduardo G. (Paul Scherrer Institut)","","2023","","","en","contribution to periodical","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-01","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:fcaaa51f-ac6a-441c-bdf8-89db32eba21f","http://resolver.tudelft.nl/uuid:fcaaa51f-ac6a-441c-bdf8-89db32eba21f","The E factor at 30: a passion for pollution prevention","Sheldon, R.A. (TU Delft BT/Biocatalysis; University of Witwatersrand)","","2023","The introduction of the E Factor in 1992 focussed attention on the problem of waste generation, defined as everything but the desired product, in chemicals manufacture and gave rise to a paradigm shift in our concept of efficiency in chemical processes, from one based solely on chemical yield to one that assigns value to eliminating waste. Thirty years later, it has become clear that waste is the underlying cause of the major global environmental problems, from climate change to plastic pollution and that the solution to this ubiquitous waste problem is pollution prevention at source enabled by green and sustainable chemistry. The role played by (bio)catalysis, alternative solvents, the emergence of a carbon neutral circular economy based on renewable resources and the electrification of chemicals manufacture based on renewable energy in the drive towards pollution prevention and sustainable industries is delineated.","","en","review","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:bd58f1e4-c573-4e80-9241-3f2fcbb2c140","http://resolver.tudelft.nl/uuid:bd58f1e4-c573-4e80-9241-3f2fcbb2c140","Neglecting exit doors: How does regret cost shape the irreversible execution of renewable energy megaprojects?","Eitan, Avri (Universiteit Utrecht); Fischhendler, Itay (The Hebrew University of Jerusalem); van Marrewijk, A.H. (TU Delft Design & Construction Management; BI Norwegian Business School; Vrije Universiteit Amsterdam)","","2023","The energy transition process nowadays is characterized by the replacement of fossil fuels-based means of production with renewable energy (RE). Alongside the diffusion of decentralized RE, this process is associated with the increased promotion of RE megaprojects. Such megaprojects, however, are often shaped by path-dependent lock-ins and thus continue to be promoted with limited changes despite the emergence of better alternatives along the way. This study explores the role of lock-ins in the irreversibility of RE megaprojects while highlighting the notion of regret cost. In particular, the study sheds light on the influence of lock-ins within megaprojects, specifically focusing on their execution stage. Using the establishment process of Ashalim, a giant thermal solar power station in southern Israel, as a case study, we demonstrate how various lock-ins increase regulators’ regret cost, thus escalating their commitment to the megaproject and causing them to neglect diverse “exit doors” during execution. We thus illuminate the irreversibility of RE megaprojects and question their capability to meet the growing need of energy markets for flexibility.","Energy transition; Escalation of commitment; Exit doors; Megaprojects; Regret cost; Renewable energy","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:2f33182c-7fc9-4092-bf1b-468e44ceaad1","http://resolver.tudelft.nl/uuid:2f33182c-7fc9-4092-bf1b-468e44ceaad1","Multi-period power utility optimization under stock return predictability","Bodnar, Taras (Stockholm University); Ivasiuk, Dmytro (European University Viadrina); Parolya, N. (TU Delft Statistics); Schmid, Wolfgang (European University Viadrina)","","2023","In this paper, we derive an analytical solution to the dynamic optimal portfolio choice problem in the case of an investor equipped with a power utility function of wealth. The results are established by solving the Bellman backward recursion under the assumption that the vector of asset returns follows a vector-autoregressive process with predictable variables. In an empirical study, the performance of the derived solution is compared with the one obtained by applying the numerical method. The comparison is performed in terms of the final wealth and its expected utility. It is documented that the application of the analytical solution to the multi-period portfolio choice problem leads to higher values of both the final wealth and the expected utility.","Finance; Power utility; CRRA; Multi-period solution; Dynamic optimization","en","journal article","","","","","","","","","","","Statistics","","",""
"uuid:48b8bd48-219e-4077-92e3-2dc5da7d7981","http://resolver.tudelft.nl/uuid:48b8bd48-219e-4077-92e3-2dc5da7d7981","Fallowscapes: A transition-driven tool to market ecological urbanism","Shisodia, A.S. (TU Delft Environmental Technology and Design)","","2023","Urban areas generate high demand for urban areas to accommodate a broader range of functions associated with growth, given the rising rate of urbanization with more than two-thirds of the human population in 2050 projected to be city-dwellers. As a result, urban areas have been undergoing vigorous spatial, social, and ecological transitions. This generates a direct impact on nature, multiplicity, and the use of space. With the onset of drives focused on limiting the urbanization of space like “net zero land-take by 2050” by the European Union [11], it is evident that global authorities have realized the need to aid these spatial transitions. Such urban ecological transitions are primarily focused on territorial borders where sprawling infrastructure reservoirs and vital natural ecosystem services conflict. ‘Fallowscape’ has been seen as a tool to assist transition at peri-urban borders and form a link between brownfield and greenfield development. However, to justify the practice as a sustainable approach, there are various economic and social aspects to be accounted for, which influence the feasibility of fallow lands. Recent urban development projects (by the public as well as private sector) have seen a rise in the desirability of sustainable and ecologically sensitive approaches. Developers are keen on building dynamic environments that incorporate environmental transitions. However, with the perspective of profitability in mind, such projects often face challenges in authorization and execution. The research intends to introduce Fallowscapes as innovative practice for urban development projects, evaluating its position as a profit-driving tool by preparing an inventory of actors and ecologically sensitive parameters for urban development projects. The paper undertakes literature review of Fallowing as an ecologically sustainable practice, conducting empirical research by comparing case studies that implement Fallowscapes as an approach to market ecologically sensitive project visions.","Ecology; Fallow Land; Feasible Urban Development; Profitability; Sustainable Planning; Transition","en","journal article","","","","","","","","","","","Environmental Technology and Design","","",""
"uuid:bd8586d9-538f-40c4-9079-acbb6915f7f7","http://resolver.tudelft.nl/uuid:bd8586d9-538f-40c4-9079-acbb6915f7f7","Enhancing spatial resolution of GRACE-derived groundwater storage anomalies in Urmia catchment using machine learning downscaling methods","Sabzehee, F. (University of Isfahan); Amiri Simkooei, A. (TU Delft Optical and Laser Remote Sensing; University of Isfahan); Iran Pour, S. (University of Isfahan); Vishwakarma, B.D. (University of Bristol; Indian Institute of Science); Kerachian, R. (University of Tehran)","","2023","The Urmia lake in north-west Iran has dried up to perilously low levels in the past two decades. In this study, we investigate the drivers behind the decline in lake water level with the help of in-situ and remote sensing data. We use total water storage (TWS) changes from the gravity recovery and climate experiment (GRACE) satellite mission. TWS from GRACE includes all the water storage compartments in a column and is the only remote sensing product that can help in estimating groundwater storage (GWS) changes. The coarse spatial (approx. 300 km) resolution of GRACE does not allow us to identify local changes that may have led to the Urmia lake disaster. In this study, we tackle the poor resolution of the GRACE data by employing three machine learning (ML) methods including random forest (RF), support vector regression (SVR) and multi-layer perceptron (MLP). The methods predict the groundwater storage anomaly (GWSA), derived from GRACE, as a function of hydro-climatic variables such as precipitation, evapotranspiration, land surface temperature (LST) and normalized difference vegetation index (NDVI) on a finer scale of 0.25° × 0.25°. We found that i) The RF model exhibited highest R (0.98), highest NSE (0.96) and lowest RMSE (18.36 mm) values. ii) The RF downscaled data indicated that the exploitation of groundwater resources in the aquifers is the main driver of groundwater storage and changes in the regional ecosystem, which has been corroborated by few other studies as well. The impact of precipitation and evapotranspiration on the GWSA was found to be rather weak, indicating that the anthropogenic derivers had the most significant impact on the GWSA changes. iii) We generally observed a significant negative trend in GWSA, having also significant positive correlations with the well data. However, over regions with dam construction significant negative correlations were found.","Downscaling methods; GRACE; Groundwater storage; Machine learning; Mann-kendall test; Urmia catchment","en","journal article","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:5afd3269-82c6-439c-b232-cf9fed210aca","http://resolver.tudelft.nl/uuid:5afd3269-82c6-439c-b232-cf9fed210aca","Continual prune-and-select: Class-incremental learning with specialized subnetworks","Dekhovich, A. (TU Delft Team Georgy Filonenko); Tax, D.M.J. (TU Delft Pattern Recognition and Bioinformatics); Sluiter, M.H.F. (TU Delft Team Marcel Sluiter); Bessa, M.A. (Brown University)","","2023","The human brain is capable of learning tasks sequentially mostly without forgetting. However, deep neural networks (DNNs) suffer from catastrophic forgetting when learning one task after another. We address this challenge considering a class-incremental learning scenario where the DNN sees test data without knowing the task from which this data originates. During training, Continual Prune-and-Select (CP&S) finds a subnetwork within the DNN that is responsible for solving a given task. Then, during inference, CP&S selects the correct subnetwork to make predictions for that task. A new task is learned by training available neuronal connections of the DNN (previously untrained) to create a new subnetwork by pruning, which can include previously trained connections belonging to other subnetwork(s) because it does not update shared connections. This enables to eliminate catastrophic forgetting by creating specialized regions in the DNN that do not conflict with each other while still allowing knowledge transfer across them. The CP&S strategy is implemented with different subnetwork selection strategies, revealing superior performance to state-of-the-art continual learning methods tested on various datasets (CIFAR-100, CUB-200-2011, ImageNet-100 and ImageNet-1000). In particular, CP&S is capable of sequentially learning 10 tasks from ImageNet-1000 keeping an accuracy around 94% with negligible forgetting, a first-of-its-kind result in class-incremental learning. To the best of the authors’ knowledge, this represents an improvement in accuracy above 10% when compared to the best alternative method.","Catastrophic forgetting; Class-incremental learning; Continual learning; Sparse network representation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-13","","","Team Georgy Filonenko","","",""
"uuid:5f067bfe-d07c-4abd-b46c-55e47afbc7ae","http://resolver.tudelft.nl/uuid:5f067bfe-d07c-4abd-b46c-55e47afbc7ae","Regionalizing the sea-level budget with machine learning techniques","Machado Lima de Camargo, C. (TU Delft Physical and Space Geodesy; NIOZ Royal Netherlands Institute for Sea Research); Riva, R.E.M. (TU Delft Physical and Space Geodesy); Hermans, T.H.J. (TU Delft Physical and Space Geodesy); Schütt, Eike M. (University of Kiel); Marcos, Marta (University of the Balearic Islands); Hernandez-Carrasco, Ismael (University of the Balearic Islands); Slangen, Aimée B.A. (NIOZ Royal Netherlands Institute for Sea Research)","","2023","Attribution of sea-level change to its different drivers is typically done using a sea-level budget approach. While the global mean sea-level budget is considered closed, closing the budget on a finer spatial scale is more complicated due to, for instance, limitations in our observational system and the spatial processes contributing to regional sea-level change. Consequently, the regional budget has been mainly analysed on a basin-wide scale. Here we investigate the sea-level budget at sub-basin scales, using two machine learning techniques to extract domains of coherent sea-level variability: a neural network approach (self-organizing map, SOM) and a network detection approach (δ-MAPS). The extracted domains provide more spatial detail within the ocean basins and indicate how sea-level variability is connected among different regions. Using these domains we can close, within 1σ uncertainty, the sub-basin regional sea-level budget from 1993–2016 in 100 % and 76 % of the SOM and δ-MAPS regions, respectively. Steric variations dominate the temporal sea-level variability and determine a significant part of the total regional change. Sea-level change due to mass exchange between ocean and land has a relatively homogeneous contribution to all regions. In highly dynamic regions (e.g. the Gulf Stream region) the dynamic mass redistribution is significant. Regions where the budget cannot be closed highlight processes that are affecting sea level but are not well captured by the observations, such as the influence of western boundary currents. The use of the budget approach in combination with machine learning techniques leads to new insights into regional sea-level variability and its drivers.","","en","journal article","","","","","","","","","","","Physical and Space Geodesy","","",""
"uuid:2a099239-a2af-44dc-949c-eae73d60132a","http://resolver.tudelft.nl/uuid:2a099239-a2af-44dc-949c-eae73d60132a","A Generic Framework for Multiscale Simulation of High and Low Enthalpy Fractured Geothermal Reservoirs under Varying Thermodynamic Conditions","Wang, Y. (TU Delft Numerical Analysis; TU Delft Geoscience and Engineering; China University of Geosciences); Hosseinimehr, S.M. (TU Delft Numerical Analysis; TU Delft Geoscience and Engineering); Marelis, A.A. (TU Delft Civil Engineering & Geosciences); Hajibeygi, H. (TU Delft Reservoir Engineering)","","2023","We develop a multiscale simulation strategy, namely, algebraic dynamic multilevel (ADM) method, for simulation of fluid flow and heat transfer in fractured geothermal reservoirs under varying thermodynamic conditions. Fractures with varying conductivities are modeled using the projection-based embedded discrete fracture model (pEDFM) in an explicit manner. The developed ADM method allows the fine-scale system to be mapped to a discrete domain with an adaptive grid resolution via the use of the restriction and prolongation operators. The developed framework is used a) to investigate the impacts of formulations with different primary variables on the simulation results, and b) to assess the performance of ADM in a high-enthalpy reservoir by comparing the simulation results against those obtained from fine-scale grids. Results show that the two formulations produce similar results in the case of single-phase flow, which indicates that the molar formulation is a favorable option that can be applied to varying thermodynamic conditions. Moreover, the ADM can provide accurate solutions with only a fraction of fine-scale grids, e.g., for the studied case, the maximum error is by average 1.3 with only 42% of active cells, thereby improving the computational efficiency. This is promising for applying the developed method to field-scale geothermal systems.","geothermal energy; mass and heat transfer; multiscale simulation","en","journal article","","","","","","","","","Civil Engineering & Geosciences","Geoscience and Engineering","Numerical Analysis","","",""
"uuid:766006bc-5579-4cc0-9122-4de04432e0dc","http://resolver.tudelft.nl/uuid:766006bc-5579-4cc0-9122-4de04432e0dc","Evaluation of the Physicochemical Properties and Antiaging Properties of Bitumen Mastic Modified by Layered Double Hydroxides","Zou, Yingxue (Wuhan University of Technology); Pang, Ling (Wuhan University of Technology); Chen, Shuaichao (Wuhan University of Technology); Xu, S. (TU Delft Materials and Environment; Wuhan University of Technology); Wu, Shaopeng (Wuhan University of Technology); Amirkhanian, Serji (University of South Alabama); Xu, Haiqin (Wuhan University of Technology); Zhao, Zenggang (Wuhan University of Technology)","","2023","Layered double hydroxides (LDHs) can shield polymeric materials from UV light, which allows reducing material aging and erosion damage of bituminous pavement under physical and chemical action. In this study, the physicochemical properties, aging resistance, and erosion resistance to the aqueous solution of LDHs modified bitumen mastic (BM) were characterized by Fourier-transform infrared spectroscopy, basic physical tests, viscosity tests, a dynamic shear rheometer, and a bending beam rheometer. The results show that few chemical reactions occurred between LDHs and BM, indicating that a physical mechanism underlay the modification of BM by LDHs. Moreover, LDHs could increase the flow activation energy of BM by 0.12%, increase the high failure temperature from 69.07 °C to 71.07 °C, and decrease the low failure temperature from −10.50 °C to −12.39 °C. Therefore, LDHs could slightly reduce the temperature sensitivity of BM, while slightly enhancing the high and low-temperature rheological properties of BM. Compared with short-term aging and long-term aging, LDHs could significantly improve the UV aging resistance of BM. The above results are consistent with previous studies of LDHs-modified bitumen. Furthermore, water and pH 3 acidic solutions had the greatest degree of erosion to BM, and LDHs could improve the resistance to aqueous solutions. Overall, this study can help to investigate the effects of various environmental factors on the performance of LDHs modified bitumen pavements during long-term use.","bitumenmastic; layered double hydroxide; aging conditions; water erosion; physicochemical properties; antiaging properties","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:dddba2e8-85f7-4123-a609-6548f83976fa","http://resolver.tudelft.nl/uuid:dddba2e8-85f7-4123-a609-6548f83976fa","Wave Energy in Brittany (France)—Resource Assessment and WEC Performances","Guillou, Nicolas (Cerema: Center for Studies and Expertise on Risks, the Environment, Mobility and Planning); Lavidas, G. (TU Delft Offshore Engineering); Kamranzad, Bahareh (University of Strathclyde)","","2023","Refined numerical wave energy resource assessments are required to reduce uncertainties in the evaluation of available power and energy production. However, to restrict the computational cost, a great part of wave hindcast simulations cover a limited time range (below ten years) or rely on coarse spatial resolutions while routinely ignoring tide-induced modulations in wave conditions. Complementing resource assessments conducted in the North-West European shelf seas, we here exploited a 27-year hindcast database (1994–2020) set up at a spatial resolution of 200 m along the coast of France and integrating the effects of tidal currents on waves. This evaluation was conducted in three water depths from offshore to nearshore (60, 40 and 20 m) around Brittany, one of the most energetic regions along the coast of France. We investigated the performances of a series of thirteen state-of-the-art wave energy converters with respect to installation depth range. Beyond confirming the interest of western Brittany in energy exploitation, the results exhibited the first ranking between devices, thus promoting the interests of Oceantec in offshore waters (60 m), Wave Dragon in intermediate waters (40 m), and Oyster and WaveStar C6 in shallow waters (20 m).","inter-annual and inter-seasonal variability; wave climate; wave energy converters; capacity analysis; efficiency index; hindcast database; wave and current interactions; power matrix","en","journal article","","","","","","","","","","","Offshore Engineering","","",""
"uuid:adc88cb3-bc4c-4c04-b339-878a4ee9ee6c","http://resolver.tudelft.nl/uuid:adc88cb3-bc4c-4c04-b339-878a4ee9ee6c","Circulation, stratification and salt dispersion in a former estuary after reintroducing seawater inflow","Kranenburg, W.M. (TU Delft Environmental Fluid Mechanics; Deltares); Tiessen, Meinard (Deltares); Blaas, Meinte (Rijkswaterstaat); Van Veen, Nathalie (Rijkswaterstaat)","","2023","Around the world, estuaries have been partially or completely closed-off from the sea and their number may increase with rising sea levels. Concurrently, there is a trend to reintroduce seawater inflow into enclosed former estuaries for ecosystem improvement. This is also the case in the Haringvliet, a former estuary in the Rhine-Meuse Delta, closed-off in 1970 with floodgates blocking seawater inflow and regulating outflow. As the reintroduced salt water inflow can threaten fresh water intake, inflow, flushing and dispersion need to be well understood and carefully managed. Here we investigate stratification, flow circulation and salt transport in the Haringvliet by analyzing ADCP data collected in two former tidal channels, together with salinity time series and profiles. The profiles show that the incoming water reaches the deeper parts and that the system tends to be strongly stratified. Over time, the interface levels deepen in steps, mainly coinciding with floodgate discharge events, which are strongly correlated with the primary current velocities in the channels. However, even floodgate discharges for above average Rhine discharge conditions were insufficient to quickly flush or mix the salt out of the channels. This is consistent with calculated gradient Richardson numbers, which barely get in the range of critical values. For closed floodgates with no outward discharge, we found considerable depth-averaged upwind currents in the channels for axial winds. This reveals a dominant horizontal circulation, with downwind currents over the shallow parts and upwind currents over the deep parts of the system, explained by a local imbalance between the wind stress and pressure gradient force at both shoals and channels. This horizontal circulation is an important driver for inland salt transport, as increased salinity values were found at landward locations for seaward wind. This implies this is a condition with increased risks for fresh water availability. Analytical calculations confirmed the upwind currents in the channels can become sufficiently strong to transport salt mixed up at one side of the system to the other within the duration of a wind event. However, the current-related shear is likely not strong enough to induce interfacial mixing directly above the deep parts, and we hypothesize mixing mostly occurs when salt water reaches less deep areas after tilting of the pycnocline. The insights from this study are relevant for other formerly enclosed estuaries for which reintroduction of seawater inflow is considered, as well as presently open systems for which (partial) closure is discussed.","Salt intrusion; Estuaries; Lakes; Circulations; Stratification; Transport","en","journal article","","","","","","","","","","","Environmental Fluid Mechanics","","",""
"uuid:842186db-b5a1-47de-a5ab-da2864a92a64","http://resolver.tudelft.nl/uuid:842186db-b5a1-47de-a5ab-da2864a92a64","Diagnosis of the implementation of smart grid innovation in The Netherlands and corrective actions","Norouzi, F. (TU Delft DC systems, Energy conversion & Storage); Hoppe, T. (TU Delft Organisation & Governance); Kamp, L.M. (TU Delft Energie and Industrie); Manktelow, C. (University of Exeter); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2023","With its potentially disruptive nature, the smart grid can be viewed from both a transformational and an innovation systems perspective. Synthesising these, a research approach is adopted in which a Technological Innovation System (TIS) analysis is combined with a transformational perspective to identify a broader range of success and failure factors. This study analyses smart grid innovation system development. The main research question is: What systemic and transformational failures are identified in the development of smart grid innovation in the Netherlands from 2001 to 2021 by combining TIS and a transformational perspective? The question is answered by mapping the events to TIS functions and identifying both ‘systemic failures’ and ‘transformational failures’. Transformational failures are linked to events outside the smart grid TIS that work against the alignment and harmonising of activities within the TIS. Results show that the smart grid innovation system experienced three periods and that it suffers from various structural and transformational failures. TIS functions like knowledge diffusion, and the creation of legitimacy were only fulfilled to a limited extent. Consequently, smart grid innovation is currently still not considered a mainstream technology in the energy transition, and there is little attention to the role of end-users. The study ends with suggestions for future research, including the suitability of the research approach for other contexts and when applied to other energy system innovations.","Smart grid; Systemic failures; System functions; Technological Innovation System; The Netherlands; Transformational failures","en","review","","","","","","","","","","","DC systems, Energy conversion & Storage","","",""
"uuid:a50b8784-bca4-4112-994a-cb0d270a8e02","http://resolver.tudelft.nl/uuid:a50b8784-bca4-4112-994a-cb0d270a8e02","Plant–atmosphere heat exchange during wind machine operation for frost protection","Boekee, J. (TU Delft Water Resources); Dai, Y. (TU Delft Atmospheric Remote Sensing); Schilperoort, B. (TU Delft Atmospheric Remote Sensing); van de Wiel, B.J.H. (TU Delft Atmospheric Remote Sensing); ten Veldhuis, Marie-claire (TU Delft Water Resources)","","2023","To mitigate spring frost damage, fruit farmers use wind machines to mix warm overlying air down to the vegetation. Up to this point, studies on wind machine efficiency have focused on air temperatures. The temperature of different plant organs during operation remains unknown, while critical for the actual degree of frost damage. With Distributed Temperature Sensing we measured vertical in-canopy air temperature profiles in a pear orchard in the Netherlands and thermistors were installed to determine the plant tissue temperatures. We found that to optimize wind machine operation, it is important to consider two effects of a wind machine: (1) mixing of stratified air above and into the canopy layer and (2) erosion of the leaf boundary layer to facilitate plant–air heat exchange. We show how foliage reduces plume penetration to the ground with distance to the wind machine. Due to this blocking at least 15 rotations (∼ 75 min) are needed for optimal mixing. Leaf temperatures lag behind air temperatures, due to strong radiative cooling. We found that over the rotation cycle of a wind machine the temperature difference between leaf and air is variable as convective warming repeatedly dominates over radiative cooling. This is different for flowers and shoots due to different heat capacities. Thin flower petals store little heat and are almost in direct equilibrium with air temperature changes. Shoots, with their higher heat capacity and lower surface/volume ratio, store more heat during the day that is slowly released at night. This discrepancy between plant and air temperature should be considered for frost damage prediction.","Agriculture; Frost mitigation; Leaf energy balance; Micro-meteorology; Wind machine","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:b20ef73f-46ff-44c0-84c6-38bc0c2ddbf5","http://resolver.tudelft.nl/uuid:b20ef73f-46ff-44c0-84c6-38bc0c2ddbf5","Will SiOx-pinholes for SiOx/poly-Si passivating contact enhance the passivation quality?","Yang, G. (TU Delft Photovoltaic Materials and Devices); Gram, Remon (Student TU Delft); Procel Moya, P.A. (TU Delft Photovoltaic Materials and Devices); Han, C. (TU Delft Photovoltaic Materials and Devices); Yao, Z. (TU Delft Photovoltaic Materials and Devices); Singh, M. (TU Delft Photovoltaic Materials and Devices); Zhao, Y. (TU Delft Photovoltaic Materials and Devices); Mazzarella, L. (TU Delft Photovoltaic Materials and Devices); Zeman, M. (TU Delft Electrical Sustainable Energy); Isabella, O. (TU Delft Photovoltaic Materials and Devices)","","2023","Passivating contacts based on poly-Si have enabled record-high c-Si solar cell efficiencies due to their excellent surface passivation quality and carrier selectivity. The eventual existence of pinholes within the ultra-thin SiOx layer is one of the key factors for carrier collection, beside the tunneling mechanism. However, pinholes are usually believed to have negative impact on the passivation quality of poly-Si passivating contacts. This work studied the influence of the pinhole density on the passivation quality of ion-implanted poly-Si passivating contacts by decoupling the pinhole generation from the dopants diffusion process by means of two annealing steps: (1) a pre-annealing step at high temperature after the intrinsic poly-Si deposition to visualize the formation of pinholes and (2) a post-annealing step for dopants activation/diffusion after ion-implantation. The pinhole density is quantified in the range of 1✕106 to 3✕108 cm2 by the TMAH selective etching approach. The passivation quality is discussed with respect to the pinhole density and the post-annealing thermal budget (TB) for dopants diffusion. The study shows that a moderate pinhole density does not induce doping profile variations that can be detectable by the coarse spatial resolution of ECV measurements. It is surprising that the existence of pinholes in a moderate density within our thickness fixed SiOx layer can effectively enhance the passivation qualities for both n+ and p+ poly-Si passivating contacts. We speculate the reason is due to the enhanced field-effect passivation at the pinhole surrounding. In fact, the variation of the passivation quality depends on the balance between a strengthened field-effect passivation and an excessive local Auger recombination, being both effects induced by the higher and deeper level of dopants diffused into the c-Si surface through the pinholes.","Poly-Si passivating Contacts; Pinhole density; Thermal diffusion budget; Enhanced passivation","en","journal article","","","","","","","","","","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:8cb0c8fb-43ec-45c7-bffb-2775f3668ccc","http://resolver.tudelft.nl/uuid:8cb0c8fb-43ec-45c7-bffb-2775f3668ccc","Thermal Performance of Starch/Beet-pulp Composite Bricks for Building Insulation at a Wall Scale","Harb, Elias (Université de Reims Champagne-Ardenne, Reims); Maalouf, Chadi (Université de Reims Champagne-Ardenne, Reims); Bliard, Christoph (Université de Reims Champagne-Ardenne, Reims); Tenpierik, M.J. (TU Delft Environmental & Climate Design); Lachi, Mohammed (Université de Reims Champagne-Ardenne, Reims); Bogard, F. (Université de Reims Champagne-Ardenne, Reims); Polidori, Guillaume (Université de Reims Champagne-Ardenne, Reims)","","2023","This paper aims to study the thermal performance at wall scale of hollowed bricks made of starch/beet-pulp bio-composites identified as a potent solution for the development of sustainable, non-load bearing, insulation materials to be used in the construction sector. Numerical studies on thermal resistance using the COMSOL software were conducted to study the characteristics of optimal hollowed brick pattern. The numerical study results were then compared with thermal resistance calculations based on the NF EN ISO norm 6946 (2017). In a later stage, an experimental 1 m x 1 m wall made of starch/beet-pulp composite bricks and binder was built. Experimental studies on the thermal resistance and thermal imaging were carried out on the wall inserted in a bi-climatic chamber showing only a 3 ◦C change of the surface temperature of the wall on the one side after applying a 23 ◦ C temperature difference on the other side for 7 h. The
equivalent thermal resistances were obtained equal to 1.180 m2K/W, 1.218 m2K/W, 1.10 m2K/W respectively as described previously which reflected a good agreement between the numerical and experimental results.Finally, the obtained results reflected the high thermal performance of the studied starch/beet-pulp composites.","Beet-pulp; Starch; Hollowed brick; Thermal performance; COMSOL Multiphysics 2D; NF EN ISO norm 6946 (2017); Thermal imaging bi-climatic chamber","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:9e96ec87-7810-472d-bd47-1d5914ea8a24","http://resolver.tudelft.nl/uuid:9e96ec87-7810-472d-bd47-1d5914ea8a24","Foucault’s governmentality and the issue of project collaboration","Clegg, Stewart (University of Technology Sydney); Ninan, Dr Johan (TU Delft Integral Design & Management)","Winch, Graham (editor); Brunet, Maude (editor); Cao, Dongping (editor)","2023","The concept of governmentality has proven useful to analyse how the reflexive management of people within and without the project is conducted. In this chapter we explore the organizational theory of governmentality and its importance in project settings. First, we identify the specificity of project governance and relate it to the definition and discussion of governmentality by Michel Foucault. Following this, the use of governmentality within projects through project culture is discussed. Subsequently, the use of governmentality outside projects through social media is discussed. Finally, the chapter concludes by highlighting new directions for research with governmentality as the focal point, discussing the types of research questions that a concern with projects and governmentality raises and how addressing these might further develop project management as a field of enquiry.","Governmentality; Project governance; Juukan Gorge; Project collaboration; Project culture; Social media","en","book chapter","Edward Elgar Publishing","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Integral Design & Management","","",""
"uuid:73bf927f-53c6-47dd-8d27-107df0aa5e78","http://resolver.tudelft.nl/uuid:73bf927f-53c6-47dd-8d27-107df0aa5e78","Project narratives: directions for research","Sergeeva, Natalya (University College London (UCL)); Ninan, Dr Johan (TU Delft Integral Design & Management)","Winch, Graham (editor); Brunet, Maude (editor); Cao, Dongping (editor)","2023","Projects are peopled at all levels, and people make sense of their lives via narrative thought as the temporal and dramatic dimension of human existence is emphasized in them. Narratives organize people’s lived experiences and create order out of random incidents and events. Such an order can help them understand the passage of events and even guide action. Narratives such as the need for the project, sustainability of the project, innovation in the project can be crafted and maintained in different ways. In this chapter we discuss how different organizational theories such as sensemaking, social identity theory, and organizational power can help understand the narrative practices in project settings. We review studies in project settings that apply narratives to shape the project vision, identity, and image to improve the project management practice.","Project Narratives; Vision, Identity; Image; Crafting narratives","en","book chapter","Edward Elgar Publishing","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Integral Design & Management","","",""
"uuid:990604d2-56ea-4215-9fd3-655282964f2c","http://resolver.tudelft.nl/uuid:990604d2-56ea-4215-9fd3-655282964f2c","Kernel-based identification with frequency domain side-information","Khosravi, M. (TU Delft Team Tamas Keviczky); Smith, Roy S. (ETH Zürich)","","2023","This paper discusses the problem of system identification when frequency domain side-information is available. We mainly consider the case where the side-information is provided as the H∞-norm of the system being bounded by a given scalar. This framework allows considering different forms of frequency domain side-information, such as the dissipativity of the system. We propose a nonparametric identification approach for estimating the impulse response of the system under the given side-information. The estimation problem is formulated as a constrained optimization in a stable reproducing kernel Hilbert space, where suitable constraints are considered for incorporating the desired frequency domain features. The resulting optimization has an infinite-dimensional feasible set with an infinite number of constraints. We show that this problem is a well-defined convex program with a unique solution. We propose a heuristic that tightly approximates this unique solution. The proposed approach is equivalent to solving a finite-dimensional convex quadratically constrained quadratic program. The efficiency of the discussed method is verified by several numerical examples.","Frequency domain properties; Kernel-based methods; Optimization; Side-information; System identification","en","journal article","","","","","","","","","","","Team Tamas Keviczky","","",""
"uuid:ef9ec5cb-a68c-4ec0-a520-96662f42e43e","http://resolver.tudelft.nl/uuid:ef9ec5cb-a68c-4ec0-a520-96662f42e43e","Sediment Erosion Generated by a Coandă-Effect-Based Polymetallic-Nodule Collector","Alhaddad, S.M.S. (TU Delft Offshore and Dredging Engineering); Helmons, R.L.J. (TU Delft Offshore and Dredging Engineering; Norwegian University of Science and Technology (NTNU))","","2023","To date, hydraulic collection is the most widely considered technology in polymetallic-nodule mining, since there is no direct contact between hydraulic collectors and ocean floor. To construct a hydraulic collector that results in the least sediment disturbance, it is critical to develop an insightful understanding of the interaction between the collector and sediment bed. To this end, we conducted a set of small-scale experiments in which several operational conditions were tested, delivering the first quantitative data for sediment erosion resulting from a hydraulic collector driving over a sand bed. This paper presents and discusses the experimental results and observations. It is found that the collector’s forward velocity is inversely proportional to the bed-sediment erosion depth, since the bed is exposed to the flow for a longer time when the collector drives slower and vice versa. In contrast, an increased jet velocity leads to a larger erosion depth. Furthermore, when the collector underside is nearer to the sediment bed, a larger sediment layer is exposed to the water flow, resulting in a larger erosion depth. Finally, the experimental results show that collector water jets strike the sediment bed under an inclined angle, destabilizing the upper sediment layer and consequently dragging sediment particles along toward the collection duct and behind the collector head. This study improves the predictability of sediment erosion created by Coandă-effect-based collectors, which is a crucial asset to optimize the collector design and decrease the extent of the associated sediment plumes.","Sediment erosion; Deep sea mining; Polymetallic nodules; Hydraulic collector; Coandă effect; Jetting","en","journal article","","","","","","","","","","","Offshore and Dredging Engineering","","",""
"uuid:4fc3e67d-390c-4a0d-a011-edcaa0dbf2b5","http://resolver.tudelft.nl/uuid:4fc3e67d-390c-4a0d-a011-edcaa0dbf2b5","Qualitative Analysis of Online News Media Articles to Explore the Mobilization of Megaproject Narratives","Ninan, Dr Johan (TU Delft Integral Design & Management); Sergeeva, Natalya (University College London (UCL))","","2023","Megaprojects combine multiple external stakeholders, and a common narrative is essential to drive the project among often conflicting objectives. The research project considers the single in-depth qualitative case study of the High Speed Two (HS2) megaproject in the UK to explore how narratives are mobilized through narrative instruments and processes. Since the focus is on narratives, 113 online news articles on the megaproject are systematically studied. A qualitative analysis using open codes and axial codes is employed to understand the narrative instruments and processes. The “talk the walk” strategy to improve the rigor in qualitative research is described. The use of power quotes and proof quotes to reduce the word count while not compromising on the trustworthiness of qualitative research is explained. Finally, the case offers guidelines on the use of online naturalistic data such as digital news media data to explore project management practice in the 21st century.","","en","other","SAGE Publications","9781529629781","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-30","","","Integral Design & Management","","",""
"uuid:3086e299-a751-4b10-9483-ff3f6fccd823","http://resolver.tudelft.nl/uuid:3086e299-a751-4b10-9483-ff3f6fccd823","Using Innovation Stories From Digital Platforms to Explore What Motivates Innovation","Ninan, Dr Johan (TU Delft Integral Design & Management); Sergeeva, Natalya (University College London (UCL))","","2023","The objective of this research study was to investigate the role of narratives in shaping multiple innovations in the UK construction industry. A total of 133 innovation stories published in a year are compiled from a digital portal Infrastructure Industry Innovation Partnership (i3P). The stories are qualitatively analyzed using open coding, axial coding, and constant comparisons to understand their motivations. The resulting framework explains how narrative shapes innovation across health, safety, sustainability, and productivity. The use of online research methods has increased in the modern era with the internet becoming more interactive and ubiquitous. However, there is still limited knowledge on how to handle these large amounts of digital data. In this case study, we provide some practical steps to improve data collection, analysis and presentation of online data. All innovations for a year were collected to get a representative sample without excluding any to reduce the researcher bias of exclusion. During presentation of findings, steps were undertaken to guard the privacy of respondents.","construction industry; industry; innovation; organizations; project management","en","other","SAGE Publications","9781529629774","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-30","","","Integral Design & Management","","",""
"uuid:a68507af-4881-4529-85b4-92e3760cb087","http://resolver.tudelft.nl/uuid:a68507af-4881-4529-85b4-92e3760cb087","Correction to: Are green buildings more liveable than conventional buildings? An examination from the perspective of occupants (Journal of Housing and the Built Environment, (2022), 10.1007/s10901-022-09983-9)","Xu, Y. (TU Delft Technology, Policy and Management; School of Public Administration; Hunan University); Luo, D. (TU Delft Management in the Built Environment; TU Delft Housing Systems; Hunan University); Qian, QK (TU Delft Management in the Built Environment; TU Delft Design & Construction Management); Chan, Edwin H.W. (Hunan University; The Hong Kong Polytechnic University)","","2023","In the original publication of the article, the first affiliation “School of Public Administration, Hunan University, Changsha, China” was incorrectly repeated as fourth affiliation. The fourth affiliation should be “Building and Real Estate Dept. Hong Kong Polytechnic University, Hong Kong SAR”. The original article has been corrected.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-31","Technology, Policy and Management","Management in the Built Environment","Housing Systems","","",""
"uuid:0a41b907-9477-4cf3-aa1e-2ac979547522","http://resolver.tudelft.nl/uuid:0a41b907-9477-4cf3-aa1e-2ac979547522","The moral source of collective irrationality during COVID-19 vaccination campaigns","Voinea, Cristina (University of Bucharest); Marin, L. (TU Delft Ethics & Philosophy of Technology); Vica, Constantin (University of Bucharest)","","2023","Many hypotheses have been advanced to explain the collective irrationality of COVID-19 vaccine hesitancy, such as partisanship and ideology, exposure to misinformation and conspiracy theories or the effectiveness of public messaging. This paper presents a complementary explanation to epistemic accounts of collective irrationality, focusing on the moral reasons underlying people’s decisions regarding vaccination. We argue that the moralization of COVID-19 risk mitigation measures contributed to the polarization of groups along moral values, which ultimately led to the emergence of collective irrational behaviors. Collective irrationality arises from groups explicitly or implicitly endorsing values that ultimately harm both themselves and those around. The role of social media platforms in amplifying this polarization and contributing to the emergence of collective irrationality is also examined. Finally, potential strategies for addressing the moral sources of collective irrationality are discussed.","Collective irrationality; moral reasons; covid-19; vaccine hesitancy; Social media","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-28","","","Ethics & Philosophy of Technology","","",""
"uuid:ebb69ad0-a938-45df-bd60-ea9cb35f2f05","http://resolver.tudelft.nl/uuid:ebb69ad0-a938-45df-bd60-ea9cb35f2f05","Mesh-Tension Driven Expression-Based Wrinkles for Synthetic Faces","Raman, C.A. (TU Delft Pattern Recognition and Bioinformatics); Hewitt, Charlie (Microsoft); Wood, Erroll (Microsoft); Baltrusaitis, Tadas (Microsoft)","O’Conner, Lisa (editor)","2023","Recent advances in synthesizing realistic faces have shown that synthetic training data can replace real data for various face-related computer vision tasks. A question arises: how important is realism? Is the pursuit of photorealism excessive? In this work, we show otherwise. We boost the realism of our synthetic faces by introducing dynamic skin wrinkles in response to facial expressions, and observe significant performance improvements in downstream computer vision tasks. Previous approaches for producing such wrinkles either required prohibitive artist effort to scale across identities and expressions, or were not capable of reconstructing high-frequency skin details with sufficient fidelity. Our key contribution is an approach that produces realistic wrinkles across a large and diverse population of digital humans. Concretely, we formalize the concept of mesh-tension and use it to aggregate possible wrinkles from high-quality expression scans into albedo and displacement texture maps. At synthesis, we use these maps to produce wrinkles even for expressions not represented in the source scans. Additionally, to provide a more nuanced indicator of model performance under deformations resulting from com-pressed expressions, we introduce the 300W-winks evaluation subset and the Pexels dataset of closed eyes and winks.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-06","","","Pattern Recognition and Bioinformatics","","",""
"uuid:c20961ee-e424-4ae0-a079-243f34960195","http://resolver.tudelft.nl/uuid:c20961ee-e424-4ae0-a079-243f34960195","Reimagining Infrastructure Megaproject Delivery: An Australia—New Zealand Perspective","Ninan, Dr Johan (TU Delft Integral Design & Management); Clegg, Stewart (University of Sydney); Burdon, Steve (University of Technology Sydney); Clay, John (University of Technology Sydney)","","2023","Infrastructure megaprojects are increasing in size and number worldwide. Widespread shortcomings such as cost overruns, delays, litigious threats, and community opposition are now so pervasive there is a clear mandate to rethink the way we plan, deliver, and operate our infrastructure. In this context, we situate this research to understand how megaprojects can be set up for success. Data were collected from responses to a questionnaire survey, interviews, and case studies. The questionnaire was fielded by multiple agencies involved in infrastructure projects, such as engineers, construction organizations, and government delivery agencies. For qualitative insights, we conducted 30 interviews with participants from these agencies and studied cases that successfully implemented the themes identified. The results highlight the three themes with the highest impact in delivering projects successfully: (1) Improved integrated planning, business cases, and front-end engineering design; (2) efficient use of contracts; and (3) strengthening government and political engagement. This research contrasts the literature on megaproject success with data collected from questionnaires, case studies, and interviews. Collecting solutions that have solved issues effectively is an innovative aspect of our methodology, with these findings holding considerable value for ecosystem practitioners. Thus, we contribute by highlighting eight cost-effective, relevant, and efficient ways for reimagining infrastructure megaproject delivery.","infrastructure; megaprojects; Australia-New Zealand; project success","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:0189fb9c-94c7-41d7-be46-c31cca4fc669","http://resolver.tudelft.nl/uuid:0189fb9c-94c7-41d7-be46-c31cca4fc669","BIVEC 2021 Special Issue Editorial Note","van Wee, G.P. (TU Delft Transport and Logistics)","","2023","This Special Issue has its basis in the BIVEC-GIBET Transport Research Days 2021. The three papers reflect the broad scope of the BIVEC-GIBET, including several disciplines, all transport modes, and many research methods. What the research of BIVEC-GIBET has in common, is a focus on societally and policy relevant research.","","en","contribution to periodical","","","","","","","","","","","Transport and Logistics","","",""
"uuid:e39f1b37-84e1-41a2-a650-f0615949c54b","http://resolver.tudelft.nl/uuid:e39f1b37-84e1-41a2-a650-f0615949c54b","Bouwen aan synergetische samenwerkingen: Een onderzoek naar werken over grenzen in complexe veiligheidsvraagstukken","van Arkel, T. (TU Delft Design Aesthetics); Tromp, N. (TU Delft Design Aesthetics)","","2023","In de Nederlandse veiligheidssector spelen grote, complexe vraagstukken zoals ondermijning, georganiseerde criminaliteit, cybercriminaliteit en toenemende (online) radicalisering en polarisatie. Dit type vraagstukken vereisen nieuwe manieren
van kijken, denken en handelen om deze aan te pakken. Voor het brengen van nieuwe handelingsperspectieven op complexe maatschappelijke vraagstukken wordt steeds vaker samengewerkt met de ontwerpende disciplines. Deze samenwerking tussen publieke veiligheidsorganisaties en creatieve professionals is beloftevol, maar ook lastig en kwetsbaar. Want ondanks groot enthousiasme verloopt de samenwerking niet altijd even probleemloos. Allereerst zijn er botsingen in taal, cultuur en werkwijze. Waar de een werkt met heldere kaders, richtlijnen en protocollen, werkt de ander vanuit serendipiteit, associatie en ambiguïteit. Maar ook op een praktischer niveau valt een partnerschap lastig in te bouwen in de huidige systeemcontext. Wat koop je in als de uitkomsten van een proces nog onzeker zijn? En hoe past een verbredend en experimenterend proces in een organisatie gericht op efficiëntie en resultaat?
In dit onderzoek verkennen we de vraag wat er nodig is om tot meer synergetische samenwerking tussen creatieve professionals en publieke veiligheidsorganisaties te komen bij het werken in partnerschap. Hierbij zien we synergetische samenwerking als de ontwikkeling van uitkomsten die zonder samenwerken niet tot stand waren gekomen. We richten ons op de (infrastructurele) randvoorwaarden waaronder synergetische samenwerking
kan ontstaan bij het complexe ontwerpvraagstukken, en de mogelijkheden voor procesondersteuning die de kans op synergetische samenwerking vergroot.
De onderzoeksmethode bestond uit twee delen: een meervoudige casestudie en een ontwerpend onderzoek. In de meervoudige casestudie onderzochten we vier exemplarische samenwerkingen tussen creatieve professionals en publieke veiligheidsorganisaties. Hierbij zagen we een aantal factoren die gezorgd hebben voor meer of juist minder synergetische samenwerking. In synergetische samenwerkingen zien we dat er structureel meer aandacht wordt besteed aan werken over grenzen: disciplinaire grenzen binnen het team, grenzen met het vraagstuk, grenzen met de organisatie en grenzen met de buitenwereld.Als uitkomst presenteren we aan de hand van vier grenzen waarover heen gewerkt moet worden 16 bouwstenen voor synergetische samenwerking, een eerste aanzet voor aspecten waar in de samenwerking aandacht aan besteed moet worden.
Hoe die bouwstenen zich manifesteren in een samenwerking in de praktijk, en wat de ondersteuningsbehoefte van creatieve professionals en randvoorwaarden voor de verdere ontwikkeling van procesondersteuning zijn verkenden we in het ontwerpend onderzoek. Hiervoor hebben we een samenwerkingstraject gevolgd en ondersteund. We zien daarbij mogelijkheden voor de ontwikkeling van een portfolio van instrumenten of infrastructuur specifiek gericht op het ondersteunen van samenwerkingen. Hiervoor formuleerden we, naast de toegepaste procesinterventies, een eerste set van ontwerpprincipes die zich richten op het versterken van professionals in het continu werken over grenzen.
We stellen zeer zeker niet dat iedere samenwerking tot een synergetisch succes kan leiden. Maar we hopen wel met dit onderzoek eerste handvatten te geven hoe samenwerken met creatieve professionals vaker tot synergie tussen partners kan leiden, en daarmee de impact op de grote maatschappelijke opgaven van deze tijd kan vergroten. Als afsluiting van het onderzoek geven we enkele richtingen voor vervolgstappen in (ontwerpend) onderzoek, en geven we praktische handvatten door middel van een procesontwerp voor elk van de vier grenzen gericht op professionals om in de praktijk mee aan de slag te gaan voor het inrichten van samenwerkingen.","","nl","report","Delft University of Technology","","","","","","","","","","Design Aesthetics","","",""
"uuid:2c99d723-5903-4f39-b2bf-feb40daf3ec7","http://resolver.tudelft.nl/uuid:2c99d723-5903-4f39-b2bf-feb40daf3ec7","Improving mathematics assessment readability: Do large language models help?","Patel, Nirmal (Playpower Labs Gujarat); Nagpal, Pooja (Central Square Foundation); Shah, Tirth (Playpower Labs Gujarat); Sharma, Aditya (Playpower Labs Gujarat); Malvi, Shrey (Playpower Labs Gujarat); Lomas, J.D. (TU Delft Design Aesthetics)","","2023","Background: Readability metrics provide us with an objective and efficient way to assess the quality of educational texts. We can use the readability measures for finding assessment items that are difficult to read for a given grade level. Hard-to-read math word problems can put some students at a disadvantage if they are behind in their literacy learning. Despite their math abilities, these students can perform poorly on difficult-to-read word problems because of their poor reading skills. Less readable math tests can create equity issues for students who are relatively new to the language of assessment. Less readable test items can also affect the assessment's construct validity by partially measuring reading comprehension. Objectives: This study shows how large language models help us improve the readability of math assessment items. Methods: We analysed 250 test items from grades 3 to 5 of EngageNY, an open-source curriculum. We used the GPT-3 AI system to simplify the text of these math word problems. We used text prompts and the few-shot learning method for the simplification task. Results and Conclusions: On average, GPT-3 AI produced output passages that showed improvements in readability metrics, but the outputs had a large amount of noise and were often unrelated to the input. We used thresholds over text similarity metrics and changes in readability measures to filter out the noise. We found meaningful simplifications that can be given to item authors as suggestions for improvement. Takeaways: GPT-3 AI is capable of simplifying hard-to-read math word problems. The model generates noisy simplifications using text prompts or few-shot learning methods. The noise can be filtered using text similarity and readability measures. The meaningful simplifications AI produces are sound but not ready to be used as a direct replacement for the original items. To improve test quality, simplifications can be suggested to item authors at the time of digital question authoring.","GPT-3; mathematics assessment; readability; text simplification","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Design Aesthetics","","",""
"uuid:cdbe0853-bd8c-49f0-9966-c0fd79a7b4cc","http://resolver.tudelft.nl/uuid:cdbe0853-bd8c-49f0-9966-c0fd79a7b4cc","Review of Tyson E. Lewis and Peter B. Hyland (2022). Studious Drift: Movements and Protocols for a Postdigital Education","Marin, L. (TU Delft Ethics & Philosophy of Technology)","","2023","","Studying; Educational protocols; Studio; Learning; online education","en","review","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:8b46e520-ad50-4c26-b3fb-7b8190ac4812","http://resolver.tudelft.nl/uuid:8b46e520-ad50-4c26-b3fb-7b8190ac4812","Missions as boundary objects for transformative change: Understanding coordination across policy, research, and stakeholder communities","Janssen, Matthijs J. (Universiteit Utrecht; Dialogic); Wesseling, Joeri (Universiteit Utrecht); Torrens, Jonas (Eindhoven University of Technology); Weber, K. Matthias (AIT Austrian Institute of Technology; Gustave Eiffel University); Penna, C.C.R. (TU Delft Economics of Technology and Innovation); Klerkx, Laurens (Wageningen University & Research; Universiteit Utrecht; University of Talca)","","2023","Recent times have seen the rediscovery and adaptation of mission-oriented innovation policies (MIPs) for driving transformative change. While such policies seek to mobilise and align stakeholders, little is known about how missions feature in policy coordination processes. We argue that to facilitate the still troublesome operationalisation of MIPs, it is essential to understand missions as ‘boundary objects’ that have some shared meanings among the participants they convene, yet are open enough to be interpreted differently by distinct actors gathering in four interconnected policy arenas—i.e. a strategic, programmatic, implementation, and performance arena. By studying the European Commission’s Horizon Europe missions, we unravel how missions as boundary objects enable and disable the coordination of heterogeneous communities. The resulting analytical perspective highlights three key mechanisms for coordinating mission meanings across communities and arenas: convergence–divergence, passage, and reflexive learning. We conclude with research avenues for studying missions as boundary objects for facilitating concerted action.","","en","journal article","","","","","","","","","","","Economics of Technology and Innovation","","",""
"uuid:a0e1a879-2ea9-44e5-ba01-daff02dc5189","http://resolver.tudelft.nl/uuid:a0e1a879-2ea9-44e5-ba01-daff02dc5189","Runtime Verification for Programmable Switches","Shukla, Apoorv (Huawei Technologies Deutschland GmbH); Hudemann, Kevin (SAP AG); Vagi, Zsolt (Swisscom AG); Hugerich, Lily (Technical University of Berlin); Smaragdakis, G. (TU Delft Cyber Security); Hecker, Artur (Huawei Technologies Deutschland GmbH); Schmid, Stefan (Technical University of Berlin); Feldmann, Anja (Max Planck Institut für Informatik)","","2023","We introduce a runtime verification framework for programmable switches that complements static analysis. To evaluate our approach, we design and develop P6, a runtime verification system that automatically detects, localizes, and patches software bugs in P4 programs. Bugs are reported via a violation of pre-specified expected behavior that is captured by P6. P6 is based on machine learning-guided fuzzing that tests P4 switch non-intrusively, i.e., without modifying the P4 program for detecting runtime bugs. This enables an automated and real-time localization and patching of bugs. We used a P6 prototype to detect and patch existing bugs in various publicly available P4 application programs deployed on two different switch platforms, namely, behavioral model (bmv2) and Tofino. Our evaluation shows that P6 significantly outperforms bug detection baselines while generating fewer packets and patches bugs in large P4 programs, e.g., switch.p4 without triggering any regressions.","P4; Programmable networks; verification","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-11","","","Cyber Security","","",""
"uuid:368629d3-34bb-4fcb-abdb-7abf4b3ec002","http://resolver.tudelft.nl/uuid:368629d3-34bb-4fcb-abdb-7abf4b3ec002","Modelling the legal spaces of 3D underground objects in 3D land administration systems","Ramlakhan, Rohit; Kalogianni, E. (TU Delft Digital Technologies); van Oosterom, P.J.M. (TU Delft Digital Technologies); Atazadeh, Behnam (University of Melbourne)","","2023","One of the significant challenges in current 2D Land Administration Systems (LAS) is defining and registering the Rights, Restrictions and Responsibilities (RRRs) attached to the underground objects. A 3D LAS can facilitate a better understanding, as well as a more efficient registration and clear visualisation of the RRRs than a 2D LAS, through 3D digital modelling of the legal ownership of underground objects below the surface. To register the objects below the surface in a 3D LAS, 3D physical data as well as 3D legal data shall either be registered and integrated into one model, or the physical and legal models shall be linked effectively. In the context of this paper, the IFC (ISO 16739:2018) is used to register the 3D physical data, while the Land Administration Domain Model (LADM, ISO 19152:2012) is used to structure the legal data. To achieve the link of the respective legal and physical data, the classes of the LADM are mapped to the elements of the IFC. A standardised workflow is presented in this paper where the inclusion of the legal, organisational and technical aspects of modelling the legal ownership results in a comprehensive approach to solve the challenges that currently prevent the registration of the RRRs of 3D objects below the surface in LASs. This paper also provides a general method for mapping the LADM classes to the IFC entities. Two case studies were conducted to assess the technical aspect of the workflow, where the RRRs of objects below the surface and the parcels above the surface were registered and visualised on the 3D geospatial visualisation platform CesiumJS.","3D land administration systems; IFC; LADM; legal spaces; RRRs; underground objects","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-02","","","Digital Technologies","","",""
"uuid:1273b225-81c7-41d7-8a99-4c106a76dcf0","http://resolver.tudelft.nl/uuid:1273b225-81c7-41d7-8a99-4c106a76dcf0","Keeping the focus on sustainability: a challenge for governance: Liber Amicorum presented to Prof.dr. Harry Geerlings","","Vroomans, Jos (editor); Kuipers, Bart (editor); van Duin, Ron (editor)","2023","","port governance; Sustainability; Port of Rotterdam","en","report","Erasmus Universiteit","978-90-75289-71-9","","","","","","","","","Transport and Logistics","","",""
"uuid:023f770e-5e15-4e86-90fd-32720849c974","http://resolver.tudelft.nl/uuid:023f770e-5e15-4e86-90fd-32720849c974","Driver Profile and Driving Pattern Recognition for Road Safety Assessment: Main Challenges and Future Directions","Tselentis, D. (TU Delft Safety and Security Science); Papadimitriou, E. (TU Delft Safety and Security Science)","","2023","This study reviews the Artificial Intelligence and Machine Learning approaches developed thus far for driver profile and driving pattern recognition, representing a set of macroscopic and microscopic behaviors respectively, to enhance the understanding of human factors in road safety, and therefore reduce the number of crashes. It provides a definition of the two scientific fields in terms of safety, and identifies the most efficient approaches used regarding methodology, data collection and driving metrics. Results show that K-means and Neural Networks are the most commonly used methodologies for driver profile identification, and Dynamic Time Warping for driving pattern detection. Most studies discovered driver profiles related to aggressiveness, considering mainly speed and acceleration as driving metrics. Based on the gaps and challenges identified, this paper provides a new framework for combining microscopic and macroscopic driving behavior analysis, instead of examining them separately as is the state-of-theart. Such combined results can potentially improve the development of traffic risk models, which could be exploited in applications that monitor drivers in real-time and provide feedback. These models will represent human behavior more accurately, which can eventually lead to the recognition of 'optimal' human driving patterns that Automated Vehicles (AV) could 'mimic' to become safer.","Artificial Intelligence; Behavioral sciences; Driver Profiles; Driving Behavior; Driving Patterns; Machine Learning; Measurement; Microscopy; Naturalistic Driving Data; Pattern recognition; Road safety; Safety; Vehicles","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:9eb4eae9-717a-4494-a58d-24377f89f22a","http://resolver.tudelft.nl/uuid:9eb4eae9-717a-4494-a58d-24377f89f22a","Coronavirus Disease 2019 and Airborne Transmission: Science Rejected, Lives Lost. Can Society Do Better?","Morawska, Lidia (Queensland University of Technology); Bahnfleth, William (The Pennsylvania State University); Bluyssen, P.M. (TU Delft Environmental & Climate Design); Boerstra, Atze (REHVA); Buonanno, Giorgio (University of Cassino and Southern Lazio); Dancer, Stephanie J. (Edinburgh Napier University); Floto, Andres (University of Cambridge); Franchimon, Francesco (Franchimon ICM); Hogeling, Jaap (ISSO)","","2023","This is an account that should be heard of an important struggle: the struggle of a large group of experts who came together at the beginning of the COVID-19 pandemic to warn the world about the risk of airborne transmission and the consequences of ignoring it. We alerted the World Health Organization about the potential significance of the airborne transmission of SARS-CoV-2 and the urgent need to control it, but our concerns were dismissed. Here we describe how this happened and the consequences. We hope that by reporting this story we can raise awareness of the importance of interdisciplinary collaboration and the need to be open to new evidence, and to prevent it from happening again. Acknowledgement of an issue, and the emergence of new evidence related to it, is the first necessary step towards finding effective mitigation solutions.","airborne transmission; airborne infection spread; coronavirus; COVID-19; SARS-CoV-2 virus","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:449a893c-e03d-43c9-a594-1e34e808bbec","http://resolver.tudelft.nl/uuid:449a893c-e03d-43c9-a594-1e34e808bbec","Empirical fragility and ROC curves for masonry buildings subjected to settlements","Prosperi, A. (TU Delft Applied Mechanics); Korswagen, Paul A. (TU Delft Applied Mechanics); Korff, M. (TU Delft Geo-engineering); Schipper, H.R. (TU Delft Applied Mechanics); Rots, J.G. (TU Delft Applied Mechanics)","","2023","In the Netherlands, the potential damage to the building stock due to subsidence phenomena has recently received increased awareness. However, evaluating and predicting damage to buildings in subsiding areas is a complex task that requires associating the vulnerability of exposed structures with the intensity of the subsidence hazard. Considering the widespread presence of subsidence-related damage to the built heritage, the focus of this study is to provide empirical-based insights to assess and forecast subsidence damage to masonry buildings. A rich dataset with manual levelling measurements was collected comprising 386 surveyed masonry buildings, mainly low-rise (terraced) houses built before 1950. Of the total set of buildings, 122 cases rest on shallow foundations and 264 on piled foundations. For each building, the recorded damage is related to the settlement, calculated from the bed-joint levelling measurements, using four different intensity parameters, namely differential settlement, rotation, relative rotation and deflection ratio. These four parameters are appraised in their capacity to effectively predict the intensity of the damage. The Receiver Operating Characteristic (ROC) method is used to evaluate the relative efficacy of the selected hazard parameters. The rotation, the relative rotation (angular distortion) and the deflection ratio are observed as the most accurate when predicting the intensity of damage, while the differential settlement appears less accurate. Additionally, the dataset was used to generate empirical fragility curves where the probability of damage is described as a function of the aforementioned parameters. Thresholds were set to distinguish between the light damage and the functional and structural damage state. At a relative rotation of 1/500 masonry buildings on shallow foundations were observed to reach or exceed light damage with a probability of 13%, and functional and structural damage with 5%. The availability of the bed joint levelling measurements made it possible to classify eight recurrent settlement profiles, including both symmetric and asymmetric profiles, associated with both the overall deformation and the rigid rotations of the surveyed buildings.","Masonry buildings; Damage assessment; Fragility curves; ROC curve; Settlement trough","en","journal article","","","","","","","","","","","Applied Mechanics","","",""
"uuid:2b59e111-8f2c-4d3f-9f1e-86ee8f09cb7c","http://resolver.tudelft.nl/uuid:2b59e111-8f2c-4d3f-9f1e-86ee8f09cb7c","Participation as a Tool for the Sustainable Redesign of Vacant Heritage: The Case of Politiebureau Groningen Centrum","Liu, Chi (Student TU Delft); Gonçalves, Joana (TU Delft Heritage & Architecture); Quist, W.J. (TU Delft Heritage & Architecture)","","2023","This paper explores the opportunities for locals’ participation as a tool for the sustainable redevelopment of vacant heritage. It focuses on the Politiebureau Groningen Centrum (The Netherlands) as a case study to apply a novel approach to engage community participation in architectural redesign. It fills the academic gap on participation in heritage building redesign lacking diversity in stakeholder perspectives and overcomes some of the current participatory design tools’ downsides, identified in the previous literature: lack of transparent communication and high requirement for participants. This research employs a combination of methods structured by sets of divergent and convergent phases. Cognitive mapping, semi-structured interviewing, and a 2,5D model game were tested in the research for inquiry and redesign testing, the two key participatory stages. The research outcomes include participants’ perceptions and remembrance of the site for generating redesign scenarios, the common ground in their scenario preferences, and their contrasting attitudes toward the overall material and the specific elements. The 2,5D model game tool turns out effective in transparently delivering the redesign possibilities to participants and lowering the requirements of time, language skill, and learning capacity, thus being easily repeatable for other sites and participants to boost social and community values. Future research recommendations are given on applying the approach to larger samples covering all the minorities to get in-depth knowledge on the community’s collective perspectives in relation to their sociodemographic characteristics and validate the hypothesis on their preferences toward materials and elements.","sustainability; heritage redevelopment; participatory design; public engagement; community value; social value; Faro Convention; HUL; heritage; cognitive mapping","en","journal article","","","","","","","","","","","Heritage & Architecture","","",""
"uuid:2a922c63-48af-44b9-b49f-4f816bc0b950","http://resolver.tudelft.nl/uuid:2a922c63-48af-44b9-b49f-4f816bc0b950","Role of Grain Size and Recrystallization Texture in the Corrosion Behavior of Pure Iron in Acidic Medium","Kar, S. (Student TU Delft); Yilmaz, A. (TU Delft Team Yaiza Gonzalez Garcia); Traka, K. (TU Delft Team Maria Santofimia Navarro); Sietsma, J. (TU Delft Team Kevin Rossi); Gonzalez Garcia, Y. (TU Delft Team Yaiza Gonzalez Garcia)","","2023","This work investigates the role of grain size and recrystallization texture in the corrosion behavior of pure iron in 0.1 M sulfuric acid solution. Annealing heat treatment was applied to obtain samples with different average grain sizes (26, 53 and 87 µm). Optical microscopy, X-ray diffraction and electron backscatter diffraction techniques were used to characterize the microstructure. The EBSD data analysis showed ferrite phase with no inclusions and very low geometrically necessary dislocation density, indicating strain-free grains constituting all samples. The crystallographic texture analysis of the samples revealed that the 26 µm grain size sample had a high volume fraction of {111} oriented grains parallel to the sample surface, while other samples exhibited nearly random crystallographic texture. The electrochemical results from potentiodynamic polarization and electrochemical impedance spectroscopy showed a decrease in corrosion resistance from 87 µm to 53 µm grain size sample and then an increase for the 26 µm grain size sample. This increase was attributed to the dominant effect of recrystallization texture on the corrosion behavior of the sample. The cathodic hydrogen evolution reaction kinetics was found to play a decisive role in the corrosion behavior of iron.","grain size; crystallographic texture; iron; corrosion; hydrogen evolution reaction; EIS; EBSD","en","journal article","","","","","","","","","","","Team Yaiza Gonzalez Garcia","","",""
"uuid:64b5ceaf-cd4b-4f78-95a3-63d119d4c490","http://resolver.tudelft.nl/uuid:64b5ceaf-cd4b-4f78-95a3-63d119d4c490","A Methodology to Develop Agent-Based Models for Policy Support Via Qualitative Inquiry","Nespeca, V. (TU Delft System Engineering); Comes, M. (TU Delft Transport and Logistics); Brazier, F.M. (TU Delft System Engineering)","","2023","Qualitative research is a powerful means to capture human interactions and behavior. Although there are different methodologies to develop models based on qualitative research, a methodology is missing that enables to strike a balance between the comparability across cases provided by methodologies that rely on a common and context-independent framework and the flexibility to study any policy problem provided by methodologies that focus on capturing a case study without relying on a common framework. Additionally, a rigorous methodology is missing that enables the development of both theoretical and empirical models for supporting policy formulation and evaluation with respect to a specific policy problem. In this article, the authors propose a methodology targeting these gaps for ABMs in two stages. First, a novel conceptual framework centered on a particular policy problem is developed based on existing theories and qualitative insights from one or more case studies. Second, empirical or theoretical ABMs are developed based on the conceptual framework and generic models. This methodology is illustrated by an example application for disaster information management in Jakarta, resulting in an empirical descriptive agent-based model.","Research Design; Simulation Methodology; Empirical Agent-Based Models; Information Diffusion; Information Management; Crisis Management; OA-Fund TU Delft","en","journal article","","","","","","","","","","","System Engineering","","",""
"uuid:0fd8c9e5-43df-4535-a10b-19c490f53919","http://resolver.tudelft.nl/uuid:0fd8c9e5-43df-4535-a10b-19c490f53919","An Advanced Data Processing Algorithm for Extraction of Polarimetric Radar Signatures of Moving Automotive Vehicles Using the H/A/α Decomposition Technique","Bosma, Detmer (TU Delft Microwave Sensing, Signals & Systems); Krasnov, O.A. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2023","A dedicated signal and data processing chain is proposed for a fully polarimetric Doppler surveillance S-band radar to extract the polarimetric signatures of moving targets. To extract the target’s polarimetric features, detection, clustering, and tracking steps are realized for a multi-target environment in the range-Doppler domain. A dedicated data fusion method for all four polarimetric radar channel signals is implemented to take full advantage of the additional polarimetric information and improve the detection performance. While tracking each particular target, polarization information is collected and used to describe their polarization scattering characteristics. Using the polarimetric H/A/α decomposition technique, the polarimetric features of moving automotive targets are extracted and investigated. The developed processing chain has been applied to the signals scattered from vehicles moving in a highway. By employing both time averaging and spatial averaging of the statistical coherency matrix, the polarimetric signatures of both moving vehicles and static clutter have been presented in the two-dimensional H/α plane. It has been found that the spatial averaging approach results in polarimetric signatures of moving vehicles that give the opportunity to directly and without consideration of the motion of the targets compare the polarization features of moving targets and static clutter. Therefore, this method can be used to improve the performance of target detection or target classification.","polarimetric radar; polarimetric signature; H/A/α decomposition; polarimetric fusion; target detection; multi-target tracking","en","journal article","","","","","","","","","","","Microwave Sensing, Signals & Systems","","",""
"uuid:c09ad209-9363-4ffc-bc1b-6daf47511fb0","http://resolver.tudelft.nl/uuid:c09ad209-9363-4ffc-bc1b-6daf47511fb0","Assessment of two quasi-static approaches to mimic repeated impact response and damage behaviour of CFRP laminates","Huo, L. (TU Delft Structural Integrity & Composites); Verstraeten, A.J.M. (Student TU Delft); Alderliesten, R.C. (TU Delft Structural Integrity & Composites)","","2023","Full impact damage tolerance assessment requires the ability to properly mimic the repeated impact response and damage behaviour of composite materials using quasi-static approximations. To this aim, this paper reports an experimental investigation evaluating two quasi-static methods for mimicking repeated impact response and damage behaviour of Carbon Fibre Reinforced Polymer (CFRP) composite laminates. In this study, an 8.45-J single impact was repeated 225 times and mimicked with 225 times 6.51-J quasi-static (energy equivalent) indentations and with 225 quasi-static (force equivalent) indentations following the recorded impact peak force variation. Results show that the loading rate and the inertial effect are the two major factors affecting the responses of the composite laminates under out-of-plane concentrated loading. Both the energy- and force-equivalent quasi-static indentations failed to reproduce the impact responses greatly associated with high loading rate and inertial effect. The force-equivalent quasi-static indentations were performed in a semi-automatic way and induced damage states more similar to those of the repeated impacts than those of the energy-equivalent quasi-static indentations, whereas the latter can be better automated and has better reproducibility compared to that of the repeated impact responses, as it is less dependent on high loading rate and inertial effect.","","en","journal article","","","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:818fad4c-08ca-4ffa-bb12-ecf0201fffc0","http://resolver.tudelft.nl/uuid:818fad4c-08ca-4ffa-bb12-ecf0201fffc0","Disease and design in twentieth-century South Africa: exploring the consequences of the 1918–19 Spanish Flu pandemic through contributions of émigré Dutch architects","Clarke, Nicholas (TU Delft Heritage & Design)","","2023","During the most of the twentieth century, design and construction (architecture) in South Africa closely followed western trends. A recent publication Common Ground: Dutch-South African Architectural Exchanges 1902-1961 highlights conclusions on research into the legacy of émigré Dutch built environment professional in the built environment of South Africa today. A surprising discovery was the critical role Dutch educated architects played in the design of health care facilities. This further essay explores the historically novel implementation of new insights in healthcare design in South Africa during the early twentieth century in chronological order, highlighting their origins and specially focusing on the contribution of Dutch educated architects. This built healthcare legacy has proven to be especially resilient to change: the hospitals, clinics and child care facilities and institutions often still serve the communities they were designed for. At the time of writing, the COVID-19 pandemic gives cause to present the origins of these significant historic innovations in the face of healthcare challenges and reflect on their perseverance through time.","Spanish Flu; South Africa; healthcare design; Dutch émigré architects; segregation","en","contribution to periodical","","","","","","","","","","","Heritage & Design","","",""
"uuid:0808b09f-a602-4123-8110-847a2771942a","http://resolver.tudelft.nl/uuid:0808b09f-a602-4123-8110-847a2771942a","Editorial - Incoming EiC","Llombart, Nuria (TU Delft Tera-Hertz Sensing)","","2023","","","en","contribution to periodical","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-18","","","Tera-Hertz Sensing","","",""
"uuid:815cd0de-0e9a-4e80-a05a-c0bdc5809531","http://resolver.tudelft.nl/uuid:815cd0de-0e9a-4e80-a05a-c0bdc5809531","Deep learning for detecting macroplastic litter in water bodies: A review","Jia, T. (TU Delft Sanitary Engineering); Kapelan, Z. (TU Delft Sanitary Engineering); de Vries, Rinze (Noria Sustainable Innovators); Vriend, Paul (Rijkswaterstaat); Peereboom, Eric Copius (Rijkswaterstaat); Okkerman, Imke (Rijkswaterstaat); Taormina, R. (TU Delft Sanitary Engineering)","","2023","Plastic pollution in water bodies is an unresolved environmental issue that damages all aquatic environments, and causes economic and health problems. Accurate detection of macroplastic litter (plastic items >5 mm) in water is essential to estimate the quantities, compositions and sources, identify emerging trends, and design preventive measures or mitigation strategies. In recent years, researchers have demonstrated the potential of computer vision (CV) techniques based on deep learning (DL) for automated detection of macroplastic litter in water bodies. However, a systematic review to describe the state-of-the-art of the field is lacking. Here we provide such a review, and we highlight current knowledge gaps and suggest promising future research directions. The review compares 34 papers with respect to their application and modeling related criteria. The results show that the researchers have employed a variety of DL architectures implementing different CV techniques to detect macroplastic litter in various aquatic environments. However, key knowledge gaps must be addressed to overcome the lack of: (i) DL-based macroplastic litter detection models with sufficient generalization capability, (ii) DL-based quantification of macroplastic (mass) fluxes and hotspots and (iii) scalable macroplastic litter monitoring strategies based on robust DL-based quantification. We advocate for the exploration of data-centric artificial intelligence approaches and semi-supervised learning to develop models with improved generalization capabilities. These models can boost the development of new methods for the quantification of macroplastic (mass) fluxes and hotspots, and allow for structural monitoring strategies that leverage robust DL-based quantification. While the identified gaps concern all bodies of water, we recommend increased efforts with respect to riverine ecosystems, considering their major role in transport and storage of litter.","Artificial intelligence; Computer vision; Environmental monitoring; Macroplastics; Neural networks; Pollution","en","review","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:a312afd9-dd0e-473b-b626-bdcc210c84d6","http://resolver.tudelft.nl/uuid:a312afd9-dd0e-473b-b626-bdcc210c84d6","lidarwind: A Python package for retrieving wind profiles from Doppler lidar observations","Dias Neto, J. (TU Delft Atmospheric Remote Sensing); Castelão, Guilherme (Scripps Institution of Oceanography)","","2023","lidarwind is an open-source Python project to retrieve wind speed and direction profiles from Doppler lidar observations from the WindCube-200s, and it was developed to be easy to use. It can retrieve wind profiles from the 6-beam and DBS scanning strategies and allow users to set the signal-to-noise ratio threshold to reduce the noise. It also calculates the Reynolds stress tensor matrix elements from the 6-beam observations. lidarwind is a result of an effort to create an environment where it would be flexible and easy to process the observations from the WindCube Doppler lidar.","","en","journal article","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:e7a06aba-6b82-4478-a9b4-311e589e818f","http://resolver.tudelft.nl/uuid:e7a06aba-6b82-4478-a9b4-311e589e818f","Intercontinental validation of a clinical prediction model for predicting 90-day and 2-year mortality in an Israeli cohort of 2033 patients with a femoral neck fracture aged 65 or above","Oosterhoff, J.H.F. (TU Delft Information and Communication Technology; Harvard Medical School; Amsterdam UMC); Karhade, Aditya V. (Harvard Medical School); Groot, Olivier Q. (Harvard Medical School); Schwab, Joseph H. (Harvard Medical School); Heng, Marilyn (University of Miami Miller School of Medicine); Klang, Eyal (Sheba Medical Center); Prat, Dan (Sheba Medical Center)","","2023","Purpose: Mortality prediction in elderly femoral neck fracture patients is valuable in treatment decision-making. A previously developed and internally validated clinical prediction model shows promise in identifying patients at risk of 90-day and 2-year mortality. Validation in an independent cohort is required to assess the generalizability; especially in geographically distinct regions. Therefore we questioned, is the SORG Orthopaedic Research Group (SORG) femoral neck fracture mortality algorithm externally valid in an Israeli cohort to predict 90-day and 2-year mortality? Methods: We previously developed a prediction model in 2022 for estimating the risk of mortality in femoral neck fracture patients using a multicenter institutional cohort of 2,478 patients from the USA. The model included the following input variables that are available on clinical admission: age, male gender, creatinine level, absolute neutrophil, hemoglobin level, international normalized ratio (INR), congestive heart failure (CHF), displaced fracture, hemiplegia, chronic obstructive pulmonary disease (COPD), history of cerebrovascular accident (CVA) and beta-blocker use. To assess the generalizability, we used an intercontinental institutional cohort from the Sheba Medical Center in Israel (level I trauma center), queried between June 2008 and February 2022. Generalizability of the model was assessed using discrimination, calibration, Brier score, and decision curve analysis. Results: The validation cohort included 2,033 patients, aged 65 years or above, that underwent femoral neck fracture surgery. Most patients were female 64.8% (n = 1317), the median age was 81 years (interquartile range = 75–86), and 80.4% (n = 1635) patients sustained a displaced fracture (Garden III/IV). The 90-day mortality was 9.4% (n = 190) and 2-year mortality was 30.0% (n = 610). Despite numerous baseline differences, the model performed acceptably to the validation cohort on discrimination (c-statistic 0.67 for 90-day, 0.67 for 2-year), calibration, Brier score, and decision curve analysis. Conclusions: The previously developed SORG femoral neck fracture mortality algorithm demonstrated good performance in an independent intercontinental population. Current iteration should not be relied on for patient care, though suggesting potential utility in assessing patients at low risk for 90-day or 2-year mortality. Further studies should evaluate this tool in a prospective setting and evaluate its feasibility and efficacy in clinical practice. The algorithm can be freely accessed: https://sorg-apps.shinyapps.io/hipfracturemortality/. Level of evidence: Level III, Prognostic study.","Femoral neck fracture; Geriatric trauma; Hip fracture; Machine learning; Mortality; Prediction model","en","journal article","","","","","","","","","","","Information and Communication Technology","","",""
"uuid:8f3788d8-4501-4f95-86a2-32d3ee19cc42","http://resolver.tudelft.nl/uuid:8f3788d8-4501-4f95-86a2-32d3ee19cc42","A Streamline-Guided Dehomogenization Approach for Structural Design","Wang, Junpeng (Technische Universität München); Westermann, Rüdiger (Technische Universität München); Wu, J. (TU Delft Materials and Manufacturing)","","2023","We present a novel dehomogenization approach for the efficient design of high-resolution load-bearing structures. The proposed approach builds upon a streamline-based parametrization of the design domain, using a set of space-filling and evenly spaced streamlines in the two mutually orthogonal direction fields that are obtained from homogenization-based topology optimization. Streamlines in these fields are converted into a graph, which is then used to construct a quad-dominant mesh whose edges follow the direction fields. In addition, the edge width is adjusted according to the density and anisotropy of the optimized orthotropic cells. In a number of numerical examples, we demonstrate the mechanical performance and regular appearance of the resulting structural designs and compare them with those from classic and contemporary approaches.","Topology optimization; dehomogenization","en","journal article","","","","","","","","2023-06-12","","","Materials and Manufacturing","","",""
"uuid:ee148827-c765-4afc-bade-fbef69a2f773","http://resolver.tudelft.nl/uuid:ee148827-c765-4afc-bade-fbef69a2f773","A Tractable Failure Probability Prediction Model for Predictive Maintenance Scheduling of Large-Scale Modular-Multilevel-Converters","Fu, J. (TU Delft Team Bart De Schutter; Dalian Maritime University); Peyghami, Saeed (Aalborg University); Nunez, Alfredo (TU Delft Railway Engineering); Blaabjerg, Frede (Aalborg University); De Schutter, B.H.K. (TU Delft Delft Center for Systems and Control)","","2023","Predictive maintenance scheduling of modular-multilevel-converters (MMCs) requires estimations of the failure probabilities of MMCs during a period of time in the future. Particularly, the predicted future failure probabilities are influenced by two main factors, the mission profiles of the MMCs and the maintenance decisions on the MMCs during the prediction period. This paper proposes a failure probability prediction model (FPM) for MMCs by considering these two factors. First, the expectations of the failure probabilities of the components for all the scenarios of mission profiles are obtained. Second, in predictive maintenance scheduling problems, the decisions to perform the maintenance actions are represented by binary variables. When the number of submodules is very large, using the binomial probability form currently used in reliability engineering to express the 'r-out-of-n' failure probability of arms of the MMCs is intractable. Thus, this paper proposes a tractable form (T-form) in FPM by observing that the submodules on one arm are homogeneous. Furthermore, an approximation method, i.e., clustering and assignment (C&A), is proposed to reduce the computation times for calculating the parameters needed by the proposed T-form. Then, we perform a case study that assesses the accuracy and computation time of the C&A approach. The results show that the accuracy of the C&A approach is high and that the computation time is reduced significantly compared with the accurate method. We also show that the computation time for solving the predictive maintenance scheduling problem can be reduced hugely by using the T-form instead of the binomial probability form.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-01","","Delft Center for Systems and Control","Team Bart De Schutter","","",""
"uuid:c25f7d5a-cbde-46d2-b20d-10342d59c5a3","http://resolver.tudelft.nl/uuid:c25f7d5a-cbde-46d2-b20d-10342d59c5a3","The Impact of Different Bow Shapes on Large Yacht Comfort","Begovic, Ermina (Università degli Studi di Napoli Federico II); Della Valentina, Enrico (Maritime Research Institute Netherlands (MARIN)); Mauro, F. (TU Delft Ship Design, Production and Operations); Nabergoj, Radoslav (Nasdis PDS d.o.o.); Rinauro, Barbara (Università degli Studi di Napoli Federico II)","","2023","The importance of comfort during transfer and stationing becomes a key performance parameter for large yacht design, on the same level as propulsive issues. Such a matter extends questions in terms of form and service demand to the motion behaviour of the unit in waves. Relevant studies refer to outdated hull forms not specific to modern large yachts. In this study, five hull forms with different bow concepts represent the most common design solutions for yachts at constant draught and displacement. The preliminary ranking on the effect of alternative bows on comfort requires the definition of internationally accepted comfort standards. Here, the AWI 22834 guidelines for large yachts provide the service and environmental conditions and criteria for the comfort analysis, being the only reference specific to yachts. The calculations employ a strip-theory-based numerical model to provide results of easy understanding for designers during the early design stage. The obtained ranking among the design solutions on a reference large yacht favours the option nested with a bulb, contradicting the expectations in favour of a vertical bow concept. The discussion and conclusions provide a way forward for additional analyses and investigations aimed at proposing suitable multicriterial design guidelines for large yachts. However, the results also show the unsuitability of AWI environmental and encounter conditions for hull form ranking.","hull forms; ship design; large yachts; comfort analysis; ship motions","en","journal article","","","","","","","","","","","Ship Design, Production and Operations","","",""
"uuid:1c8a23df-baf8-4bf7-8b1b-126896917e59","http://resolver.tudelft.nl/uuid:1c8a23df-baf8-4bf7-8b1b-126896917e59","Generalised Isentropic Relations in Thermodynamics","Nederstigt, P. (Student TU Delft); Pecnik, Rene (TU Delft Energy Technology)","","2023","Isentropic processes in thermodynamics are fundamental to our understanding of numerous physical phenomena across different scientific and engineering fields. They provide a theoretical reference case for the evaluation of real thermodynamic processes and observations. Yet, as analytical relations for isentropic transformations in gas dynamics are limited to ideal gases, the inability to analytically describe isentropic processes for non-ideal gases is a fundamental shortcoming. This work presents generalised isentropic relations in thermodynamics based on the work by Kouremenos et al., where three isentropic exponents γPv, γTv and γPT are introduced to replace the ideal gas isentropic exponent γ to incorporate the departure from the non-ideal gas behaviour. The general applicability of the generalised isentropic relations is presented by exploring its connections to existing isentropic models for ideal gases and incompressible liquids. Generalised formulations for the speed of sound, the Bernoulli equation, compressible isentropic flow transformations, and isentropic work are presented thereafter, connecting previously disjoint theories for gases and liquids. Lastly, the generalised expressions are demonstrated for practical engineering examples, and their accuracy is discussed.","isentropic relations; real gas thermodynamics; speed of sound; compressible fluid flows; compressibility; isentropic work","en","journal article","","","","","","","","","","","Energy Technology","","",""
"uuid:20988ba6-6877-4e5d-a6ff-4ed282bf2593","http://resolver.tudelft.nl/uuid:20988ba6-6877-4e5d-a6ff-4ed282bf2593","Templated Synthesis of Diamond Nanopillar Arrays Using Porous Anodic Aluminium Oxide (AAO) Membranes","Zhang, C. (TU Delft Micro and Nano Engineering; Harbin Institute of Technology); Liu, Z. (TU Delft Micro and Nano Engineering); Li, Chun (Harbin Institute of Technology); Buijnsters, J.G. (TU Delft Micro and Nano Engineering)","","2023","Diamond nanostructures are mostly produced from bulk diamond (single- or polycrystalline) by using time-consuming and/or costly subtractive manufacturing methods. In this study, we report the bottom-up synthesis of ordered diamond nanopillar arrays by using porous anodic aluminium oxide (AAO). Commercial ultrathin AAO membranes were adopted as the growth template in a straightforward, three-step fabrication process involving chemical vapor deposition (CVD) and the transfer and removal of the alumina foils. Two types of AAO membranes with distinct nominal pore size were employed and transferred onto the nucleation side of CVD diamond sheets. Subsequently, diamond nanopillars were grown directly on these sheets. After removal of the AAO template by chemical etching, ordered arrays of submicron and nanoscale diamond pillars with ~325 nm and ~85 nm diameters were successfully released.","template-assisted growth; diamond nanopillars; anodic aluminium oxide (AAO); chemical vapor deposition (CVD); stress modeling","en","journal article","","","","","","","","","","","Micro and Nano Engineering","","",""
"uuid:cbcfe207-29ca-4568-8c1d-51b853c4add6","http://resolver.tudelft.nl/uuid:cbcfe207-29ca-4568-8c1d-51b853c4add6","Association between prenatal alcohol exposure and children's facial shape:: a prospective population-based cohort study","Liu, X. (Erasmus MC); Kayser, Manfred (Erasmus MC); Kushner, S.A. (Erasmus MC); Tiemeier, H (Harvard T.H. Chan School of Public Health); Rivadeneira, F (Erasmus MC); Jaddoe, Vincent (Erasmus MC); Niessen, W.J. (TU Delft ImPhys/Vos group; TU Delft ImPhys/Computational Imaging; Erasmus MC); Wolvius, E.B. (Erasmus MC); Roshchupkin, G.V. (Erasmus MC)","","2023","STUDY QUESTION: Is there an association between low-to-moderate levels of prenatal alcohol exposure (PAE) and children's facial shape? SUMMARY ANSWER: PAE before and during pregnancy, even at low level (<12 g of alcohol per week), was found associated with the facial shape of children, and these associations were found attenuated as children grow older. WHAT IS KNOWN ALREADY: High levels of PAE during pregnancy can have significant adverse associations with a child's health development resulting in recognizably abnormal facial development. STUDY DESIGN, SIZE, DURATION: This study was based on the Generation R Study, a prospective cohort from fetal life onwards with maternal and offspring data. We analyzed children 3-dimensional (3D) facial images taken at ages 9 (n = 3149) and 13 years (n = 2477) together with the data of maternal alcohol consumption. PARTICIPANTS/MATERIALS, SETTING, METHODS: We defined six levels of PAE based on the frequency and dose of alcohol consumption and defined three tiers based on the timing of alcohol exposure of the unborn child. For the image analysis, we used 3D graph convolutional networks for non-linear dimensionality reduction, which compressed the high-dimensional images into 200 traits representing facial morphology. These 200 traits were used for statistical analysis to search for associations with PAE. Finally, we generated heatmaps to display the facial phenotypes associated with PAE. MAIN RESULTS AND THE ROLE OF CHANCE: The results of the linear regression in the 9-year-old children survived correction for multiple testing with false discovery rate (FDR). In Tier 1 where we examined PAE only before pregnancy (exposed N = 278, unexposed N = 760), we found three traits survived FDR correction. The lowest FDR-P is 1.7e-05 (beta = 0.021, SE = 0.0040) in Trait #29; In Tier 2b where we examine any PAE during first trimester (exposed N = 756; unexposed N = 760), we found eight traits survived FDR correction. The lowest FDR-P is 9.0e-03 (beta = -0.013, SE = 0.0033) in Trait #139. Moreover, more statistically significant facial traits were found in higher levels of PAE. No FDR-significant results were found in the 13-year-old children. We map these significant traits back to the face, and found the most common detected facial phenotypes included turned-up nose tip, shortened nose, turned-out chin, and turned-in lower-eyelid-related regions. LIMITATIONS, REASONS FOR CAUTION: We had no data for alcohol consumption more than three months prior to pregnancy and thus do not know if maternal drinking had chronic effects. The self-reported questionnaire might not reflect accurate alcohol measurements because mothers may have denied their alcohol consumption. WIDER IMPLICATIONS OF THE FINDINGS: Our results imply that facial morphology, such as quantified by the approach we proposed here, can be used as a biomarker in further investigations. Furthermore, our study suggests that for women who are pregnant or want to become pregnant soon, should quit alcohol consumption several months before conception and completely during pregnancy to avoid adverse health outcomes in the offspring. STUDY FUNDING/COMPETING INTEREST(S): This work was supported by Erasmus Medical Centre, Rotterdam, the Erasmus University Rotterdam, and the Netherlands Organization for Health Research. V.W.V.J. reports receipt of funding from the Netherlands Organization for Health Research (ZonMw 90700303). W.J.N. is a founder, a scientific lead, and a shareholder of Quantib BV. TRIAL REGISTRATION NUMBER: N/A.","child health; 3D facial shape analysis; explainable artificial intelligence; epidemiology; prenatal alcohol exposure","en","journal article","","","","","","","","","","","ImPhys/Vos group","","",""
"uuid:b292c0ed-db16-465d-8531-2e8a89bc613f","http://resolver.tudelft.nl/uuid:b292c0ed-db16-465d-8531-2e8a89bc613f","Field performance monitoring of pervious concrete pavements","Singh, Avishreshth (TU Delft Pavement Engineering); Sampath, Prasanna Venkatesh (Indian Institute of Technology Tirupati); Biligiri, Krishna Prapoorna (Indian Institute of Technology Tirupati)","","2023","Pervious concrete pavements (PCP) have been successfully constructed in low-to-medium volume roads attributed to their sustainability benefits. Several studies have investigated the hydrological performance of PCPs, but limited attention has been given to the structural and environmental aspects. Thus, the objective of this study was to monitor the structural, hydrological and environmental performance of two PCP parking lots built using in-situ and ready-mix methods. Structural distresses were classified based on the distress identification manual, while the infiltration tests were performed every three months for three years to quantify the clogging rate. Joints/edges formed the weakest zones, while inefficient maintenance caused 98% clogging within 18 months. Further, in-situ mixing was 17% cheaper and 0.74% carbon-intensive than ready-mix technology. Overall, this research is envisioned to pave way for the development of guidelines that classify distresses and severity levels specific to PCPs, which also cover adequate maintenance recommendations for field implementation.","functional deficiencies; Pervious concrete pavements; real-time field performance; structural distresses","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-14","","","Pavement Engineering","","",""
"uuid:368b36f6-7e8c-44f2-a4db-675540de6a54","http://resolver.tudelft.nl/uuid:368b36f6-7e8c-44f2-a4db-675540de6a54","Parametric Analysis of a Double Shaft, Batch-Type Paddle Mixer Using the Discrete Element Method (DEM)","Emmerink, J.V. (Student TU Delft); Hadi, A.H. (TU Delft Transport Engineering and Logistics); Jovanova, J. (TU Delft Transport Engineering and Logistics); Cleven, Chris (Dinnissen BV); Schott, D.L. (TU Delft Transport Engineering and Logistics)","","2023","To improve the understanding of the mixing performance of double shaft, batch-type paddle mixers, the discrete element method (DEM) in combination with a Plackett–Burman design of experiments simulation plan is used to identify factor significance on the system’s mixing performance. Effects of several factors, including three material properties (particle size, particle density and composition), three operational conditions (initial filling pattern, fill level and impeller rotational speed) and three geometric parameters (paddle size, paddle angle and paddle number), were quantitatively investigated using the relative standard deviation (RSD). Four key performance indicators (KPIs), namely the mixing quality, mixing time, average mixing power and energy required to reach a steady state, were defined to evaluate the performance of the double paddle mixer. The results show that the material property effects are not as significant as those of the operational conditions and geometric parameters. In particular, the geometric parameters were observed to significantly influence the energy consumption, while not affecting the mixing quality and mixing time, showing their potential towards designing more sustainable mixers. Furthermore, the analysis of granular temperature revealed that the centre area between the two paddles has a high diffusivity, which can be correlated to the mixing time.","double paddle mixer; discrete element method (DEM); granular materials; solid mixing; Plackett–Burman design; granular temperature","en","journal article","","","","","","","","","","","Transport Engineering and Logistics","","",""
"uuid:8447952c-7e7c-4339-b791-f74783d9d29d","http://resolver.tudelft.nl/uuid:8447952c-7e7c-4339-b791-f74783d9d29d","Silicon carbide reinforced vertically aligned carbon nanotube composite for harsh environment mems","Mo, J. (TU Delft Electronic Components, Technology and Materials); Shankar, S. (TU Delft Support EKL); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials)","","2023","Fabricating high-aspect-ratio (HAR) structures with silicon carbide (SiC) is a challenging task. This paper presents a silicon carbide (SiC) reinforced vertically aligned carbon nanotubes (VACNT) composite as a promising candidate to fabricate HAR MEMS devices for harsh environment applications. The use of a VACNT array allows the fast realization of HAR structures as a template for MEMS fabrication. The template can later be easily filled by amorphous-SiC due to the porous nature of the VACNT forest. The SiC-CNT nanocomposite has electrical properties dominated by VACNT arrays and mechanical stability dominated by the a-SiC. Based on this concept, a thermal actuator is fabricated and proven to function up to 450°C for the first time.","SiC-CNT composite; HAR structures; harsh environment; thermal actuator","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-01","","","Electronic Components, Technology and Materials","","",""
"uuid:35ce2f36-ff93-468c-8439-e7a596c3815a","http://resolver.tudelft.nl/uuid:35ce2f36-ff93-468c-8439-e7a596c3815a","Modelling Handed Shearing Auxetics: Selective Piecewise Constant Strain Kinematics and Dynamic Simulation","Stölzle, Maximilian (TU Delft Learning & Autonomous Control); Chin, Lillian (Massachusetts Institute of Technology); Truby, Ryan (Northwestern University); Rus, Daniela (Massachusetts Institute of Technology); Della Santina, C. (TU Delft Learning & Autonomous Control)","","2023","Electrically-actuated continuum soft robots based on Handed Shearing Auxetics (HSAs) promise rapid actuation capabilities while preserving structural compliance. However, the foundational models of these novel actuators required for precise control strategies are missing. This paper proposes two key components extending discrete Cosserat rod model (DCM) to allow for modeling HSAs. First, we propose a mechanism for incorporating the auxetic trajectory into DCM dynamical simulations. We also propose an implementation of this extension as a plugin for the Elastica simulator. Second, we introduce a Selective Piecewise Constant Strain (SPCS) kinematic parameterization that can describe an HSA segment's shape with fewer configuration variables. We verify both theoretical contributions experimentally. The simulator is used to replicate experimental data of the mechanical characterization of HSA rods. For the second component, we attach motion capture markers at various points to a parallel HSA robot and find that the shape of the HSAs can be kinematically represented with an average accuracy of 0.3 mm for positions and 0.07 rad for orientations.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-15","","","Learning & Autonomous Control","","",""
"uuid:be5bb59c-648c-43ab-afe9-01a6ca63e461","http://resolver.tudelft.nl/uuid:be5bb59c-648c-43ab-afe9-01a6ca63e461","Housing policies by young people, not for young people: Experiences from a co-creation project in Amsterdam","Hoekstra, J.S.C.M. (TU Delft Urban Development Management); Gentili, M. (TU Delft Urban Development Management)","","2023","For young adults on the Amsterdam housing market the accessibility of housing has been decreasing for years, due to soaring house prices and rents, the shrinkage and residualization of the social rental sector, and the precarization of the labor market. Consequently, many young people struggle to secure an affordable and adequate dwelling and are stuck in insecure and chaotic housing pathways. Current housing policies in Amsterdam are struggling to effectively respond to these challenges. In an effort to better understand and address the specific housing problems of young people, the Municipality of Amsterdam, housing association Lieven de Key, resident organization !Woon, Delft University of Technology and a group of local young people have started a co-creation process within the framework of the H2020 UPLIFT project. The goal of this co-creation process is to unravel the real-life experiences of young people and to co-create new or improved policy initiatives with them. This paper examines the results of said policy co-creation process in order to evaluate its methodology as well as its impact on the participating actors - young people in particular - and on the policymaking approach. We analyze the benefits and limits of this type of participatory practice in addressing housing issues and try to draw conclusions on its applicability in a larger context.","policy co-creation; Amsterdam (Netherlands); housing; inequality; young adults","en","journal article","","","","","","","","","","","Urban Development Management","","",""
"uuid:e60f8926-a584-4337-896f-50319ccb4d7d","http://resolver.tudelft.nl/uuid:e60f8926-a584-4337-896f-50319ccb4d7d","Promoting the Application of Off-Site Construction in China’s Residential Building Industry from the Angle of Ecosystem","Xie, Fangyun (Party School of the Chongqing Committee); Fu, Xinyue (Student TU Delft); Huang, R. (TU Delft Design & Construction Management)","","2023","Off-site construction (OSC) is an innovative construction method. However, OSC is not widely applied in residential buildings due to many barriers. Therefore, this paper aims to unravel this puzzle and interpret the slow development of OSC. The paper develops a new analytical lens based on the ecosystem concept. By deconstructing some ecosystem concepts, the paper conceptualizes China’s residential building industry ecosystem (RBI-ECO) and proposes three hypotheses. The results show that the current structure of RBI-ECO does not support OSC development. Firstly, the performance of different types of enterprises is imbalanced. Secondly, there is a lack of cooperation between enterprises except for daily communication of projects. Moral risks, competition, and a fragmented supply chain are the primary causes. These barriers in RBI-ECO limit the implementation of OSC. In order to promote the application of OSC, the government can implement mandatory policies and concrete measures, establish a designer-led mechanism, motivate enterprises to transform into comprehensive enterprises, and enhance learning and education for OSC enterprise managers.","off-site construction (OSC); residential building industry ecosystem (RBI-ECO); survey; semi-structured interview; recommendations","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:c2b7b14b-4656-4a16-845d-a20a29c793ac","http://resolver.tudelft.nl/uuid:c2b7b14b-4656-4a16-845d-a20a29c793ac","Co-creation with young people in Amsterdam: Research approach and Reflexive Policy Agenda","Hoekstra, J.S.C.M. (TU Delft Urban Development Management); Gentili, M. (TU Delft Urban Development Management)","","2023","This document aims to outline the process of co-creation of housing policy with young people in the city of Amsterdam. It is directed at researchers and policymakers who wish to gain more knowledge of the objectives, strategies and methods pertaining to the development of a Reflexive Policy Agenda.","","en","report","UPLIFT","","","","","","","","","","Urban Development Management","","",""
"uuid:e18ed056-7486-4b27-8023-098f3f0e1bf3","http://resolver.tudelft.nl/uuid:e18ed056-7486-4b27-8023-098f3f0e1bf3","Life-Cycle Assessment of a Multi-Megawatt Airborne Wind Energy System","van Hagen, L.J.A. (Student TU Delft); Petrick, Kristian (Airborne Wind Europe); Wilhelm, Stefan (Ampyx Power); Schmehl, R. (TU Delft Wind Energy)","","2023","A key motivation for airborne wind energy is its potential to reduce the amount of material required for the generation of renewable energy. On the other hand, the materials used for airborne systems’ components are generally linked to higher environmental impacts. This study presents comparative life-cycle analyses for future multi-megawatt airborne wind energy systems and conventional wind turbines, with both technologies operating in the same farm configuration and under matching environmental conditions. The analyses quantify the global warming potential and cumulative energy demand of the emerging and established wind energy technologies. The cumulative energy demand is subsequently also used to determine the energy payback time and the energy return on investment. The selected airborne wind energy system is based on the design of Ampyx Power, using a fixed-wing aircraft that is tethered to a generator on the ground. The conventional wind turbine is primarily based on the NREL 5 MW reference turbine. The results confirm that an airborne wind energy system uses significantly less material and generates electricity at notably lower impacts than the conventional wind turbine. Furthermore, the impacts of the wind turbine depend strongly on the local environmental conditions, while the impacts of the airborne wind energy system show only a minimal dependency. Airborne wind energy is most advantageous for operation at unfavourable environmental conditions for conventional systems, where the turbines require a large hub height.","airborne wind energy; wind farm; life-cycle assessment; renewable energy; sustainability","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:00574d8c-fd0c-4772-b52e-01f7ac6ea90d","http://resolver.tudelft.nl/uuid:00574d8c-fd0c-4772-b52e-01f7ac6ea90d","Mitigation of Quasiparticle Loss in Superconducting Qubits by Phonon Scattering","Bargerbos, A. (TU Delft QRD/Kouwenhoven Lab; Kavli institute of nanoscience Delft); Splitthoff, L.J. (TU Delft QRD/Kouwenhoven Lab; Kavli institute of nanoscience Delft); Pita-Vidal, Marta (TU Delft QRD/Kouwenhoven Lab; Kavli institute of nanoscience Delft); Wesdorp, J.J. (TU Delft QRD/Kouwenhoven Lab; Kavli institute of nanoscience Delft); Liu, Yu (University of Copenhagen); Krogstrup, Peter (University of Copenhagen); Kouwenhoven, Leo P. (TU Delft QN/Kouwenhoven Lab; Kavli institute of nanoscience Delft); Andersen, C.K. (TU Delft Andersen Lab; Kavli institute of nanoscience Delft); Grünhaupt, L. (TU Delft QRD/Kouwenhoven Lab; Kavli institute of nanoscience Delft)","","2023","Quantum error correction will be an essential ingredient in realizing fault-tolerant quantum computing. However, most correction schemes rely on the assumption that errors are sufficiently uncorrelated in space and time. In superconducting qubits, this assumption is drastically violated in the presence of ionizing radiation, which creates bursts of high-energy phonons in the substrate. These phonons can break Cooper pairs in the superconductor and, thus, create quasiparticles over large areas, consequently reducing qubit coherence across the quantum device in a correlated fashion. A potential mitigation technique is to place large volumes of normal or superconducting metal on the device, capable of reducing the phonon energy to below the superconducting gap of the qubits. To investigate the effectiveness of this method, we fabricate a quantum device with four nominally identical nanowire-based transmon qubits. On the device, half of the niobium-titanium-nitride ground plane is replaced with aluminum (Al), which has a significantly lower superconducting gap. We deterministically inject high-energy phonons into the substrate by voltage biasing a galvanically isolated Josephson junction. In the presence of the small-gap material, we find a factor of 2–5 less degradation in the injection-dependent qubit lifetimes and observe that the undesired excited qubit state population is mitigated by a similar factor. We furthermore turn the Al normal with a magnetic field, finding no change in the phonon protection. This suggests that the efficacy of the protection in our device is not limited by the size of the superconducting gap in the Al ground plane. Our results provide a promising foundation for protecting superconducting-qubit processors against correlated errors from ionizing radiation.","","en","journal article","","","","","","","","","","","QRD/Kouwenhoven Lab","","",""
"uuid:a0585594-1aa8-4a76-976f-f2d06c8eb5b8","http://resolver.tudelft.nl/uuid:a0585594-1aa8-4a76-976f-f2d06c8eb5b8","Harnessing elastic energy to overcome singularity issues in four-bar mechanisms with a crank link","van den Doel, Gregor J.; Herder, J.L. (TU Delft Precision and Microsystems Engineering); Farhadi Machekposhti, D. (TU Delft Mechatronic Systems Design)","","2023","The ability to convert reciprocating, i.e., alternating, actuation into rotary motion using linkages is hindered fundamentally by their poor torque transmission capability around kinematic singularity configurations. Here, we harness the elastic potential energy of a linear spring attached to the coupler link of four-bar mechanisms to manipulate force transmission around the kinematic singularities. We developed a theoretical model to explore the parameter space for proper force transmission in slider-crank and rocker-crank four-bar kinematics. Finally, we verified the proposed model and methodology by building and testing a macro-scale prototype of a slider-crank mechanism. We expect this approach to enable the development of small-scale rotary engines and robotic devices with closed kinematic chains dealing with serial kinematic singularities, such as linkages and parallel manipulators.","Elastic potential energy; Four-bar linkages; Kinematic singularity; Mechanisms","en","journal article","","","","","","","","","","Precision and Microsystems Engineering","Mechatronic Systems Design","","",""
"uuid:5ed9a8ca-85c2-4d5a-a8cd-1a157da9db4d","http://resolver.tudelft.nl/uuid:5ed9a8ca-85c2-4d5a-a8cd-1a157da9db4d","Liminal design: A conceptual framework and three-step approach for developing technology that delivers transcendence and deeper experiences","Liedgren, Johan (Independent researcher); Desmet, P.M.A. (TU Delft Human-Centered Design); Gaggioli, Andrea (IRCCS Istituto Auxologico Italiano; Universita Cattolica del Sacro Cuore)","","2023","As ubiquitous technology is increasingly mediating our relationships with the world and others, we argue that the sublime is struggling to find room in product design primarily aimed at commercial and transactional goals such as speed and efficiency. We suggest a new category of products to promote deeper and more meaningful experiences, specifically those offering liminality, transcendence, and personal transformation. This paper introduces a conceptual framework and three-step design approach looking at narrative participation in design through abstractions to promote, hold and deepen more complex emotions. We explore implications from a theoretical point of view and suggest product examples for how the model might be applied in practice.","liminality; technology; awe; experience; user; design transcendence; narrative","en","journal article","","","","","","","","","","Human-Centered Design","","","",""
"uuid:3fe02b0e-b40c-4b23-8773-ff67e5a6f65c","http://resolver.tudelft.nl/uuid:3fe02b0e-b40c-4b23-8773-ff67e5a6f65c","Analyzing Emerging Challenges for Data-Driven Predictive Aircraft Maintenance Using Agent-Based Modeling and Hazard Identification","Lee, J. (TU Delft Air Transport & Operations); Mitici, M.A. (Universiteit Utrecht); Blom, H.A.P. (TU Delft Air Transport & Operations); Bieber, Pierre (Office National d'Etudes et de Recherches Aerospatiales); Freeman, Floris (KLM Royal Dutch Airlines)","","2023","The increasing use of on-board sensor monitoring and data-driven algorithms has stimulated the recent shift to data-driven predictive maintenance for aircraft. This paper discusses emerging challenges for data-driven predictive aircraft maintenance. We identify new hazards associated with the introduction of data-driven technologies into aircraft maintenance using a structured brainstorming conducted with a panel of maintenance experts. This brainstorming is facilitated by a prior modeling of the aircraft maintenance process as an agent-based model. As a result, we identify 20 hazards associated with data-driven predictive aircraft maintenance. We validate these hazards in the context of maintenance-related aircraft incidents that occurred between 2008 and 2013. Based on our findings, the main challenges identified for data-driven predictive maintenance are: (i) improving the reliability of the condition monitoring systems and diagnostics/prognostics algorithms, (ii) ensuring timely and accurate communication between the agents, and (iii) building the stakeholders’ trust in the new data-driven technologies.
We perform a longitudinal study of the migration to public clouds among universities in the U.S. and Europe, as well as institutions listed in the Times Higher Education (THE) Top100 between Jan- uary 2015 and October 2022. We find that cloud adoption differs between countries, with one cluster (Germany, France, Austria, Switzerland) showing a limited move to clouds, while the other (U.S., U.K., the Netherlands, THE Top100) frequently outsources universities’ core functions and services—starting long before the COVID-19 pandemic. We attribute this clustering to several socio- economic factors in the respective countries, including the general culture of higher education and the administrative paradigm taken towards running universities. We then analyze and interpret our results, finding that the implications reach beyond individuals’ pri- vacy towards questions of academic independence and integrity.","Platformization; Platform Capitalism; Centralization; EdTech","en","book chapter","","","","","","","","","Technology, Policy and Management","","Organisation & Governance","","",""
"uuid:626768d7-b4ef-47a9-bb98-10e449320f2e","http://resolver.tudelft.nl/uuid:626768d7-b4ef-47a9-bb98-10e449320f2e","Microphones as Airspeed Sensors for Unmanned Aerial Vehicles","Makaveev, M.K. (Student TU Delft); Snellen, M. (TU Delft Control & Operations; TU Delft Aircraft Noise and Climate Effects); Smeur, E.J.J. (TU Delft Control & Simulation)","","2023","This paper puts forward a novel design for an airspeed instrument aimed at small fixed-wing tail-sitter unmanned aerial vehicles. The working principle is to relate the power spectra of the wall-pressure fluctuations beneath the turbulent boundary layer present over the vehicle’s body in flight to its airspeed. The instrument consists of two microphones; one flush-mounted on the vehicle’s nose cone, which captures the pseudo-sound caused by the turbulent boundary layer, and a micro-controller that processes the signals and computes the airspeed. A feed-forward single-layer neural network is used to predict the airspeed based on the power spectra of the microphones’ signals. The neural network is trained using data obtained from wind tunnel and flight experiments. Several neural networks were trained and validated using only flight data, with the best one achieving a mean approximation error of 0.043 m/s and having a standard deviation of 1.039 m/s. The angle of attack has a significant impact on the measurement, but if the angle of attack is known, the airspeed could still be successfully predicted for a wide range of angles of attack.
Being these very small satellites at the edge of the radar detection capabilities and not providing independent orbit determination means, their position uncertainty could be quite significant, leading to an increased orbit collision perceived risk.
With this paper, we present a dedicated small satellite formation, made by multiple nano and pico satellites to evaluate the space surveillance network tracking capabilities and limits. The formation is made by a 3U CubeSat to be deployed as part of a rideshare launch. The satellite would be equipped with multiple means to track it, including a GNSS receiver, a set of multiple laser retro-reflectors, and LEDs for optical, laser, and radar tracking, allowing to characterize also different detection means in terms of capabilities. Such a satellite is made of two independent smaller satellites that can be un-docked in orbit upon command, reducing the satellite size and cross-section. This would push the detection limit for the space surveillance networks starting from an already acquired object and with limited clutter around it. Independent laser and GNSS tracking would allow ground measurement validation and validate position estimations. Further pico-satellites would be deployed by each sub-satellite to further push the detection limits and validate up to which size objects are trackable (still optically, radar and GNSS), thanks to miniaturized GNSS receivers already flown by several other missions.
Sub-satellite separation is implemented upon command to ensure the process can be followed and executed at lower altitudes to limit the orbital lifetime of eventually hard-to-track small objects that could worsen the space debris problem. Ground characterization (in terms of optical and radar properties) will be performed, also including polarimetric measurements used to identify the separate satellites. All these technologies together would contribute to creating a unique tool to estimate the tracking capabilities of multiple instruments, specifically tailored for very small objects, the hardest to track, as compared to other characterization activities performed on much bigger objects.","CubeSat; Space Situational Awareness; Demonstrator; Tracking","en","conference paper","","","","","","","","","","","Space Systems Egineering","","",""
"uuid:15359786-d9cc-4d6f-9bba-aa5e8ae71ec0","http://resolver.tudelft.nl/uuid:15359786-d9cc-4d6f-9bba-aa5e8ae71ec0","Identifying the merits of bottom-up urban development: theory-based evaluation using a value map model","Mens, J.S. (Jeroen) (TU Delft Urban Development Management); van Bueren, Ellen (TU Delft Management in the Built Environment); Vrijhoef, R. (TU Delft Design & Construction Management); Heurkens, E.W.T.M. (TU Delft Urban Development Management)","","2023","Comprehensive understanding of the merits of bottom-up urban development is lacking, thus hampering and complicating associated collaborative processes. Therefore, and given the assumed relevancies, we mapped the social, environmental and economic values generated by bottom-up developments in two Dutch urban areas, using theory-based evaluation principles. These evaluations raised insights into the values, beneficiaries and path dependencies between successive values, confirming the assumed effect of placemaking accelerating further spatial developments. It also revealed broader impacts of bottom-up endeavors, such as influences on local policies and innovations in urban development.","Bottom-up urban development; shared values; value map; theory-based evaluation; impact pathway","en","journal article","","","","","","","","","","Management in the Built Environment","Urban Development Management","","",""
"uuid:80bd5013-58f2-462b-b453-a7b2d4fdf625","http://resolver.tudelft.nl/uuid:80bd5013-58f2-462b-b453-a7b2d4fdf625","The Human Activity Radar Challenge: Benchmarking based on the ‘Radar signatures of human activities’ dataset from Glasgow University","Yang, Shufan (Edinburgh Napier University); Le Kernec, Julien (University of Glasgow); Romain, Olivier (University of Cergy-Pontoise); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Cadart, Pierre (CentraleSupelec); Fix, Jeremy (Lorraine University); Ren, Chengfang (Université Paris-Saclay); Manfredi, Giovanni (Université Paris Saclay); Letertre, Thierry (University Paris-Saclay)","","2023","","Human activity classification; radar; machine learning; convolutional neural networks","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-07","","","Microwave Sensing, Signals & Systems","","",""
"uuid:bd52b3a7-0a10-47e9-bf96-98fe2bc62f4e","http://resolver.tudelft.nl/uuid:bd52b3a7-0a10-47e9-bf96-98fe2bc62f4e","Fracture Toughness Evaluation of Powder Metallurgical ASP2030 High-Speed Steels Using Flexural Specimens and Finite Element Method","Firouzi, A. (Sahand University of Technology); Yazdani, S. (Sahand University of Technology); Tavangar, R. (Sahand University of Technology); Shakerifard, B. (TU Delft (OLD) MSE-3); Khan MD, F. (King Faisal University)","","2023","In the present study, the fracture toughness of hardened and tempered powder metallurgical (PM) high-speed steel ASP 2030 was investigated using notched and unnotched bending specimens and the finite element method. The normal flexural strength of notched and unnotched specimens marquenched by austenitizing at 1150, 1170, and 1185°C, followed by quenching to room temperature is measured after triple tempering at 560°C for 2 h. The finite element method (FEM) analysis is performed to observe the true stress distribution and calculate the critical fracture stress in the specimens under the experimental conditions of the bending test. The microstructural features of the specimens were investigated by X-ray diffraction (XRD) and a field emission scanning electron microscope (FESEM) with an electron backscatter detector (EBSD). No retained austenite was detected in the tempered specimens, and according to the results of the EBSD analysis and XRD tests, the microstructure of the matrix consists of martensitic ferrite laths. It can be observed that with the increase of austenitizing temperature from 1150 to 11850C, the normal flexural strength of the specimens decreases. The decrease in flexural strength of the specimens is due to the increase in the prior austenite grain size and consequently the martensitic ferrite laths after tempering. In addition, as the austenitizing temperature increases, the volume fraction of the undissolved carbides decreases, which causes the size of the undissolved carbides to increase and the flexural strength to decrease. According to FEM, the critical crack length calculated from the critical fracture stress is approximately equal to the average diameter of undissolved carbides.","cleavage fracture stress; electron backscattering; finite element method; flexural strength; high-speed steel; notch","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-20","","","(OLD) MSE-3","","",""
"uuid:3686f467-aeb4-4263-9590-9f4d61c20b6b","http://resolver.tudelft.nl/uuid:3686f467-aeb4-4263-9590-9f4d61c20b6b","Chaos and Order in Event-Triggered Control","de Albuquerque Gleizer, G. (TU Delft Team Tamas Keviczky); Mazo, M. (TU Delft Team Manuel Mazo Jr)","","2023","Event-triggered control (ETC) is claimed to provide significant reductions in sampling frequency when compared to periodic sampling, but little is formally known about its generated traffic. This work shows that ETC can exhibit very complex, even chaotic traffic, especially when the triggering condition is aggressive in reducing communications. First, by looking at the map dictating the evolution of states sampled, we characterize limit traffic patterns by observing invariant lines and planes through the origin, as well as their attractivity. Then, we present abstraction-based methods to compute limit metrics, such as limit average and limit inferior inter-sample time (IST) of periodic ETC (PETC), with considerations to the robustness of such metrics, as well as measuring the emergence of chaos. The methodology and tools allow us to find ETC examples that provably outperform periodic sampling in terms of average IST. In particular for PETC, we prove that this requires aperiodic or chaotic traffic.","Behavioral sciences; Chaotic communication; chaotic systems; computational methods; Event-triggered control; Extraterrestrial measurements; hybrid systems; Linear systems; Measurement; sampled-data control; Traffic control; Trajectory","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-06","","","Team Tamas Keviczky","","",""
"uuid:b5d291c7-e279-455d-80b7-94bf78b6ebd6","http://resolver.tudelft.nl/uuid:b5d291c7-e279-455d-80b7-94bf78b6ebd6","Dynamic Super Round-Based Distributed Task Scheduling for UAV Networks","Halder, Subir (Mary Immaculate College); Ghosal, Amrita (Mary Immaculate College); Conti, M. (TU Delft Cyber Security; Università degli Studi di Padova)","","2023","Networks of Unmanned Aerial Vehicles (UAVs) are emerging in many application domains, e.g., military surveillance. To perform collaborative tasks, the involved UAVs exchange several types of information, e.g., sensor data and commands. The major question here is how to schedule the tasks under dynamic traffic flows to provide network services. Existing solutions use the Round-Robin Strategy (RRS), where the tasks are scheduled statistically by dividing the time into fixed-length rounds. However, the RRS wastes significant network and device resources due to task scheduling in each round. This paper proposes DROVE-a novel clustering approach that allows the UAVs for dynamic task scheduling. However, determining the task scheduling is crucial, as it significantly affects several network parameters, e.g., throughput. Therefore, we devise the problem of distributed task scheduling under dynamic traffic flow scenarios to optimize the throughput. We propose a clustering task scheduling algorithm to serve dynamic traffic flows. Particularly, we integrate the dynamic traffic flows into the Lyapunov drift analysis framework, and determine the throughput optimality of our proposed scheduling algorithm. We perform extensive simulations to validate the effectiveness of DROVE. The results show that DROVE outperforms the state-of-The-Art solutions in terms of energy consumption, clustering overhead, throughput, end-To-end delay, flow success rate and packet drop rate.","Clustering; data traffic-level dynamics; energy-efficiency; scheduling design; UAV networks","en","journal article","","","","","","","","","","","Cyber Security","","",""
"uuid:5254d316-3112-4da5-b0da-1c80decf528e","http://resolver.tudelft.nl/uuid:5254d316-3112-4da5-b0da-1c80decf528e","Whither the need and motivation for open government data (OGD) promotional strategies?","Alexopoulos, Charalampos (University of the Aegean); Saxena, Stuti; Janssen, M.F.W.H.A. (TU Delft Engineering, Systems and Services); Rizun, Nina (Politechnika Gdanska)","","2023","Purpose: It has been underscored in the extant literature that open government data (OGD) has not percolated across the length and breadth of any country, let alone the awareness of the OGD among the stakeholders themselves. In this vein, this study aims to underline the reasons as to why OGD promotion merits consideration apart from underlining the manner in which OGD promotion may be done. Design/methodology/approach: Based on literature review on the OGD conceptual models and government promotion initiatives, the study further sketches an OGD model across four quadrants: beginners, followers, fast-trackers, trend-setters on the basis of the progress made in their OGD initiatives besides underlining the four elements of OGD promotional strategy as media, arena, substance and stakeholders. Also, the study explores the drivers and barriers to OGD promotional initiatives. Findings: The study shows that across the OGD quadrants, the promotional strategies vary in terms of the 4As (acceptability, affordability, accessibility and awareness), and the same get reflected across MASS. Further, the drivers for OGD promotional initiatives are building citizens’ trust and forging stakeholder participation and collaboration in administration, thereby furthering transparency in administration, meeting the needs of the stakeholders, providing the desired impetus to value creation and innovation by the stakeholders and the need for furthering economic growth. Likewise, the barriers toward OGD promotion are linked with the lack of political will, lack of organizational leadership, mission and vision, lack of involvement of government departments, lack of budget and lack of requisite infrastructure for promotion. Originality/value: Hitherto, OGD research has underscored the need to make the users aware of the potential of OGD initiative; however, no study has been undertaken to understand the manner in which the awareness may be driven among the users – the present study is a first step in this direction.","Barriers; Drivers; Literature review; OGD; Open government data; Promotion","en","journal article","","","","","","","","","","Engineering, Systems and Services","","","",""
"uuid:cffaaf4b-25ba-4bf5-8e14-2af8e586affe","http://resolver.tudelft.nl/uuid:cffaaf4b-25ba-4bf5-8e14-2af8e586affe","Uncertainty Modeling of a Chemical System with a Flexible Node by Mapping the Fault Tree into the Response Surface Method","Modi, Siddharth (Dharmsihn Desai University); Srinivasa Rao, Meka (Dharmsihn Desai University); Gupta, T.C.S.M. (Research & Development Centre, APAR Industries Ltd); Yang, M. (TU Delft Safety and Security Science)","","2023","This paper elaborates three novel contributions in the field of chemical process safety. The first contribution is the identification and classification of chemical system variabilities into seven broad categories, namely, media, equipment, component, operator, procedural, management, and external (MECOPME). The identified variabilities lead to epistemic and aleatory types of uncertainties in the probabilistic safety analysis. To deal with the uncertainties caused due to the variabilities, a concept of the flexible node is proposed, which demands a failure probability in the flexible range of a lower level to a higher level instead of a fixed static probability. Since the existing techniques are not robust enough to handle the probability range, the classical fault tree is mapped into a statistically more reliable approach of the response surface method (RSM). The unique idea of using RSM in the failure analysis is demonstrated over the fault tree of an overtemperature scenario in a semipilot scale setup for the hydrogenation process and successfully evaluated over an industrial accident of the release prevention barrier scenario. The contour and surface plots of RSM reveal more information than the traditional approach of minimal cut sets. The statistical markers of RSM are a better substitute for the improvement index for sensitivity analysis. The proposed approach deals with chemical system variabilities and the lack of knowledge of exact occurrence probabilities more effectively.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-13","","","Safety and Security Science","","",""
"uuid:56e3c25f-d975-4641-8a1e-8b4818b3357f","http://resolver.tudelft.nl/uuid:56e3c25f-d975-4641-8a1e-8b4818b3357f","It’s in the social network: The Social Neighbourhood model to unravel local social structures for liveable and safe neighbourhoods","Slingerland, G. (TU Delft System Engineering); Nikolic, I. (TU Delft System Engineering); Brazier, F.M. (TU Delft System Engineering)","","2023","Fast growth of cities decreases the quality of life in these places. In response, Municipalities install policies aiming to improve local livability. While literature suggests social structures to have a defining impact on policy effectiveness, current evaluation metrics are not able to take this into account. This paper presents the Social Neighbourhood model, an agent-based model used to simulate and explore how livability changes in a neighbourhood given various social structures and policies. The model is applied to a neighbourhood in The Hague, Netherlands. The main result of the modelling experiments is that social structures have a very strong influence on whether or not a policy to improve livability is effective. Three hypotheses, concerning this relationship between social structures, livability, and policy interventions are drawn up as a starting point for future research.","Policy-making; Livability; Urban neighbourhoods; Agent-based model; Simulations","en","journal article","","","","","","","","","","","System Engineering","","",""
"uuid:69af8007-7f1f-4e1d-8c35-4ec5299f3a0e","http://resolver.tudelft.nl/uuid:69af8007-7f1f-4e1d-8c35-4ec5299f3a0e","The use of digital games in academic maritime education: a theoretical framework and practical applications","Pruyn, J.F.J. (TU Delft Ship Design, Production and Operations)","","2023","In search of a way to bring back the positive aspects of an internship into the curriculum, TU Delft identified serious games as a potential solution. The literature studied showed that games could increase motivation and understanding, leading to improve knowledge retention. This paper has brought these insights together in a framework identifying the benefits that contribute to knowledge retention but also the requirements and risks for the application of serious games to be addressed. These insights were used to explain the success of a longstanding business game course for advanced students first. Next was the development of a virtual reality practice in a first-year course to replace the lost internship. In this case, knowledge retention was improved significantly, albeit only by 5%. Furthermore, in light of the developed framework, the VR simulation is a more balanced approach with fewer risks compared to the more extensive master course.","economics; education; gamification; Serious games; technology","en","journal article","","","","","","","","","","","Ship Design, Production and Operations","","",""
"uuid:b0b8b195-c705-4b51-86d3-21d42d772564","http://resolver.tudelft.nl/uuid:b0b8b195-c705-4b51-86d3-21d42d772564","Relative Sea Level Trends for the Coastal Areas of Peninsular and East Malaysia Based on Remote and In Situ Observations","Simons, W.J.F. (TU Delft Astrodynamics & Space Missions); Naeije, M.C. (TU Delft Astrodynamics & Space Missions); Ghazali, Zaki (Department of Survey and Mapping Malaysia); Darani Rahman, Wan (Department of Survey and Mapping Malaysia); Cob, Sanusi (Department of Survey and Mapping Malaysia); Kadir, Majid (Taman Universiti); Bin Mustafar, M.A. (TU Delft Astrodynamics & Space Missions; Universiti Teknologi MARA); Din, Ami Hassan (Universiti Teknologi Malaysia); Efendi, Joni Efendi (Geospatial Information Agency); Noppradit, Prakrit (Prince of Songkla University)","","2023","Absolute sea-level rise has become an important topic globally due to climate change. In addition, relative sea-level rise due to the vertical land motion in coastal areas can have a big societal impact. Vertical land motion (VLM) in Southeast Asia includes a tectonically induced component: uplift and subsidence in plate boundary zones where both Peninsular and East Malaysia are located. In this paper, the relative sea-level trends and (seismic cycle-induced) temporal changes across Malaysia were investigated. To do so, the data (1984–2019) from 21 tide gauges were analyzed, along with a subset (1994–2021) of nearby Malaysian GNSS stations. Changes in absolute sea level (ASL) at these locations (1992–2021) were also estimated from satellite altimetry data. As a first for Peninsular and East Malaysia, the combination ASL minus VLM was robustly used to validate relative sea-level rise from tide-gauge data and provide relative sea-level trend estimates based on a common data period of 25+ years. A good match between both the remote and in situ sea-level rise estimations was observed, especially for Peninsular Malaysia (differences < 1 mm/year), when split trends were estimated from the tide gauges and GNSS time series to distinguish between the different VLM regimes that exist due to the 2004 Sumatra–Andaman megathrust earthquake. As in the south of Thailand, post-seismic-induced negative VLM has increased relative sea-level rise by 2–3 mm/year along the Andaman Sea and Malacca Strait coastlines since 2005. For East Malaysia, the validation shows higher differences (bias of 2–3 mm/year), but this poorer match is significantly improved by either not including data after 1 January 2014 or applying a generic jump to all East Malay tide gauges from that date onwards. Overall, the present relative sea-level trends range from 4 to 6 mm/year for Malaysia with a few regions showing up to 9 mm/year due to human-induced land subsidence.","relative sea-level rise; vertical land motion; tide gauge; satellite altimetry; plate deformation; GNSS","en","journal article","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:5cd3feeb-565b-4ca9-b536-9cf7ae0f931d","http://resolver.tudelft.nl/uuid:5cd3feeb-565b-4ca9-b536-9cf7ae0f931d","Exploring High-Precision Non-Assembly Mechanisms: Design of a Vitrectome Mechanism for Eye Surgery","Lussenburg, K.M. (TU Delft Medical Instruments & Bio-Inspired Technology); Scali, Marta (Dutch Ophthalmic Research Center International (DORC)); Stolk, Maarten (Student TU Delft); Robijns, D. (Student TU Delft); Sakes, A. (TU Delft Medical Instruments & Bio-Inspired Technology); Breedveld, P. (TU Delft Medical Instruments & Bio-Inspired Technology)","","2023","A vitrectome is a commonly used instrument in eye surgery, which is used to cut and aspirate the vitreous body out of the eye. The mechanism of the vitrectome consists of miniature components that need to be assembled by hand due to their size. Non-assembly 3D printing, in which fully functional mechanisms can be produced in a single production step, can help create a more streamlined production process. We propose a vitrectome design based on a dual-diaphragm mechanism, which can be produced with minimal assembly steps using PolyJet printing. Two different diaphragm designs were tested to fulfill the requirements of the mechanism: a homogenous design based on ‘digital’ materials and a design using an ortho-planar spring. Both designs were able to fulfill the required displacement for the mechanism of 0.8 mm, as well as cutting forces of at least 8 N. The requirements for the cutting speed of the mechanism of 8000 RPM were not fulfilled by both designs, since the viscoelastic nature of the PolyJet materials resulted in a slow response time. The proposed mechanism does show promise to be used in vitrectomy; however, we suggest that more research into different design directions is required.","additive manufacturing; PolyJet; non‐assembly; eye surgery; multi‐material; high‐precision","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:c7ddac8a-3af4-4dbe-820e-36fd32460fd2","http://resolver.tudelft.nl/uuid:c7ddac8a-3af4-4dbe-820e-36fd32460fd2","Value-Driven System Design of Utility-Scale Airborne Wind Energy","Joshi, R. (TU Delft Wind Energy); Kruijff, Michiel (Ampyx Power); Schmehl, R. (TU Delft Wind Energy)","","2023","In the current auction-based electricity market, the design of utility-scale renewable energy systems has traditionally been driven by the levelised cost of energy (LCoE). However, the market is gradually moving towards a subsidy-free era, which will expose the power plant owners to the fluctuating prices of electricity. This paper presents a computational approach to account for the influence of time-varying electricity prices on the design of airborne wind energy (AWE) systems. The framework combines an analytical performance model, providing the power curve of the system, with a wind resource characterisation based on ERA5 reanalysis data. The resulting annual energy production (AEP) model is coupled with a parametric cost model based on reference prototype data from Ampyx Power B.V. extended by scaling laws. Ultimately, an energy price model using real-life data from the ENTSO-E platform maintained by the association of EU transmission system operators was used to estimate the revenue profile. This framework was then used to compare the performance of systems based on multiple economic metrics within a chosen design space. The simulation results confirmed the expected behaviour that the electricity produced at lower wind speeds has a higher value than that produced at higher wind speeds. To account for this electricity price dependency on wind speeds in the design process, we propose an economic metric defined as the levelised profit of energy (LPoE). This approach determines the trade-offs between designing a system that minimises cost and designing a system that maximises value.","airborne wind energy; day-ahead electricity market; merit order effect; levelised cost of energy; levelised profit of energy; system design; value factor","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:9b5d8b11-119a-4e5f-a2ee-b525dd23defc","http://resolver.tudelft.nl/uuid:9b5d8b11-119a-4e5f-a2ee-b525dd23defc","Role of physical attributes of preferred building facades on perceived visual complexity: a discrete choice experiment","Hashemi Kashani, S. Mahdi (Golestan University); Pazhouhanfar, Mahdieh (Golestan University); van Oel, C.J. (TU Delft Design & Construction Management)","","2023","Complexity has been known as a crucial psychological factor influencing the evaluation of the building facades preferences. However, little is known about the role of physical attributes of preferred building facades on perceived visual complexity. The objective of this study is to assess perceived visual complexity of urban building facades in terms of physical attribute in different levels. Discrete choice experiments were used to study the perceived visual complexity of preferred building facades. A sample of 213 students from Golestan University evaluated preference and perceived visual complexity of 36 pairs of images based on ten physical attributes of building facades in different levels (material (brick, stone), the contrast of materials (absent, present), color (absent, present), ornament (high, low), curve (straight, curved), vegetation (plants, no plants), windows orientations (vertical, horizontal), fenestration (large, small), articulation (side recesses, flat) and architectural style (modern, classic, traditional). The results revealed that all physical attributes of preferred building facades were found significant on perceived visual complexity expect for three attributes: architectural style, color and window to wall size. Thus, participant preferred a high-ornament facade with curved lines, vegetation, classical style, articulation, contrast between materials, as well as vertical windows. The articulation and ornament attributes were the most significant on perceived visual complexity. The results of this study can help city planners, architects, and designers to design facades with more general preferences and reduce the visual pollution of the cities.","Building facades; Information-processing theory; Multinomial logit model; Visual complexity","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-12","","","Design & Construction Management","","",""
"uuid:2b4ecc26-fcf3-4969-b3cf-9a26eac5e671","http://resolver.tudelft.nl/uuid:2b4ecc26-fcf3-4969-b3cf-9a26eac5e671","The case of the golden background, a virtual restoration and a physical reconstruction of the medieval Crucifixion of the Lindau Master (c. 1425)","Tissen, L.N.M. (TU Delft Team Joris Dik; Universiteit Leiden); Frequin, Sanne (Universiteit Utrecht); Wiersma, R.T. (TU Delft Computer Graphics and Visualisation)","","2023","Cleaning and provisional restoration treatments on The Crucifixion (1425) revealed that the visible azurite layer obscures an originally golden background. This leads to the question of whether or not the azurite should be removed as it is not original, or should be kept as part of the panel’s history. To overcome this dilemma, this paper presents a methodological solution of approaching this problem by combining the knowledge of art historians, restorers and by integrating modern 3D technologies before, during, and after the restoration. By creating a modifiable digital model, a virtual restoration can potentially attribute to analyzing and visualizing optical changes due to restoration treatments. Additionally, multiple 3D-printed facsimiles physicalize these adaptations. A facsimile’s value is demonstrated by analyzing the diversity of meanings an artwork can have in terms of authenticity whilst respecting the artwork's material and social integrity. The 3D prints be decisive in the restoration of the panel.","","en","journal article","","","","","","","","","","","Team Joris Dik","","",""
"uuid:607d3aa7-870a-479e-aa70-56709aee326f","http://resolver.tudelft.nl/uuid:607d3aa7-870a-479e-aa70-56709aee326f","Public participation and consensus-building in urban planning from the lens of heritage planning: A systematic literature review","Foroughi, M. (TU Delft Heritage & Architecture); de Andrade, Bruno (TU Delft Heritage & Architecture); Pereira Roders, A. (TU Delft Heritage & Architecture); Wang, T. (TU Delft Design & Construction Management)","","2023","Public participation has been growing in both theory and practice of urban planning, including heritage planning. The reasoning is to facilitate the involvement of a broader group of stakeholders, beyond experts. More specifically, for heritage planning, participation could enable consensus-building on defining the significance of heritage, namely attributes (the resources that should be listed as heritage), and values (the reasons that attributes are important). However, there is not yet a holistic understanding of the influencing factors behind consensus-building in the participatory planning processes for cultural heritage. To evaluate existing research from this angle, a systematic literature review was conducted on peer-reviewed articles using the Scopus database. As most of the studies focuse on urban planning, this research examines the factors influencing consensus-building in the participatory planning process applied to urban and heritage planning and reflects on the applicability of these factors in heritage planning. The main factors were identified inductively and grouped into two categories: 1) public participation: actors, methods, and levels of public participation, and 2) consensus: approaches, and conflicts. The relations between these factors and their frequencies are investigated using statistical analysis methods, namely frequency analysis, independent-samples t-test, and Spearman correlation. The literature confirms that urban planning has applied more diverse methods and tools for public participation compared to studies in the field of heritage planning, and could inspire heritage planning. Conflict is recognized as an intertwined concept with consensus which is considered either as a challenge or as a necessity for an inclusive decision-making. By proposing a framework integrating these factors and sub-factors and illustrating their relationships, this research could also be useful for decision-makers and practitioners to better tailor the public participation process and means to implement it, considering the relevant factors involved.","Public participation; Consensus; Attribute; Conflict; Value; Cultural heritage","en","journal article","","","","","","","","","","","Heritage & Architecture","","",""
"uuid:cb5d3c98-c459-45cd-a9d8-a2350a315431","http://resolver.tudelft.nl/uuid:cb5d3c98-c459-45cd-a9d8-a2350a315431","Improving orbit prediction via thermospheric density calibration","Callejon Cantero, M. (Student TU Delft; GMV Innovating Solutions); Pastor-Rodriguez, A. (GMV Innovating Solutions); Siemes, C. (TU Delft Astrodynamics & Space Missions)","Flohrer, T. (editor); Moissl, R. (editor); Schmitz, F. (editor)","2023","The uncertainty on Thermospheric Mass Density (TMD), as derived from atmospheric models, can reach extremely high values. This effect is noteworthy in Low Earth Orbit (LEO), where atmospheric drag is the main perturbing force, as well as the most uncertain. LEO harbours almost 18,000 space objects at the end of 2021, around 60% of the total space debris population, and the rate of growth is increasing every year. Increasing the accuracy of TMD models, and thus the uncertainty characterisation, is important to ensure space environment sustainability in this congested and contested region. Accurate TMD modelling is a decisive factor in all space applications below the exopause, from LEO mission design to Space Situational Awareness (SSA) service provision: from conjunction assessment to re-entry and fragmentation analysis To enhance empirical TMD models, atmospheric density observations derived from satellite measurements are assimilated.
This paper presents a novel approach for assimilating thermospheric density observations into atmospheric models to improve the accuracy of orbit predictions in short- to medium- term propagations. First, Global Navigation Satellite System (GNSS) derived density data from Swarm satellites are ingested from the publicly available Level 2 data products of the European Space Agency (ESA). In a second step, density data is assimilated into the empirical model NRMLSISE-00, using Principal Component Analysis (PCA) to decompose into the main temporal and spatial modes, providing useful physical insight into the main variables driving the model. Thirdly, the model is tested on several cases, whose data was not assimilated, such as LEO satellites that are well-tracked with GNSS-derived positions: Sentinel, and GRACE. The model is also tested with objects with less accurate reference trajectories, such as catalogued space debris in LEO. Finally, the orbits are propagated, using the improved drag model that includes the neutral density from the assimilation of the GNSS-derived observations into NLRMSISE-00. The accuracy of the method is assessed and compared to non-assimilated models. During the discussion of the results, other sources of uncertainty are analysed. To name a few, geomagnetic activity, solar radiation pressure coefficient, attitude knowledge, and spacecraft parameters such as mass, area, drag coefficient, and so on. The improvement on the state accuracy and uncertainty realism after a medium-term propagation is analysed and the application to catalogue maintenance discussed.
1 continuity requirements for modeling gradients in flexoelectricity are met by non-uniform rational B-splines (NURBS) basis functions used in XIGA. In this work, XIGA-based computational model is developed and implemented to study the fracture behavior of the piezoelectric-flexoelectric domain. An in-house MATLAB code is developed for the same. Several numerical examples are studied to ensure the efficacy and efficiency of the implemented model, and crack behavior is presented in the form of an electro-mechanical J-integral. The analysis is carried out to investigate how cracks behave for different flexoelectric coefficients under different electrical and mechanical loading combinations. J-integral is also analyzed against crack parameters such as crack orientation and length. It is observed that boundary loads and flexoelectric material constants significantly influence J-integral. Results also show a considerable amount of fracture toughening in the presence of flexoelectricity. The peak value of J-integral is found to be reduced with an increase in the flexoelectric coefficient. A significant reduction in J-integral, as much as 45%, is observed when the flexoelectric constant varied from 0.5 to 2 µCm−1.","flexoelectricity; fracture mechanics; isogeometric analysis; piezoelectricity; XIGA","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-06","","","Transport Engineering and Logistics","","",""
"uuid:db46875d-2b12-42a5-8861-277b46f57f2d","http://resolver.tudelft.nl/uuid:db46875d-2b12-42a5-8861-277b46f57f2d","Very long baseline interferometry observations of the high-redshift blazar candidate J0141–5427","Gabanyi, K.E. (Eötvös Loránd University); Belladitta, S. (INAF Istituto di Radioastronomia); Frey, S. (Eötvös Loránd Research Network); Orosz, G. (Joint Institute for VLBI ERIC); Gurvits, L. (TU Delft Astrodynamics & Space Missions; Joint Institute for VLBI ERIC; CSIRO Astronomy and Space Sciences); Rozgonyi, Krisztina (University of Vienna); An, T. (Shanghai Astronomical Observatory, Shanghai); Cao, H (Huanggang Normal University); Paragi, Z (Joint Institute for VLBI ERIC); Perger, Krisztina (Eötvös University; Konkoly Observatory Hungarian Academy of Sciences)","","2023","Active galactic nuclei (AGN) have been observed as far as redshift. They are crucial in investigating the early Universe as well as the growth of supermassive black holes at their centres. Radio-loud AGN with their jets seen at a small viewing angle are called blazars and show relativistic boosting of their emission. Thus, their apparently brighter jets are easier to detect in the high-redshift Universe. DES J014132.4-542749.9 is a radio-luminous but X-ray weak blazar candidate at. We conducted high-resolution radio interferometric observations of this source with the Australian Long Baseline Array at and GHz. A single, compact radio-emitting feature was detected at both frequencies with a flat radio spectrum. We derived the milliarcsecond-level accurate position of the object. The frequency dependence of its brightness temperature is similar to that of blazar sources observed at lower redshifts. Based on our observations, we can confirm its blazar nature. We compared its radio properties with those of two other similarly X-ray-weak and radio-bright AGN, and found that they show very different relativistic boosting characteristics.","active galactic nuclei; very long baseline interferometry; galaxies: high-redshift","en","journal article","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:9bff63fe-e0b2-4376-9762-c8947edf0ebd","http://resolver.tudelft.nl/uuid:9bff63fe-e0b2-4376-9762-c8947edf0ebd","Identification of internal damages in reinforced concrete slabs using probability density field of acoustic emission events","Zhang, F. (TU Delft Concrete Structures); Yang, Y. (TU Delft Concrete Structures); Hendriks, M.A.N. (TU Delft Concrete Structures; Norwegian University of Science and Technology (NTNU))","","2023","To assess the structural capacity of reinforced concrete structures, identifying the internal cracks is important. Acoustic emission (AE) is promising to estimate the location of internal cracks. However, the localization is influenced by many factors like arrival time picking error, presence of crack, etc., resulting in localization error. The error cannot be entirely removed. Considering the inevitable localization error, a probabilistic method was recently developed by the authors. The method estimates the probability of the location of AE events, creating a probability density field of AE events (pdAE field). This method can possibly improve the identification of internal damages. This paper evaluates the performance the pdAE field in identifying internal damages in a reinforced concrete slab. The slab was loaded to failure by a point load. Compared to the conventional localization results, the pdAE field showed a clearer internal crack pattern. Moreover, calculation of the pdAE field was time efficient, thus was suitable for real-time monitoring. With these benefits, the pdAE field indicated the failure of the slab before it occurred.","acoustic emission source localizaton; probability density field; damage identification; internal damages; reinforced concrete structures; Acoustic Emission; source localization","en","journal article","","","","","","","","","","","Concrete Structures","","",""
"uuid:00c05443-126a-4040-a080-81b4e6205780","http://resolver.tudelft.nl/uuid:00c05443-126a-4040-a080-81b4e6205780","Cloud manufacturing for industrialized construction: Opportunities and challenges for a new manufacturing model","Čustović, I. (TU Delft Design & Construction Management); Cao, Jianpeng (ETH Zürich); Hall, Daniel M. (TU Delft Design & Construction Management; ETH Zürich)","","2023","More widespread use of industrialized construction (IC) is hampered by the high capital cost of advanced production facilities paired with low profit margins. A novel service-oriented cloud manufacturing (CMfg) model could in theory increase utilization and profitability of distributed production facilities. However, little research has investigated how IC can benefit from the CMfg model. This paper examines opportunities and challenges of applying CMfg for IC. First, an adapted model of CMfg for construction is developed based on a literature review. Second, four possible scenarios for applying this adapted CMfg model are designed. Finally, an evaluation is performed through a survey among 25 practitioners and 12 in-depth interviews with industry experts. The paper assesses the desirability and categorizes the benefits and barriers of such a CMfg platform for IC. The results suggest that CMfg could enhance the design quality, support IC suitability assessment for project developers and lower financial risks for off-site manufacturers.","Cloud manufacturing; Industrialized construction; Off-site construction; AEC; Industry 4.0","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:46ae5707-2fdc-4c1d-a605-bff8ca5fbe9c","http://resolver.tudelft.nl/uuid:46ae5707-2fdc-4c1d-a605-bff8ca5fbe9c","Operating Room Performance Optimization Metrics: a Systematic Review","Schouten, A.M. (TU Delft Medical Instruments & Bio-Inspired Technology); Flipse, S.M. (TU Delft Science Education and Communication); van Nieuwenhuizen, Kim E. (Leiden University Medical Center); Jansen, F.W. (TU Delft Medical Instruments & Bio-Inspired Technology; Leiden University Medical Center); van der Eijk, A.C. (Leiden University Medical Center); van den Dobbelsteen, J.J. (TU Delft Medical Instruments & Bio-Inspired Technology; Leiden University Medical Center)","","2023","Literature proposes numerous initiatives for optimization of the Operating Room (OR). Despite multiple suggested strategies for the optimization of workflow on the OR, its patients and (medical) staff, no uniform description of ‘optimization’ has been adopted. This makes it difficult to evaluate the proposed optimization strategies. In particular, the metrics used to quantify OR performance are diverse so that assessing the impact of suggested approaches is complex or even impossible. To secure a higher implementation success rate of optimisation strategies in practice we believe OR optimisation and its quantification should be further investigated. We aim to provide an inventory of the metrics and methods used to optimise the OR by the means of a structured literature study. We observe that several aspects of OR performance are unaddressed in literature, and no studies account for possible interactions between metrics of quality and efficiency. We conclude that a systems approach is needed to align metrics across different elements of OR performance, and that the wellbeing of healthcare professionals is underrepresented in current optimisation approaches.","Operation Room; Optimization; Performance Metrics; Workflow","en","review","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:f673c794-ad32-410d-9f14-ed680b93b4fd","http://resolver.tudelft.nl/uuid:f673c794-ad32-410d-9f14-ed680b93b4fd","Influence of neighbouring damage on delamination growth in multiple indented composites","Huo, L. (TU Delft Structural Integrity & Composites); Kassapoglou, C. (TU Delft Aerospace Structures & Computational Mechanics); Alderliesten, R.C. (TU Delft Structural Integrity & Composites)","","2023","To improve current design approaches for composite structures, it is required to further investigate the damage interaction effects of composite materials under multiple out-of-plane concentrated loads. It is first necessary to comprehend the dependence of the effective delamination threshold, which describes whether a delamination grows on pre-existing delamination damage in composite laminates. A combined experimental and numerical study is presented, in which two sequential out-of-plane quasi-static indentations are applied to fully clamped composite laminated panels, with changing distances between the two indentation locations changing. The results show that the second indentation delamination is more likely to propagate, particularly in the straight-line direction from the second indentation site to the first one, which can be interpreted as a decrease in the effective delamination threshold associated with microcracks ahead of the delamination front. The relevant percentage reduction is 37% and is independent of the imposed indentation load. As a crucial take-away, designers should be mindful that the damage interaction effects could result in greater damage than the sum of the individual cases.","Polymer-matrixcomposites; Quasi-staticindentation; Delaminationgrowth; Delaminationlink-up","en","journal article","","","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:36d62513-a2c9-47c5-8c4e-2e166391971d","http://resolver.tudelft.nl/uuid:36d62513-a2c9-47c5-8c4e-2e166391971d","Hydrogen Embrittlement of Inconel 718 Manufactured by Laser Powder Bed Fusion Using Sustainable Feedstock: Effect of Heat Treatment and Microstructural Anisotropy","Mohandas, N.K. (TU Delft Team Vera Popovich); Giorgini, Alex (F3nice); Vanazzi, Matteo (F3nice); Riemslag, A.C. (TU Delft Team Vera Popovich); Scott, S.P. (TU Delft Team Vera Popovich); Popovich, V. (TU Delft Team Vera Popovich)","","2023","This study investigated the in-situ gaseous (under 150 bar) hydrogen embrittlement behaviour of additively manufactured (AM) Inconel 718 produced from sustainable feedstock. Here, sustainable feedstock refers to the Inconel 718 powder produced by vacuum induction melting inert gas atomisation of failed printed parts or waste from CNC machining. All Inconel 718 samples, namely AM-as-processed, AM-heat-treated and conventional samples showed severe hydrogen embrittlement. Additionally, it was found that despite its higher yield strength (1462 ± 8 MPa) and the presence of δ phase, heat-treated AM Inconel 718 demonstrates 64% lower degree of hydrogen embrittlement compared to the wrought counterpart (Y.S. 1069 ± 4 MPa). This was linked to the anisotropic microstructure induced by the AM process, which was found to cause directional embrittlement unlike the wrought samples showing isotropic embrittlement. In conclusion, this study shows that AM Inconel 718 produced from recycled feedstock shows better hydrogen embrittlement resistance compared to the wrought sample. Furthermore, the unique anisotropic properties, seen in this study for Inconel 718 manufactured by laser powder bed fusion, could be considered further in component design to help minimise the degree of hydrogen embrittlement.","Inconel 718; hydrogen embrittlement; additive manufacturing; recycled powder; laser powder bed fusion; anisotropy","en","journal article","","","","","","","","","","","Team Vera Popovich","","",""
"uuid:188ee1cc-65bd-4eee-aebc-da4cdd25bf80","http://resolver.tudelft.nl/uuid:188ee1cc-65bd-4eee-aebc-da4cdd25bf80","Rheology control of limestone calcined clay cement pastes by modifying the content of fine-grained metakaolin","Chen, Y. (TU Delft Materials and Environment); Zhang, Y. (TU Delft Materials and Environment); He, S. (TU Delft Materials and Environment); Liang, M. (TU Delft Materials and Environment); Zhang, Yamei (Southeast University); Schlangen, E. (TU Delft Materials and Environment); Copuroglu, Oguzhan (TU Delft Materials and Environment)","","2023","Limestone-calcined clay-cement (LC3), as one of the most promising sustainable cements, has been under development over the past decade. However, many uncertainties remain regarding its rheological behaviors, such as the metakaolin content of calcined clay. This study aims to investigate the effect of increasing the content of fine-grained metakaolin in calcined clay on the rheology of LC3 pastes. Rheological behaviors and early-age hydration of studied mixtures were characterized using flow curve, constant shear rate, small amplitude oscillatory shear and isothermal calorimetry tests. Results show that increasing the content of fine-grained metakaolin decreased flowability but promoted structural build-up and early-age hydration. These phenomena can be attributed to the decrease of mean interparticle distance caused by the increased amount of fine-grained metakaolin, which may enhance colloidal interactions, C-S-H nucleation and direct contact between particles. Overall, modifying the fine-grained metakaolin content is a feasible approach to control the rheology of LC3 pastes.","limestone-calcined clay-cement; metakaolin; rheology; storage modulus; structural build-up; yield stress","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:a34236b8-ab61-475b-839a-9bd75816e4cf","http://resolver.tudelft.nl/uuid:a34236b8-ab61-475b-839a-9bd75816e4cf","Force-based assessment of tissue handling skills in simulation training for robot-assisted surgery","Rahimi, A. Masie (Amsterdam UMC; Amsterdam Skills Centre for Health Sciences; Cancer Center Amsterdam); Hardon, S.F. (TU Delft Medical Instruments & Bio-Inspired Technology; Amsterdam UMC); Willuth, E. (University of Heidelberg); Lang, F. (University of Heidelberg); Haney, Caelan M. (University of Heidelberg); Felinska, Eleni A. (University of Heidelberg); Kowalewski, Karl Friedrich (University of Heidelberg); Müller-Stich, Beat P. (University of Heidelberg); Horeman, T. (TU Delft Medical Instruments & Bio-Inspired Technology); Nickel, F. (University of Heidelberg); Daams, Freek (Amsterdam UMC)","","2023","Introduction: Although robotic-assisted surgery is increasingly performed, objective assessment of technical skills is lacking. The aim of this study is to provide validity evidence for objective assessment of technical skills for robotic-assisted surgery. Methods: An international multicenter study was conducted with participants from the academic hospitals Heidelberg University Hospital (Germany, Heidelberg) and the Amsterdam University Medical Centers (The Netherlands, Amsterdam). Trainees with distinctly different levels of robotic surgery experience were divided into three groups (novice, intermediate, expert) and enrolled in a training curriculum. Each trainee performed six trials of a standardized suturing task using the da Vinci Surgical System. Using the ForceSense system, five force-based parameters were analyzed, for objective assessment of tissue handling skills. Mann–Whitney U test and linear regression were used to analyze performance differences and the Wilcoxon signed-rank test to analyze skills progression. Results: A total of 360 trials, performed by 60 participants, were analyzed. Significant differences between the novices, intermediates and experts were observed regarding the total completion time (41 s vs 29 s vs 22 s p = 0.003), mean non zero force (29 N vs 33 N vs 19 N p = 0.032), maximum impulse (40 Ns vs 31 Ns vs 20 Ns p = 0.001) and force volume (38 N3 vs 32 N3 vs 22 N3p = 0.018). Furthermore, the experts showed better results in mean non-zero force (22 N vs 13 N p = 0.015), maximum impulse (24 Ns vs 17 Ns p = 0.043) and force volume (25 N3 vs 16 N3p = 0.025) compared to the intermediates (p ≤ 0.05). Lastly, learning curve improvement was observed for the total task completion time, mean non-zero force, maximum impulse and force volume (p ≤ 0.05). Conclusion: Construct validity for force-based assessment of tissue handling skills in robot-assisted surgery is established. It is advised to incorporate objective assessment and feedback in robot-assisted surgery training programs to determine technical proficiency and, potentially, to prevent tissue trauma.","Force measurements; Objective assessment; Robot tissue manipulation; Robotic surgery training; Robotic-assisted surgery; Simulation training","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:152c8398-d6f9-478f-8cc9-bd2d2aa2819c","http://resolver.tudelft.nl/uuid:152c8398-d6f9-478f-8cc9-bd2d2aa2819c","Dynamic characteristics of skin reaction force in different body postures","Marsidi, Nick (Leiden University Medical Center; Ziekenhuisgroep Twente); Scheepens, Karlijn M.J. (Leiden University Medical Center; Student TU Delft); Goeman, Jelle J. (Leiden University Medical Center); Horeman, T. (TU Delft Medical Instruments & Bio-Inspired Technology); Genders, Roel E. (Leiden University Medical Center; Roosevelt kliniek)","","2023","Mechanical stress influences scarring of a surgical wound. Several lines have been proposed for the best excision direction. It is unknown if these lines still apply when the body posture changes. The objective is to measure the skin reaction force in four directions and determine the direction of least force. Secondary objective is to determine if the reaction force varies in a different body posture. Skin reaction force was measured with the compressiometer in 30 participants on four different locations (forearm/upper arm/shoulder blade/lower back) in four directions (0°–45°–90°–135°) and two body postures. The direction of least skin reaction force changed with a different body posture and was significant for the forearm (p < 0.01) and shoulder blade (p = 0.05) The skin reaction force in all four direction changed significantly in a different body posture, except the 45° line in the upper arm and shoulder blade. Our results demonstrate that the skin reaction force in four directions in four locations varies with change in body posture. Focus should therefore not only lay on choosing the right direction, but also on managing skin tension postoperatively.","","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:5acda8cb-62da-49c1-807a-02cc3b78224c","http://resolver.tudelft.nl/uuid:5acda8cb-62da-49c1-807a-02cc3b78224c","Correction to Mapping the flat glass value-chain: a material flow analysis and energy balance of UK production (Glass Structures & Engineering, (2022), 10.1007/s40940-022-00195-9)","Hartwell, R.C. (University of Cambridge); Coult, Graham (Eckersley O'Callaghan); Overend, M. (TU Delft Architectural Technology)","","2023","This article has been amended to correct the in-text figure and table referencing and to provide clarification in Table 1 that automotive flat glass production does not take place in the UK. The Supplementary Information has been updated to correct reported units and clarify production processes. The original article has been corrected.","","en","journal article","","","","","","","","","","","Architectural Technology","","",""
"uuid:095a0808-c5b3-4517-8a7b-73036455ecad","http://resolver.tudelft.nl/uuid:095a0808-c5b3-4517-8a7b-73036455ecad","Archaeological Podocarpus tar supports the cognitive complexity of Neanderthals","Kozowyk, P.R.B. (TU Delft Team Joris Dik)","","2023","","","en","journal article","","","","","","","","","","","Team Joris Dik","","",""
"uuid:361563b6-2b26-40e8-9d4c-ffe7a763b610","http://resolver.tudelft.nl/uuid:361563b6-2b26-40e8-9d4c-ffe7a763b610","Probabilistic Concurrency Testing for Weak Memory Programs","Gao, Mingyu (Student TU Delft); Chakraborty, S.S. (TU Delft Programming Languages); Kulahcioglu Ozkan, Burcu (TU Delft Software Engineering)","Aamodt, Tor M. (editor); Jerger, Natalie Enright (editor); Swift, Michael (editor)","2023","The Probabilistic Concurrency Testing (PCT) algorithm that provides theoretical guarantees on the probability of detecting concurrency bugs does not apply to weak memory programs. The PCT algorithm builds on the interleaving semantics of sequential consistency, which does not hold for weak memory concurrency. It is because weak memory concurrency allows additional behaviors that cannot be produced by any interleaving execution. In this paper, we generalize PCT to address weak memory concurrency and present Probabilistic Concurrency Testing for Weak Memory (PCTWM). We empirically evaluate PCTWM on a set of well-known weak memory program benchmarks in comparison to the state-of-the-art weak memory testing tool C11Tester. Our results show that PCTWM can detect concurrency bugs more frequently than C11Tester.","Concurrency; Randomized algorithms; Testing; Weak memory","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Programming Languages","","",""
"uuid:ac257997-91ac-463f-9f54-500e7ccfc01d","http://resolver.tudelft.nl/uuid:ac257997-91ac-463f-9f54-500e7ccfc01d","Tell Don't Show: The invisible plague in seventeenth-century Dutch interior paintings","Cieraad, I.G. (TU Delft Situated Architecture)","Sparke, Penny (editor); Ioannidou, Ersi (editor); Kirkham, Pat (editor); Knott, Stephen (editor); Scholze, Jana (editor)","2023","Throughout most of the seventeenth century plague epidemics raged through Dutch cities and took their toll in an enormous loss of life. However, seventeenth-century paintings of domestic life do not show the sorrow or the death toll, but portray healthy, thriving mothers and children in sunlit interiors. The sunny imagery of the seventeenth-century painting is so strong that it defies the historic reality of the countless plague victims. In a strange contradiction, up until the Dutch language harbours numerous references to The Plague, or pest as it is called in Dutch. My perception of the glorious Dutch Golden Age, and the sunny imagery if seventeenth-century interior paintings in particular, changed after reading the published transcripts of seventeenth-century Dutch letters written by women to their husbands at sea. What struck me most were the women's heartbreaking accounts of the loss of children due to The Plague. I have since tried to detect evidence of this daily reality in the paintings, given that art historians have warned against their deceptive realism.","","en","book chapter","Bloomsbury","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-23","","","Situated Architecture","","",""
"uuid:b5c214a5-112c-4003-921a-e6d0693dd74c","http://resolver.tudelft.nl/uuid:b5c214a5-112c-4003-921a-e6d0693dd74c","Trade for catch-up: examining how global value chains participation affects productive efficiency","Ndubuisi, G.O. (TU Delft Economics of Technology and Innovation; Universiteit Maastricht; German Institute of Development and Sustainability); Owusu, Solomon (Universiteit Maastricht; German Institute of Development and Sustainability; University of Oxford)","","2023","A substantial part of production and trade now takes place through global value chains (GVC), making it an essential conduit of knowledge spillover and technology transfer. Yet, extant studies examining how countries become productively efficient and catch-up to the global efficiency frontier through international trade have ignored the possible role of GVC in fast-tracking this process. This paper provides the first empirical evidence that fills this knowledge gap. We propose a two-stage empirical strategy to this end. First, we use a data envelopment analysis (DEA) approach to compute a measure of productive efficiency—defined herewith as a country’s relative productive efficiency to the global productive efficiency frontier. Second, we use the productive efficiency index as an outcome variable in a reduced-form equation that controls for GVC participation and its interaction with country characteristics that influence the gains from GVC participation. In addition to using the panel fixed effect method, we estimate the reduced-form equation with the difference-GMM to address endogeneity issues, and the Tobit and Fractional Response models to address the bounded nature of the productive efficiency index. We find strong evidence suggesting that GVC participation enables technology-lagging countries to become more productively efficient as well as catch-up to the global efficiency frontier. We also find that the productive efficiency and catch-up gains from GVC participation accrue more strongly to countries that have a high human capital stock, a well-functioning financial market, maintain stable macroeconomic conditions, and specialize in downstream activities in the value chain.","Catch-up; F00; F01; F14; Global value chains; Production networks; Productive efficiency; Upstream specialization","en","journal article","","","","","","","","","","","Economics of Technology and Innovation","","",""
"uuid:84bd18e2-e9c1-4d83-8ba8-dfdf92ca6f3a","http://resolver.tudelft.nl/uuid:84bd18e2-e9c1-4d83-8ba8-dfdf92ca6f3a","Severity-based Hierarchical ECG Classification using Neural Networks","Diware, S.S. (TU Delft Computer Engineering); Dash, Sudeshna (ASML); Gebregiorgis, A.B. (TU Delft Computer Engineering); Joshi, Rajiv V. (IBM Thomas J. Watson Research Centre); Strydis, C. (TU Delft Computer Engineering; Erasmus MC); Hamdioui, S. (TU Delft Quantum & Computer Engineering); Bishnoi, R.K. (TU Delft Computer Engineering)","","2023","Timely detection of cardiac arrhythmia characterized by abnormal heartbeats can help in the early diagnosis and treatment of cardiovascular diseases. Wearable healthcare devices typically use neural networks to provide the most convenient way of continuously monitoring heart activity for arrhythmia detection. However, it is challenging to achieve high accuracy and energy efficiency in these smart wearable healthcare devices. In this work, we provide architecture-level solutions to deploy neural networks for cardiac arrhythmia classification. We have created a hierarchical structure after analyzing various neural network topologies where only required network components are activated to improve energy efficiency while maintaining high accuracy. In our proposed architecture, we introduce a severity-based classification approach to directly help the users of the wearable healthcare device as well as the medical professionals. Additionally, we have employed computation-in-memory based hardware to improve energy efficiency and area consumption by leveraging in-situ data processing and scalability of emerging memory technologies such as resistive random access memory (RRAM). Simulation experiments conducted using the MIT-BIH arrhythmia dataset show that the proposed architecture provides high accuracy while consuming average energy of 0.11 $\mu$J per heartbeat classification and 0.11 mm2 area, thereby achieving 25× improvement in average energy consumption and 12× improvement in area compared to the state-of-the-art.","ECG; arrhythmia; severity-based classification; neural networks; computation-in-memory; resistive random access memory (RRAM)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-07","","Quantum & Computer Engineering","Computer Engineering","","",""
"uuid:850096e5-bdf2-4215-8969-9f1c53928b50","http://resolver.tudelft.nl/uuid:850096e5-bdf2-4215-8969-9f1c53928b50","Approximating piecewise nonlinearities in dynamic systems with sigmoid functions: advantages and limitations","Martinelli, Cristiano (University of Strathclyde); Coraddu, A. (TU Delft Ship Design, Production and Operations); Cammarano, Andrea (University of Glasgow)","","2023","In the industry field, the increasingly stringent requirements of lightweight structures are exposing the ultimately nonlinear nature of mechanical systems. This is extremely true for systems with moving parts and loose fixtures which show piecewise stiffness behaviours. Nevertheless, the numerical solution of systems with ideal piecewise mathematical characteristics is associated with time-consuming procedures and a high computational burden. Smoothing functions can conveniently simplify the mathematical form of such systems, but little research has been carried out to evaluate their effect on the mechanical response of multi-degree-of-freedom systems. To investigate this problem, a slightly damped mechanical two-degree-of-freedom system with soft piecewise constraints is studied via numerical continuation and numerical integration procedures. Sigmoid functions are adopted to approximate the constraints, and the effect of such approximation is explored by comparing the results of the approximate system with the ones of the ideal piecewise counter-part. The numerical results show that the sigmoid functions can correctly catch the very complex dynamics of the proposed system when both the above-mentioned techniques are adopted. Moreover, a reduction in the computational burden, as well as an increase in numerical robustness, is observed in the approximate case.","Basins of attraction; Non-smooth systems; Nonlinear dynamics; Numerical continuation; Period doubling isolated solutions; Piecewise stiffness; Sigmoid functions","en","journal article","","","","","","","","","","","Ship Design, Production and Operations","","",""
"uuid:1ad24111-700c-46b1-b98c-a58ed04da0dc","http://resolver.tudelft.nl/uuid:1ad24111-700c-46b1-b98c-a58ed04da0dc","Guest Editorial: Special Section on Active Distribution Networks: Markets, Operations, Planning, and Regulation","Palensky, P. (TU Delft Intelligent Electrical Power Grids); Chen, Qixin (Tsinghua University); Rider, Marcos J. (University of Campinas)","","2023","","","en","contribution to periodical","","","","","","","","","","","Intelligent Electrical Power Grids","","",""
"uuid:7a88d8da-6d4a-4798-a6ec-fc147519a30d","http://resolver.tudelft.nl/uuid:7a88d8da-6d4a-4798-a6ec-fc147519a30d","Optimizing single-molecule experimental approaches for the study of complex protein assemblies on DNA","Dekker, N.H. (TU Delft BN/Nynke Dekker Lab; Kavli institute of nanoscience Delft)","","2023","","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-10","","","BN/Nynke Dekker Lab","","",""
"uuid:666992b1-6316-4437-8d9a-a1d928b0ac2b","http://resolver.tudelft.nl/uuid:666992b1-6316-4437-8d9a-a1d928b0ac2b","The effect of trust on the choice for crowdshipping services","Cebeci, M.S. (TU Delft Transport and Planning); Tapia, Rodrigo Javier (TU Delft Transport and Planning; Significance); Kroesen, M. (TU Delft Transport and Logistics); de Bok, M.A. (TU Delft Transport and Planning; Significance); Tavasszy, Lorant (TU Delft Transport and Planning; TU Delft Transport and Logistics)","","2023","The fast growth of e-commerce in urban areas has led to a surge in last-mile transportation demand and an associated increase of external effects: congestion, noise and visual pollution. This paper analyses a new urban freight transport service that has a potential to reduce this footprint: crowdshipping. Crowdshipping is a service where a package is delivered via a traveller who is already making a personal trip for other purposes. The decision of whether or not to use crowdshipping is known to be subject to various service, time and price conditions, including trust in a correct delivery. The effect of trust has not been investigated explicitly, however. We conduct a stated choice experiment and estimate a hybrid choice model with trust as a situation-specific latent variable. The research design allows us to explore how the relevant attributes influence service adoption via trust. We find a significant influence of established choice attributes on service adoption, except for the delivery company’s reputation and the possibility of damage. In addition, all attributes except delivery time have a significant influence on trust. We conclude that trust has a partially mediating effect on the adoption of the service except delivery time, and a fully mediating effect on adoption via reputation and damage.","Crowdshipping; Trust; Stated Preference; Hybrid Choice Model","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:6f2803be-9fa4-4e09-9ce0-77896cdb2ffe","http://resolver.tudelft.nl/uuid:6f2803be-9fa4-4e09-9ce0-77896cdb2ffe","Design and Implementation of a Low-Cost Air Quality Network for the Aburra Valley Surrounding Mountains: Pollutants","Yarce Botero, A. (TU Delft Mathematical Physics; Universidad EAFIT); Lopez Restrepo, S. (TU Delft Mathematical Physics; Universidad EAFIT); Sebastian Rodriguez, Juan (Universidad EAFIT; Simple Space); Valle, Diego (Simple Space); Galvez-Serna, Julian (Simple Space; Queensland University of Technology); Montilla, Elena (Universidad EAFIT); Botero, Francisco (Universidad EAFIT); Henzing, Bas (TNO); Heemink, A.W. (TU Delft Mathematical Physics)","","2023","The densest network for measuring air pollutant concentrations in Colombia is in Medellin, where most sensors are located in the heavily polluted lower parts of the valley. Measuring stations in the higher elevations on the mountains surrounding the valley are not available, which limits our understanding of the valley’s pollutant dynamics and hinders the effectiveness of data assimilation studies using chemical transport models such as LOTOS-EUROS. To address this gap in measurements, we have designed a new network of low-cost sensors to be installed at altitudes above 2000 m.a.s.l. The network consists of custom-built, solar-powered, and remotely connected sensors. Locations were strategically selected using the LOTOS-EUROS model driven by diverse meteorology-simulated fields to explore the effects of the valley wind representation on the transport of pollutants. The sensors transmit collected data to internet gateways for posterior analysis. Various tests to verify the critical characteristics of the equipment, such as long-range transmission modeling and experiments with an R score of 0.96 for the best propagation model, energy power system autonomy, and sensor calibration procedures, besides case exposure to dust and water experiments, to ensure IP certifications. An inter-calibration procedure was performed to characterize the sensors against reference sensors and describe the observation error to provide acceptable ranges for the data assimilation algorithm (<10% nominal). The design, installation, testing, and implementation of this air quality network, oriented towards data assimilation over the Aburrá Valley, constitute an initial experience for the simulation capabilities toward the system’s operative capabilities. Our solution approach adds value by removing the disadvantages of low-cost devices and offers a viable solution from a developing country’s perspective, employing hardware explicitly designed for the situation.","low-cost sensors; data assimilation; air quality; In-situ sensing; LOTOS-EUROS chemical transport model; low-cost air quality monitoring Systems (LCAQSs); SimpleSpace","en","journal article","","","","","","","","","","","Mathematical Physics","","",""
"uuid:da5f35cc-aea9-470a-b026-b1f6c91a2583","http://resolver.tudelft.nl/uuid:da5f35cc-aea9-470a-b026-b1f6c91a2583","Seismic acquisition design based on full-wavefield migration","Revelo Obando, B.A. (TU Delft Applied Geophysics and Petrophysics); Blacquière, G. (TU Delft Applied Geophysics and Petrophysics)","","2023","The ultimate goal in survey design is to obtain the acquisition parameters that enable acquiring the most affordable data that fulfills certain image quality requirements. We propose a method that allows optimization of the receiver geometry for a fixed source distribution. The former is parameterized with a receiver density function that determines the number of receivers per unit area. We optimize this receiverdensity function through an iterative gradient descent scheme that minimizes the difference between the image obtained with the current acquisition geometry and a reference image. The reference image is obtained from prior subsurface information that is assumed to be available. We tested the method with different subsurface models. The results show that the acquisition geometry is optimized according to the complexity of each subsurface model. The receivers are moved towards the areas where more data is needed for obtaining better imaging.","acquisition; imaging; optimization; survey design","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-08","","","Applied Geophysics and Petrophysics","","",""
"uuid:b36b8866-7c36-495f-ae2c-c4a0068e03d9","http://resolver.tudelft.nl/uuid:b36b8866-7c36-495f-ae2c-c4a0068e03d9","Representer Theorem for Learning Koopman Operators","Khosravi, M. (TU Delft Team Khosravi)","","2023","In this work, we consider the problem of learning the Koopman operator for discrete-time autonomous systems. The learning problem is formulated as a generic constrained regularized empirical loss minimization in the infinite-dimensional space of linear operators. We show that a representer theorem holds for the introduced learning problem under certain but general conditions, which allows convex reformulation of the problem in a specific finite-dimensional space without any approximation and loss of precision. We discuss the inclusion of various forms of regularization and constraints in the learning problem, such as the operator norm, the Frobenius norm, the operator rank, the nuclear norm, and the stability. Subsequently, we derive the corresponding equivalent finite-dimensional problem. Furthermore, we demonstrate the connection between the proposed formulation and the extended dynamic mode decomposition. We present several numerical examples to illustrate the theoretical results and verify the performance of regularized learning of the Koopman operators.","Hilbert space; Kernel; Koopman operators; Learning; Minimization; Nonlinear dynamical systems; Numerical stability; Representer Theorem; Stability criteria; Trajectory","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-06","","","Team Khosravi","","",""
"uuid:b9ec826f-4914-4a2f-bcc4-be69a5967b1a","http://resolver.tudelft.nl/uuid:b9ec826f-4914-4a2f-bcc4-be69a5967b1a","Kernel-based Impulse Response Identification with Side-Information on Steady-State Gain","Khosravi, M. (TU Delft Team Khosravi); Smith, R. S. (ETH Zürich)","","2023","In this article, we consider the problem of system identification when side-information is available on the steady-state gain (SSG) of the system. We formulate a general nonparametric identification method as an infinite-dimensional constrained convex program over the reproducing kernel Hilbert space (RKHS) of stable impulse responses. The objective function of this optimization problem is the empirical loss regularized with the norm of RKHS, and the constraint is considered for enforcing the integration of the SSG side-information. The proposed formulation addresses both the discrete-time and continuous-time cases. We show that this program has a unique solution obtained by solving an equivalent finite-dimensional convex optimization. This solution has a closed-form when the empirical loss and regularization functions are quadratic and exact side-information is considered. We perform extensive numerical comparisons to verify the efficiency of the proposed identification methodology.","Estimation; Finite impulse response filters; Kernel; Kernel-based identification method; Mathematical models; Numerical stability; Optimization; Side-information; Steady-state; Steady-state gain","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-07","","","Team Khosravi","","",""
"uuid:1a59b4a5-4ace-4843-983d-695eb7fb1d73","http://resolver.tudelft.nl/uuid:1a59b4a5-4ace-4843-983d-695eb7fb1d73","Discussion: Effect of soil models on the prediction of tunnelling-induced deformations of structures","Giardina, Giorgia (TU Delft Geo-engineering); Losacco, Nunzio (University of Bari); Dejong, Matthew J. (University of California); Viggiani, Giulia M.B. (University of Cambridge); Mair, Robert J. (University of Cambridge); Shirlaw, J. Nick (Golder Associates (HK) Ltd WSP-Golder, Honk Kong); Boone, Storer J. (Ground Rules Engineering Inc., London)","","2023","","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-02","","","Geo-engineering","","",""
"uuid:2f9bb960-a56c-41a2-9b5a-50bb45681dc4","http://resolver.tudelft.nl/uuid:2f9bb960-a56c-41a2-9b5a-50bb45681dc4","Formation and evolution of carbonaceous asteroid Ryugu: Direct evidence from returned samples","Nakamura, T. (Tohoku University); Matsumoto, M. (Tohoku University); Amano, K. (Tohoku University); Enokido, Y. (Tohoku University); Zolensky, M. E. (NASA Johnson Space Center); Mikouchi, T. (University of Tokyo); Genda, H. (Tokyo Institute of Technology); Zolotov, M. Y. (School of Earth and Space Exploration); Dr Potin, S.J.M. (TU Delft Spaceborne Instrumentation; Observatoire de Paris)","","2023","Samples of the carbonaceous asteroid Ryugu were brought to Earth by the Hayabusa2 spacecraft. We analyzed 17 Ryugu samples measuring 1 to 8 millimeters. Carbon dioxide-bearing water inclusions are present within a pyrrhotite crystal, indicating that Ryugu's parent asteroid formed in the outer Solar System. The samples contain low abundances of materials that formed at high temperatures, such as chondrules and calcium- and aluminum-rich inclusions. The samples are rich in phyllosilicates and carbonates, which formed through aqueous alteration reactions at low temperature, high pH, and water/rock ratios of <1 (by mass). Less altered fragments contain olivine, pyroxene, amorphous silicates, calcite, and phosphide. Numerical simulations, based on the mineralogical and physical properties of the samples, indicate that Ryugu's parent body formed ~2 million years after the beginning of Solar System formation.","","en","journal article","","","","","","","","","","","Spaceborne Instrumentation","","",""
"uuid:e5273899-a91e-49e8-a9d6-acd6ae561005","http://resolver.tudelft.nl/uuid:e5273899-a91e-49e8-a9d6-acd6ae561005","The C1 wedge connection in towers for wind turbine structures, tensile behaviour of a segment test","Cheng, L. (TU Delft Steel & Composite Structures); Yang, Fei (Chang'an University); Winkes, Jasper Stefan (C1 Connections BV); Veljkovic, M. (TU Delft Steel & Composite Structures)","","2023","This paper shows a part of the analysis of the development of the second generation of the C1 wedge connections for use in offshore wind turbine supporting towers. The novelty of this connection is that bolt failure is avoided under static and fatigue loads. This study aims to investigate the tensile behaviour of the connection by combining the findings of experiments and finite element (FE) analysis. Two specimens subjected to uniaxial and cyclic tensile loading tested until failure are used for illustration. Advanced quasi-static FE analysis results, considering the most detailed geometry and using an explicit dynamic solver, are compared to the experimental results. The FE analysis results agree well with the experimental results. Based on the FE model, a parametric study is carried out to analyse the influence of the bolt grade, friction coefficient between contact surfaces, and preloading force level on mechanical behaviour. Failure modes, bolt force development, and the evolution of gap opening between contacted segments are analysed. Results demonstrate that the tensile fracture of the C1 wedge connection mainly appears in the lower segment. All the investigated parameters have a negligible effect on the connection's ultimate resistance and failure mode. However, the friction coefficient between contact surfaces and bolt preload level significantly affects the connection's local deformation capacity and the response of the bolt stress range. The FE simulation provides practical guidance for designing this connection without bolt failure.","Bolted connection; C1 wedge connection; Experimental study; FE modelling; Tensile behaviour","en","journal article","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:06acfc1c-8ad0-45fd-9100-57192754e0a0","http://resolver.tudelft.nl/uuid:06acfc1c-8ad0-45fd-9100-57192754e0a0","Open Architecture and its Discontents","Mejia Hernandez, J.A. (TU Delft Situated Architecture); Komez-Daglioglu, E. (Middle East Technical University)","","2023","The qualities that characterise open works of art have become prevalent in mainstream architecture theory. Trying to elucidate why openness appears to mean so many different things and at the same time remains an ethereal concept, it seems worthwhile to reflect on potential justifications for its use. While the notion can be effectively and persuasively used to discuss the ethics that should govern our profession, beyond that axiological role its meagre explanatory power suggests that new directions in open architecture might require that we recognise its theoretical shortcomings and start looking for new and better ways to explain exactly what we’re talking about when we talk about the architecture of our time.","","en","contribution to periodical","","","","","","","","","","","Situated Architecture","","",""
"uuid:38b5034a-7016-45d4-ab9a-0d53fd04cd03","http://resolver.tudelft.nl/uuid:38b5034a-7016-45d4-ab9a-0d53fd04cd03","Reaction moments matter when designing lower-extremity robots for tripping recovery","Jabeen, S. (TU Delft Biomechatronics & Human-Machine Control); Baines, P.M. (TU Delft Biomechatronics & Human-Machine Control); Harlaar, J. (TU Delft Biomechatronics & Human-Machine Control; Erasmus MC); Vallery, H. (TU Delft Biomechatronics & Human-Machine Control; Erasmus MC); Berry, Andrew (TU Delft Biomechatronics & Human-Machine Control; Erasmus MC)","","2023","Balance recovery after tripping often requires an active adaptation of foot placement. Thus far, few attempts have been made to actively assist forward foot placement for balance recovery employing wearable devices. This study aims to explore the possibilities of active forward foot placement through two paradigms of actuation: assistive moments exerted with the reaction moments either internal or external to the human body, namely 'joint' moments and 'free' moments, respectively. Both paradigms can be applied to manipulate the motion of segments of the body (e.g., the shank or thigh), but joint actuators also exert opposing reaction moments on neighbouring body segments, altering posture and potentially inhibiting tripping recovery. We therefore hypothesised that a free moment paradigm is more effective in assisting balance recovery following tripping. The simulation software SCONE was used to simulate gait and tripping over various ground-fixed obstacles during the early swing phase. To aid forward foot placement, joint moments and free moments were applied either on the thigh to augment hip flexion or on the shank to augment knee extension. Two realizations of joint moments on the hip were simulated, with the reaction moment applied to either the pelvis or the contralateral thigh. The simulation results show that assisting hip flexion with either actuation paradigm on the thigh can result in full recovery of gait with a margin of stability and leg kinematics closely matching the unperturbed case. However, when assisting knee extension with moments on the shank, free moment effectively assist balance but joint moments with the reaction moment on the thigh do not. For joint moments assisting hip flexion, placement of the reaction moment on the contralateral thigh was more effective in achieving the desired limb dynamics than placing the reaction on the pelvis. Poor choice of placement of reaction moments may therefore have detrimental consequences for balance recovery, and removing them entirely (i.e., free moment) could be a more effective and reliable alternative. These results challenge conventional assumptions and may inform the design and development of a new generation of minimalistic wearable devices to promote balance during gait.","","en","journal article","","","","","","","","","","","Biomechatronics & Human-Machine Control","","",""
"uuid:2c301c79-3b16-448e-991b-97ffec7546dd","http://resolver.tudelft.nl/uuid:2c301c79-3b16-448e-991b-97ffec7546dd","A new setup to study the influence of plant growth on the consolidation of dredged cohesive sediment","Barciela Rial, M. (TU Delft Environmental Fluid Mechanics; HAN University of Applied Sciences); Saaltink, Remon M. (Universiteit Utrecht; ARCADIS Nederland); van Kessel, Thijs (Deltares); Chassagne, C. (TU Delft Environmental Fluid Mechanics); Dekker, Stefan C. (Universiteit Utrecht; Open Universiteit, Heerlen); de Boer, Hugo J. (Universiteit Utrecht); Griffioen, Jasper (Universiteit Utrecht; TNO); Wassen, Martin J. (HAN University of Applied Sciences); Winterwerp, J.C. (TU Delft Environmental Fluid Mechanics)","","2023","Dredged cohesive sediment is progressively being used for wetland construction. However, little is known about the effect of plant growth during the self-weight consolidation of this sediment. In order to check the feasibility of such a study, a new experimental setup has been constructed. As an example, the effect of Phragmites australis on the consolidation and drainage of dredged sediment from Lake Markermeer, the Netherlands was investigated. The changes in pore water pressures at 10 cm depth intervals during a 129-day period in a column with and without plants were measured, while the water level was fixed at a constant level. Water loss via evaporation and plant transpiration was measured using Mariotte bottles and the photosynthetic processes — including plant transpiration — were measured with a LI-COR photosynthesis system. The results show that several processes initiated by Phragmites australis interfere with the physical processes involved in sediment drainage and consolidation. Phragmites australis effectively altered the pore pressure gradient via water extraction, especially between 40 and 60 cm from the bottom of the column. In this zone, daily cycles in pore pressures were observed which could directly be linked to the diurnal cycle of stomatal gas exchange. On average, water loss via evaporation and transpiration of leaves of Phragmites australis amounted to 3.9 mm day−1, whereas evaporation of bare soil amounted on average to 0.6 mm day−1. The depth-averaged hydraulic conductivity increased on average by 40% in presence of Phragmites australis. This pilot experiment confirms that the pressures sensors coupled with the new set-up enable to study pore pressure development over time and to link the effect of plant growth with alterations in water pressures profiles. A more systematic study with this set-up will in the future enable to quantify the effects of plant growth on consolidation.","consolidation; wetland; dredged sediment; plant growth; pore pressure; cohesive; drainage","en","journal article","","","","","","","","","","","Environmental Fluid Mechanics","","",""
"uuid:f02746b8-10a8-45bc-b8fd-3a6efae89e4c","http://resolver.tudelft.nl/uuid:f02746b8-10a8-45bc-b8fd-3a6efae89e4c","Microstructure estimation and validation of ER110S-G steel structures produced by wire and arc additive manufacturing","Mishra, V. (TU Delft Computational Design and Mechanics); Babu, A. (TU Delft Team Marcel Hermans); Schreurs, R. (Student TU Delft); Wu, K. (Student TU Delft); Hermans, M.J.M. (TU Delft Team Marcel Hermans); Ayas, C. (TU Delft Computational Design and Mechanics)","","2023","Wire and Arc Additive Manufacturing (WAAM) emerged as a manufacturing process for large scale structures with extensive form and design freedom. WAAM can be fully exploited once the relation between the transient thermal history and its relation to microstructure development and resultant mechanical properties is established. This relation can be further used for computational design tools such as Topology Optimization. This paper presents a model to predict the relation between the thermal history and solid-state phase transformations in a widely applicable High Strength Low Alloy steel ER110S-G. The transient thermal history of parts manufactured by WAAM is modelled using finite element analysis. The modelled thermal history is validated with thermocouple measurements. Our results show that a critical cooling cycle is responsible for the solid-state phase transformation in an AM part. The cooling rate of this particular cooling cycle is superimposed onto an experimentally constructed Continuous Cooling Transformation (CCT) diagram to determine the local solid-state phase fractions. The predicted phase fractions in three wall samples with different design and processing conditions of AM parts are used to predict the hardness. The predicted hardness is 10% higher than the measured hardness of AM samples. The effect of tempering is also considered in the model through JMAK equation. The results show that the tempering is caused in regions with high martensite content and it lowers the hardness by 8 − 10%. The micrographs of the AM parts show that the microstructural features are same for the AM parts with similar critical cooling rates.","High Strength Low Alloy (HSLA) steel; Solid state phase transformation; Thermal validation; Wire and Arc Additive Manufacturing (WAAM)","en","journal article","","","","","","","","","","","Computational Design and Mechanics","","",""
"uuid:a39c46af-5c30-4c39-a171-063f1ba31964","http://resolver.tudelft.nl/uuid:a39c46af-5c30-4c39-a171-063f1ba31964","Analogical Assessment of Train-Induced Vibration and Radiated Noise in a Proposed Theater","Liu, X. (China Academy of Railway Sciences; Shenzhen Vibration and Noise Control Engineering Laboratory for Urban Rail Transit); Xiao, Yuchun (Bureau of Public Works of Shenzhen Municipality); Jiang, Huihuang (China Academy of Railway Sciences); Guo, Y. (TU Delft Railway Engineering); Yu, Mengwen (China Academy of Railway Sciences; Shenzhen Vibration and Noise Control Engineering Laboratory for Urban Rail Transit); Tan, Wanzhong (China Academy of Railway Sciences; Shenzhen Vibration and Noise Control Engineering Laboratory for Urban Rail Transit)","","2023","This study presents the analogical assessment of the train-induced vibration and radiated noise in a proposed theater. The theater is to be constructed in a region with crowded metro lines, and the assessment is implemented in an analogical building with comparable structural type and metro condition. Prior to the assessment, the comparability of the analogical building with the theater is validated using the train-induced ground vibration. With the same horizontal distance from the metro line, the train-induced vibration level in the analogical building is 9 dB higher than that in the construction site of the theater. Such results indicate that the lack of soil layers may lead to a dramatic increase in train-induced vibration in the building. In the staircase of the analogical building, the train-induced radiated noise reached 55 dB (A), which is 10 dB (A) higher than the daytime allowable level. As the most important indicator, the noise rating number in the cinema of the analogical building is NR-43, which put forward an enormous challenge on the construction of the theater with a denoise demand of 23 dB. The analogical method applied in this study provides an effective and practical way for the assessment of train-induced vibration and radiated noise in proposed vibration-sensitive buildings. The assessment results that provide necessary reference and support for the anti-vibration design will help guarantee the stage effect of the theater.","train-induced vibration; radiated noise; analogical assessment; vibration acceleration level; A-weighted sound pressure; noise rating number; condition assessment","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:89f83324-0a56-4598-9de3-862105b5c272","http://resolver.tudelft.nl/uuid:89f83324-0a56-4598-9de3-862105b5c272","What factors contribute to the acceptance of artificial intelligence? A systematic review","Kelly, Sage (Queensland University of Technology); Kaye, Sherrie Anne (Queensland University of Technology); Oviedo-Trespalacios, O. (TU Delft Safety and Security Science)","","2023","Artificial Intelligence (AI) agents are predicted to infiltrate most industries within the next decade, creating a personal, industrial, and social shift towards the new technology. As a result, there has been a surge of interest and research towards user acceptance of AI technology in recent years. However, the existing research appears dispersed and lacks systematic synthesis, limiting our understanding of user acceptance of AI technologies. To address this gap in the literature, we conducted a systematic review following the Preferred Reporting Items for Systematic Reviews and meta-Analysis guidelines using five databases: EBSCO host, Embase, Inspec (Engineering Village host), Scopus, and Web of Science. Papers were required to focus on both user acceptance and AI technology. Acceptance was defined as the behavioural intention or willingness to use, buy, or try a good or service. A total of 7912 articles were identified in the database search. Sixty articles were included in the review. Most studies (n = 31) did not define AI in their papers, and 38 studies did not define AI for their participants. The extended Technology Acceptance Model (TAM) was the most frequently used theory to assess user acceptance of AI technologies. Perceived usefulness, performance expectancy, attitudes, trust, and effort expectancy significantly and positively predicted behavioural intention, willingness, and use behaviour of AI across multiple industries. However, in some cultural scenarios, it appears that the need for human contact cannot be replicated or replaced by AI, no matter the perceived usefulness or perceived ease of use. Given that most of the methodological approaches present in the literature have relied on self-reported data, further research using naturalistic methods is needed to validate the theoretical model/s that best predict the adoption of AI technologies.","AI; Human factors; Machine learning; Psychosocial models; Social robotics; User acceptance","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:2de89733-b611-4e11-9403-9b97d9a7fa63","http://resolver.tudelft.nl/uuid:2de89733-b611-4e11-9403-9b97d9a7fa63","A Novel High-temperature Pressure Sensor Based on Graphene Coated by Si3N4","Zeng, Simei (Beijing Jiaotong University); Tang, Chenggang (Beijing Jiaotong University); Hong, H. (TU Delft Electronic Components, Technology and Materials); Yuan, Fang (Beijing Jiaotong University); Li, Yuning (Beijing Jiaotong University); Wang, Yuqiang (Beijing Jiaotong University); Kong, Lingbing (Beijing Jiaotong University); Sun, Jingye (Beijing Jiaotong University); Zhu, Mingqiang (Beijing Jiaotong University); Deng, Tao (Beijing Jiaotong University)","","2023","The high-temperature pressure sensors have wide applications in aerospace, petroleum, geothermal exploration, automotive electronics, and other fields. However, the traditional silicon-based pressure sensors are restricted to pressure measurement under 120~{\circ }\text{C} and cannot be satisfied to measure the pressure of various gases or liquids in high temperature and other harsh environments. This article proposes a novel high-temperature pressure sensor based on graphene, in which a rectangular cavity is applied to improve the piezoresistive characteristics of the sensor. The unique of this sensor is that the graphene is coated by the silicon nitride (Si3N4) membrane, which could avoid the oxidation of graphene in high temperature and increase the temperature tolerance range. The sensor was placed at various temperatures ( 50~{\circ }\text{C} - 420~{\circ }\text{C} ) to explore the temperature characteristics, achieving a maximal temperature coefficient of resistance (TCR) of 0.322% {\circ }\text{C}{-{1}}. Moreover, the sensor with a 64 \times 9\,\,\mu \text{m}{{2}} cavity has a high pressure sensitivity of 5.32\times 10{-{4}} kPa {-{1}} , enabling a wide range from 100 kPa to 10 Pa. Experimental results indicate that the proposed sensor possesses superior pressure sensitivity, a wide pressure detection range, and a high-temperature tolerance of 420~{\circ }\text{C} , which provides new insight into fabricating high-temperature pressure sensors based on graphene and creates more applications in different fields.","Graphene; high-temperature; Si3N4; piezoresistive characteristic","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-01","","","Electronic Components, Technology and Materials","","",""
"uuid:ed82f87d-e58e-4dcf-9932-24468af6e9fd","http://resolver.tudelft.nl/uuid:ed82f87d-e58e-4dcf-9932-24468af6e9fd","Perceived Conversation Quality in Spontaneous Interactions","Raman, C.A. (TU Delft Pattern Recognition and Bioinformatics); Prabhu, Navin Raj (Student TU Delft); Hung, H.S. (TU Delft Pattern Recognition and Bioinformatics)","","2023","The quality of daily spontaneous conversations is of importance towards both our well-being as well as the development of interactive social agents. Prior research directly studying the quality of social conversations has operationalized it in narrow terms, associating greater quality to less small talk. Other works taking a broader perspective of interaction experience have indirectly studied quality through one of the several overlapping constructs such as rapport or engagement, in isolation. In this work we bridge this gap by proposing a holistic conceptualization of conversation quality, building upon the collaborative attributes of cooperative conversation floors. Taking a multilevel perspective of conversation, we develop and validate two instruments for perceived conversation quality (PCQ) at the individual and group levels. Specifically, we motivate capturing external raters' gestalt impressions of participant experiences from thin slices of behavior, and collect annotations of PCQ on the publicly available MatchNMingle dataset of in-the-wild mingling conversations. Finally, we present an analysis of behavioral features that are predictive of PCQ. We find that for the conversations in MatchNMingle, raters tend to associate smaller group sizes, equitable speaking turns with fewer interruptions, and time taken for synchronous bodily coordination with higher PCQ.","Perceived Conversation Quality; Spontaneous Interactions; Social and Behavioral Sciences; Group Interactions","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-08","","","Pattern Recognition and Bioinformatics","","",""
"uuid:62b6a9a6-5e4f-4753-a046-d6fe1dbac2e6","http://resolver.tudelft.nl/uuid:62b6a9a6-5e4f-4753-a046-d6fe1dbac2e6","Research on Sustainable Development Model of Chinese Artist Village","Luo, Yun (Chongqing University); Xiang, Pengcheng (Chongqing University); Li, B. (TU Delft Real Estate Management)","","2023","As a development form of the creative industry, the Artist Village is a high concern of the Chinese government, and it has achieved rapid developments in China in recent years. However, many Artist Villages come to an untimely end a short period after their birth and fail to realize sustainable development. In this study, an empirical analysis on 80 Artist Villages in China was carried out. A field investigation and research on eight Artist Villages was implemented. Attention was paid to thoroughly analyze three typical Artist Villages of Guangzhou Xiaozhou Artist Village, Chongqing Gujianshan Artist Village and Beijing Songzhuang Artist Village. It has been found from studies that the development of Artist Villages in China has experienced initiation, development and maturity stages. The development of Artist Villages in China generally has many problems, such as an insufficient endogenous impetus, the excessive intervention of government, market capital “squeezing out” the original artists, and so on. This paper proposed a sustainable development model for Artist Villages during urbanization in China based on the findings and conclusions of this study. This study not only enriches research contents in this field, but can also provide meaningful references for the sustainable development of Artist Villages in China.","Artist Village; sustainable development; development model; urbanization; 3T principle","en","journal article","","","","","","","","","","","Real Estate Management","","",""
"uuid:6d6b0848-eab1-4964-a32c-5099250dd8f9","http://resolver.tudelft.nl/uuid:6d6b0848-eab1-4964-a32c-5099250dd8f9","Until death do us part? In-depth insights into Dutch consumers’ considerations about product lifetimes and lifetime extension","van den Berge, R.B.R. (TU Delft Marketing and Consumer Research); Magnier, L.B.M. (TU Delft Marketing and Consumer Research); Mugge, R. (TU Delft Marketing and Consumer Research; Universiteit van Amsterdam)","","2023","Long-lasting electronic products contribute to a sustainable society; however, both expected and actual lifetimes are in decline. This research provides in-depth insights into consumers’ considerations about product lifetimes, barriers to extending lifetimes, and responses to a product lifetime label. Results of interviews (n = 22) with Dutch consumers suggest a positive view on long-lasting products. Nevertheless, their products’ value depreciated during their lifetimes. Consumers consider themselves unable to estimate how long products should last, which can be detrimental as low expectations tend to negatively influence actual lifetimes. Also, use intensity and consumers’ care(less) behavior influence the lifetime. To extend product lifetimes, consumers often disregard the option of repairing malfunctioning products. They have limited knowledge and ability, and believe repair provides poor value for money. Lifetime extension can also be hindered by market-related factors, such as convenient replacement services, new technological developments, and (attractive) deals. We suggest a product lifetime label should contain relevant and reliable information; furthermore, we recommend including (extended) warranty information. When information about repairability is included, potential negative responses should be considered. Finally, raising awareness about the environmental impact of short-lived products via a label may have a positive effect but requires more research attention","","en","journal article","","","","","","","","","","","Marketing and Consumer Research","","",""
"uuid:8d659404-fcb3-4d71-a8c0-6b61ccedc79d","http://resolver.tudelft.nl/uuid:8d659404-fcb3-4d71-a8c0-6b61ccedc79d","Influence of knots and density distribution on compressive strength of wooden foundation piles","Pagella, G. (TU Delft Bio-based Structures & Materials); Mirra, M. (TU Delft Bio-based Structures & Materials); Ravenshorst, G.J.P. (TU Delft Bio-based Structures & Materials; Technische Universität München); van de Kuilen, J.W.G. (TU Delft Bio-based Structures & Materials; Technische Universität München)","Zingoni, Alphose (editor)","2023","This work investigated the influence of knots on the compression strength of wooden foundation piles. The study involved 110 pile segments sawn from 18 spruce and 9 pine piles with a mean diameter of approximately 200 mm, and moisture contents above fiber saturation. The mechanical properties were determined performing both full-scale compression tests on pile segments, and small-scale experiments on discs sawn from selected segments, considering samples with and without knots. A knot ratio (KR) was defined analysing the knots layout of each wooden pile, and evaluating how the compressive strength was influenced by size, number and layout of knots. As final step, a prediction model was implemented based on the dry density and KR of wooden piles, to estimate the influence of knots on their compressive strength.","","en","conference paper","CRC Press / Balkema - Taylor & Francis Group","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Bio-based Structures & Materials","","",""
"uuid:8b70c6a2-9351-493e-ae40-46e7fa26f38a","http://resolver.tudelft.nl/uuid:8b70c6a2-9351-493e-ae40-46e7fa26f38a","Over What Range Should Reliabilists Measure Reliability?","Buijsman, S.N.R. (TU Delft Ethics & Philosophy of Technology)","","2023","Process reliabilist accounts claim that a belief is justified when it is the result of a reliable belief-forming process. Yet over what range of possible token processes is this reliability calculated? I argue against the idea that all possible token processes (in the actual world, or some other subset of possible worlds) are to be considered using the case of a user acquiring beliefs based on the output of an AI system, which is typically reliable for a substantial local range but unreliable when all possible inputs are considered. I show that existing solutions to the generality problem imply that these cases cannot be solved by a more fine-grained typing of the belief-forming process. Instead, I suggest that reliability is evaluated over a range restricted by the content of the actual belief and by the similarity of the input to the actual input.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Ethics & Philosophy of Technology","","",""
"uuid:f5333d16-88d0-4c51-88f7-598c0674160d","http://resolver.tudelft.nl/uuid:f5333d16-88d0-4c51-88f7-598c0674160d","Values in challenging times: strategic crisis management in the EU","Prainsack, Barbara (University of Vienna); do Céu Patrão Neves, Maria (University of the Azores); Sahlin, Nils Eric (Lund University); Biller-Andorno, Nikola (University of Zürich); Laukyte, Migle (Pompeu Fabra University); Łuków, Paweł (University of Warsaw); Nys, Herman (Katholieke Universiteit Leuven); van den Hoven, M.J. (TU Delft Ethics & Philosophy of Technology); Mallia, Pierre (University of Malta)","","2023","","","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:ac5b19f7-531f-4639-bb69-9673271f198d","http://resolver.tudelft.nl/uuid:ac5b19f7-531f-4639-bb69-9673271f198d","Shape Sensing of Flexible Robots Based on Deep Learning","Ha, Xuan Thao (Katholieke Universiteit Leuven; Scuola Superiore Sant’Anna); Wu, D. (TU Delft Medical Instruments & Bio-Inspired Technology; Katholieke Universiteit Leuven); Ourak, Mouloud (Katholieke Universiteit Leuven); Borghesan, Gianni (Katholieke Universiteit Leuven; Flanders Make); Dankelman, J. (TU Delft Medical Instruments & Bio-Inspired Technology); Menciassi, Arianna (Scuola Superiore Sant’Anna); Poorten, Emmanuel Vander (Katholieke Universiteit Leuven)","","2023","In this article, a deep learning method for the shape sensing of continuum robots based on multicore fiber bragg grating (FBG) fiber is introduced. The proposed method, based on an artificial neural network (ANN), differs from traditional approaches, where accurate shape reconstruction requires a tedious characterization of many characteristic parameters. A further limitation of traditional approaches is that they require either multiple fibers, whose location relative to the centerline must be precisely known (calibrated), or a single multicore fiber whose position typically coincides with the neutral line. The proposed method addresses this limitation and, thus, allows shape sensing based on a single multicore fiber placed off-center. This helps in miniaturizing and leaves the central channel available for other purposes. The proposed approach was compared to a recent state-of-the-art model-based shape sensing approach. A two-degree-of-freedom benchtop fluidics-driven catheter system was built to validate the proposed ANN. The proposed ANN-based shape sensing approach was evaluated on a 40-mm-long steerable continuum robot in both 3-D free-space and 2-D constrained environments, yielding an average shape sensing error of 0.24 and 0.49 mm, respectively. With these results, the superiority of the proposed approach compared to the recent model-based shape sensing method was demonstrated.","Bending; Bragg gratings; Catheters; catheters; deep learning; Gratings; neural networks; optical fiber testing; Robot sensing systems; Robots; Shape; shape measurement; surgical instrument; Training","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-14","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:5319cf4c-0265-4746-bee6-2f855380634f","http://resolver.tudelft.nl/uuid:5319cf4c-0265-4746-bee6-2f855380634f","Numerical Study of Gas Flow in Super Nanoporous Materials Using the Direct Simulation Monte-Carlo Method","Shariati, Vahid (Ferdowsi University of Mashhad); Roohi, Ehsan (Ferdowsi University of Mashhad; Xi’an Jiaotong University); Ebrahimi, Amin (TU Delft Team Marcel Hermans)","","2023","The direct simulation Monte Carlo (DSMC) method, which is a probabilistic particle-based gas kinetic simulation approach, is employed in the present work to describe the physics of rarefied gas flow in super nanoporous materials (also known as mesoporous). The simulations are performed for different material porosities (0.5≤ϕ≤0.9), Knudsen numbers (0.05≤Kn≤1.0), and thermal boundary conditions (constant wall temperature and constant wall heat flux) at an inlet-to-outlet pressure ratio of 2. The present computational model captures the structure of heat and fluid flow in porous materials with various pore morphologies under rarefied gas flow regime and is applied to evaluate hydraulic tortuosity, permeability, and skin friction factor of gas (argon) flow in super nanoporous materials. The skin friction factors and permeabilities obtained from the present DSMC simulations are compared with the theoretical and numerical models available in the literature. The results show that the ratio of apparent to intrinsic permeability, hydraulic tortuosity, and skin friction factor increase with decreasing the material porosity. The hydraulic tortuosity and skin friction factor decrease with increasing the Knudsen number, leading to an increase in the apparent permeability. The results also show that the skin friction factor and apparent permeability increase with increasing the wall heat flux at a specific Knudsen number.","direct simulation Monte Carlo (DSMC); super nanoporous (mesoporous) materials; rarefied gas flow; thermal boundary conditions; hydraulic tortuosity; permeability","en","journal article","","","","","","","","","","","Team Marcel Hermans","","",""
"uuid:c9584adb-09b5-4f27-933c-49001a60ef47","http://resolver.tudelft.nl/uuid:c9584adb-09b5-4f27-933c-49001a60ef47","Lower temperature heating integration in the residential building stock:: A review of decision-making parameters for lower-temperature-ready energy renovations","Wahi, P. (TU Delft Environmental & Climate Design); Konstantinou, T. (TU Delft Architectural Technology); Tenpierik, M.J. (TU Delft Environmental & Climate Design); Visscher, H.J. (TU Delft Design & Construction Management)","","2023","Lower temperature heating (LTH) involves using the lowest possible supply temperatures to meet residential heating demands, thus supporting the integration of sustainable heating sources and decarbonising the existing residential stock. However, choosing appropriate energy renovation options to prepare existing dwellings for LTH presents decision-making challenges due to the heterogenous dwelling stock with varying building characteristics, numerous renovation options, and various performance indicators for evaluating trade-offs. This study aims to review the scientific literature on integrating LTH into existing dwellings to identify the building characteristics for evaluating the potential of using LTH and the necessity for renovations, presents a systematic method for organising renovation options and summarises key performance indicators. The study employed the SALSA (search, appraisal, synthesis and analysis) framework for systematic review and identified 24 scientific publications. Findings show that dwelling characteristics such as compactness ratio, thermal insulation, thermal bridges, airtightness, ventilation systems, space heating system capacity and supply temperature level are essential for investigating LTH potential and the need for renovations. Most research lacks qualitative renovation criteria and product-level information for selecting renovation options. Key performance indicators related to energy efficiency, thermal comfort and quality-of-services can help indicate the possible solutions, while those related to environmental and economic performance indicate the feasibility of possible solutions. Nevertheless, there is a lack of standard set of criteria for indicating the dwelling's readiness for using LTH. These findings can help address the decision-making challenges of selecting appropriate renovation strategies to enable the use of LTH and contribute to decarbonising the built environment.","Lower temperature supply; Existing residential stock; Energy transition; Sustainable heating sources; Decision-making process","en","review","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:90f96a32-9cda-418b-9494-2bdb3c24e593","http://resolver.tudelft.nl/uuid:90f96a32-9cda-418b-9494-2bdb3c24e593","Overview of Engineering Carbon Nanomaterials such as Carbon Nanotubes (CNTs), Carbon Nanofibers (CNFs), Graphene and Nanodiamonds and Other Carbon Allotropes inside Porous Anodic Alumina (PAA) Templates","Sacco, L.N. (TU Delft Electronic Components, Technology and Materials); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials)","","2023","The fabrication and design of carbon-based hierarchical structures with tailored nano-architectures have attracted the enormous attention of the materials science community due to their exceptional chemical and physical properties. The collective control of nano-objects, in terms of their dimensionality, orientation and size, is of paramount importance to expand the implementation of carbon nanomaterials across a large variety of applications. In this context, porous anodic alumina (PAA) has become an attractive template where the pore morphologies can be straightforwardly modulated. The synthesis of diverse carbon nanomaterials can be performed using PAA templates, such as carbon nanotubes (CNTs), carbon nanofibers (CNFs), and nanodiamonds, or can act as support for other carbon allotropes such as graphene and other carbon nanoforms. However, the successful growth of carbon nanomaterials within ordered PAA templates typically requires a series of stages involving the template fabrication, nanostructure growth and finally an etching or electrode metallization steps, which all encounter different challenges towards a nanodevice fabrication. The present review article describes the advantages and challenges associated with the fabrication of carbon materials in PAA based materials and aims to give a renewed momentum to this topic within the materials science community by providing an exhaustive overview of the current synthesis approaches and the most relevant applications based on PAA/Carbon nanostructures materials. Finally, the perspective and opportunities in the field are presented.","Porous anodic alumina; Anodization; Carbon nanotubes; Carbon nanofibers; Nanodiamonds; Graphene","en","review","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:f63d935d-e77a-4aae-93cb-ba81ef7aed7c","http://resolver.tudelft.nl/uuid:f63d935d-e77a-4aae-93cb-ba81ef7aed7c","Benchmarking Outlier Detection Methods for Detecting IEM Patients in Untargeted Metabolomics Data","Bongaerts, Michiel (Erasmus MC); Kulkarni, Purva (Radboud University Medical Center); Zammit, Alan (Radboud University Medical Center); Bonte, Ramon (Erasmus MC); Kluijtmans, Leo A. J. (Radboud University Medical Center); Blom, Henk J. (Erasmus MC); Engelke, Udo F. H. (Radboud University Medical Center); Tax, D.M.J. (TU Delft Pattern Recognition and Bioinformatics); Ruijter, George J.G. (Erasmus MC); Reinders, M.J.T. (TU Delft Pattern Recognition and Bioinformatics)","","2023","Untargeted metabolomics (UM) is increasingly being deployed as a strategy for screening patients that are suspected of having an inborn error of metabolism (IEM). In this study, we examined the potential of existing outlier detection methods to detect IEM patient profiles. We benchmarked 30 different outlier detection methods when applied to three untargeted metabolomics datasets. Our results show great differences in IEM detection performances across the various methods. The methods DeepSVDD and R-graph performed most consistently across the three metabolomics datasets. For datasets with a more balanced number of samples-to-features ratio, we found that AE reconstruction error, Mahalanobis and PCA reconstruction error also performed well. Furthermore, we demonstrated the importance of a PCA transform prior to applying an outlier detection method since we observed that this increases the performance of several outlier detection methods. For only one of the three metabolomics datasets, we observed clinically satisfying performances for some outlier detection methods, where we were able to detect 90% of the IEM patient samples while detecting no false positives. These results suggest that outlier detection methods have the potential to aid the clinical investigator in routine screening for IEM using untargeted metabolomics data, but also show that further improvements are needed to ensure clinically satisfying performances.","untargeted metabolomics; outlier detection; anomaly detection; one-class methods; IEM; inborn errors of metabolism","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:c4d42d9b-8ddd-4338-a913-0fc6a5230fa3","http://resolver.tudelft.nl/uuid:c4d42d9b-8ddd-4338-a913-0fc6a5230fa3","Phase-Coded FMCW for Coherent MIMO Radar","Kumbul, U. (TU Delft Microwave Sensing, Signals & Systems); Petrov, N. (TU Delft Microwave Sensing, Signals & Systems; NXP Semiconductors); Silveira Vaucher, C. (TU Delft Electronics; NXP Semiconductors); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2023","The phase-coded linear-frequency-modulated continuous-wave (PC-FMCW) waveform with a low sampling processing strategy is studied for coherent multiple-input multiple-output (MIMO) radar. The PC-FMCW MIMO structure, which jointly uses both fast-time and slow-time coding, is proposed to reduce sidelobe levels while preserving high range resolution, unambiguous velocity, good Doppler tolerance, and low sampling needs. The sensing performance and practical aspects of the introduced PC-FMCW MIMO structure are evaluated theoretically and verified experimentally. The numerical simulations and experiments demonstrate that the proposed MIMO keeps the advantages of the linear-frequency-modulated continuous-wave (LFMCW) waveform, including computational efficiency and low sampling demands, while having the ability to provide low sidelobe levels with simultaneous transmission.","Linear frequency modulation (LFM); multipleinput multiple-output (MIMO); phase-modulated chirps; radar signal processing","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Microwave Sensing, Signals & Systems","","",""
"uuid:555c15cb-7adc-436b-9bb6-e6ec2157a9ed","http://resolver.tudelft.nl/uuid:555c15cb-7adc-436b-9bb6-e6ec2157a9ed","ViTaLS -A Novel Link-Layer Scheduling Framework for Tactile Internet over Wi-Fi","Gokhale, V. (TU Delft Embedded Systems); Kroep, H.J.C. (TU Delft Embedded Systems); Venkatesha Prasad, Ranga Rao (TU Delft Embedded Systems); Bellalta, Boris (Pompeu Fabra University); Dressler, Falko (Technical University of Berlin)","","2023","The pioneering field of tactile Internet (TI) will enable the transfer of human skills over long distances through haptic feedback. Realizing this demands a roundtrip latency of sub-5 ms. In this work, we investigate the capability of Wi-Fi 6 and existing TI scheduling/multiplexing schemes in meeting this stringent latency constraint. Taking the concrete example of the state-of-the-art video-haptic multiplexer (VH-multiplexer), we highlight the pitfalls of relying on the existing Wi-Fi 6 systems for TI communication. To circumvent this, we propose video-tactile latency scheduler (ViTaLS) - a novel link layer framework for tuning the video-tactile frame transmissions to suit their heterogeneous Quality of Service requirements. We present a mathematical model to characterize the packet transmission duration of ViTaLS. Using a custom simulator, we validate our model and measure the objective performance improvement of ViTaLS over VH-multiplexer. We also present ViTaLS-optimal - a variant of ViTaLS, for further 4 reducing the tactile latency. Objectively, we show that ViTaLS-optimal yields a latency improvement of up to 82 %. Based on experiments conducted on a real TI testbed, we subjectively demonstrate that ViTaLS-optimal outperforms the VH-multiplexer.","Tactile Internet; Wi-Fi 6; 802.11ax; ViTaLS; ultra-low latency","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Embedded Systems","","",""
"uuid:adf37e38-675b-4208-ae78-7b675978d6c3","http://resolver.tudelft.nl/uuid:adf37e38-675b-4208-ae78-7b675978d6c3","Exploring Stability and Accuracy Limits of Distributed Real-Time Power System Simulations via System-of-Systems Cosimulation","Barbierato, Luca (Politecnico di Torino); Pons, Enrico (Politecnico di Torino); Bompard, Ettore Francesco (Politecnico di Torino); Subramaniam Rajkumar, Vetrivel (TU Delft Intelligent Electrical Power Grids); Palensky, P. (TU Delft Electrical Sustainable Energy); Bottaccioli, Lorenzo (Politecnico di Torino); Patti, Edoardo (Politecnico di Torino)","","2023","Electromagnetic transients (EMT) is the most accurate, but computationally expensive method of analyzing power system phenomena. Thereby, interconnecting several real-time simulators can unlock scalability and system coverage, but leads to a number of new challenges, mainly in time synchronization, numerical stability, and accuracy quantification. This study presents such a cosimulation, based on digital real-time simulators (DRTS), connected via Aurora 8B/10B protocol. Such a setup allows to analyze complex and hybrid system-of-systems whose resulting numerical phenomena and artifacts have been poorly investigated and understood so far. We experimentally investigate the impact of IEEE 1588 precision time protocol synchronization assessing both time and frequency domains. The analysis of the experimental results is encouraging and show that numerical stability can be maintained even with complex system setups. Growing shares of inverter-based renewable power generation require larger and interconnected EMT system studies. This work helps to understand the phenomena connected to such DRTS advanced cosimulation setups.","Cosimulation; digital real-time simulators (DRTSs); numerical stability; power system assessments; system-of-systems (SoS)","en","journal article","","","","","","","","","","Electrical Sustainable Energy","Intelligent Electrical Power Grids","","",""
"uuid:9df91cb9-7af3-449d-b8a2-e25fbb59d83c","http://resolver.tudelft.nl/uuid:9df91cb9-7af3-449d-b8a2-e25fbb59d83c","Investigation of Unclamped Inductive Switch Characteristics in 4H-SiC MOSFETs With Different Cell Topologies","Wu, Huan (Chongqing University); Luo, Houcai (Chongqing University); Zhang, Jingping (Chongqing University); Zheng, Bofeng (Chongqing University); Lang, Lei (Chongqing University); Wang, Zeping (Chongqing University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Chen, Xianping (Chongqing University)","","2023","To investigate the unclamped inductive switch (UIS) characteristics, 1200 V silicon carbide (SiC) planar MOSFETs with four cell topologies of linear, current sharing linear, square, and hexagon are designed and manufactured. The experimental platform was built and tested. The results show that the single pulse avalanche energy density of the linear cell topology is 1.69 times higher than that of the square and 1.49 times that of the hexagon. Further, the UIS process is simulated by using physical simulation, which shows that the avalanche energy was concentrated near the corner of the P-base region in the UIS mode. From this, the avalanche energy distribution differences of the four cell topologies were analyzed and compared. A theoretical model of avalanche heating per unit area is proposed, which shows that the avalanche energy density is inversely proportional to the proportion of avalanche energy concentration region. This study may contribute to the cell topology design of SiC MOSFETs under the application scenario with high avalanche reliability requirements.","Avalanche; cell topologies; failure analysis; silicon carbide (SiC) planar MOSFET; unclamped inductive switch (UIS) test","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-10","","","Electronic Components, Technology and Materials","","",""
"uuid:ffb732e6-4bb0-4066-a243-7f0efedab9aa","http://resolver.tudelft.nl/uuid:ffb732e6-4bb0-4066-a243-7f0efedab9aa","Interpreting the effectiveness of antioxidants to increase the resilience of asphalt binders: A global interlaboratory study","Adwani, Dheeraj (The University of Texas at Austin); Sreeram, Anand (The University of Texas at Austin); Pipintakos, Georgios (Universiteit Antwerpen); Mirwald, Johannes (Technische Universität Wien); Wang, Yudi (University of Illinois at Urbana-Champaign); Hajj, Ramez (University of Illinois at Urbana-Champaign); Jing, R. (TU Delft Pavement Engineering); Bhasin, Amit (The University of Texas at Austin)","","2023","The design and use of antioxidant additives to reduce or slow down the aging of asphalt binders can bring about tremendous benefits to the asphalt industry. Despite many isolated and scattered research efforts showing mixed results, the application of this science to engineering-based solutions has been limited due to variability in results and conflicting data available. This work presents the results from a global interlaboratory study to test the effectiveness of promising antioxidant additives, namely kraft lignin, calcium hydroxide, zinc diethyldithiocarbamate and phenothiazine to increase the resilience of asphalt binders and provide insights towards understanding the complex intricacies between chemistry and rheology. Specifically, seven different binders from various geographical regions in the world i.e., Texas (USA), Vienna (Austria), Illinois (USA), Antwerp (Belgium), and Delft (Netherlands) were blended with the antioxidants at two proportions. Subsequently, the chemical and rheological properties of the blends were evaluated using Fourier transform infrared (FTIR) spectroscopy and dynamic shear rheometer (DSR). The results indicate that although some antioxidants may reduce oxidation based chemical indices, their effect on rheology is more complicated and possibly related to unique physicochemical interactions in each binder. From a macro-perspective, zinc diethyldithiocarbamate showed promising results with a good correlation between rheology and chemistry for the majority of the binders. These additives or other additives with the same working principles should be investigated further. Additionally, significant research efforts must also be directed towards approaches aimed at understanding mechanisms of interaction and relating results with specific binder compositions.","Antioxidants; Aging; Binder Chemistry; Resilience; Oxidation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Pavement Engineering","","",""
"uuid:5a4287a8-0ab9-4c0f-880c-345ca5000c2d","http://resolver.tudelft.nl/uuid:5a4287a8-0ab9-4c0f-880c-345ca5000c2d","Nonlinear Dynamic Inversion with Actuator Dynamics: An Incremental Control Perspective","Steffensen, Rasmus (Technische Universität München); Steinert, Agnes (Technische Universität München); Smeur, E.J.J. (TU Delft Control & Simulation)","","2023","In this paper, we derive a sensor-based nonlinear dynamic inversion (NDI) control law for a nonlinear system with first-order linear actuators, and compare it to incremental nonlinear dynamic inversion (INDI), which has gained popularity in recent years. It is shown that, for first-order actuator dynamics, INDI approximates the corresponding NDI control law arbitrarily well under the condition of sufficiently fast actuators. If the actuator bandwidth is low compared to changes in the states, the derived NDI control law has the following advantages compared to INDI: 1) compensation of state derivative terms, 2) well-defined error dynamics, and 3) exact tracking of a reference model, independent of error controller gains in nominal conditions. The comparison of the INDI control law with the well-established control design method NDI adds to the understanding of incremental control. It is additionally shown how to quantify the deficiency of the INDI control law with respect to the exact NDI law for actuators with finite bandwidth. The results are confirmed through simulation results of the roll motion of a fixed-wing aircraft.","","en","journal article","","","","","","","","2023-07-01","","","Control & Simulation","","",""
"uuid:8b219e52-0795-450d-ab88-7b9bc14f4899","http://resolver.tudelft.nl/uuid:8b219e52-0795-450d-ab88-7b9bc14f4899","A space–time-energy flow-based integer programming model to design and operate a regional shared automated electric vehicle (SAEV) system and corresponding charging network","Santos, Gonçalo Gonçalves Duarte (Universidade de Coimbra); Birolini, Sebastian (University of Bergamo); Correia, Gonçalo (TU Delft Transport and Planning)","","2023","Shared automated vehicles are expected to be part of the supply of transportation systems in the future. Parallel to this evolution, there is the rapid penetration of battery electric vehicles (BEVs). The limitations in battery capacity and charging speed of BEVs can influence the planning and operation of shared automated electric vehicle (SAEV) systems. The design of such systems needs to include these limitations so that their viability is properly estimated. In this paper, we develop a space–time-energy flow-based integer programming (IP) model in support of the strategic design of a regional SAEV system. The proposed approach optimizes the fleet (size and composition) and charging facilities (number and location), while explicitly accounting for vehicle operations in aggregated terms (including movements with users, relocations, and charging times). The model is used to assess the impact of vehicle range and different types of chargers in the optimal design of an interurban SAEV transport system in the center of Portugal. Results show a reduction in profit as the vehicle range increases. In regards to energy, it is observed that the adoption of long-range vehicles reduces the energy spent in relocations, and increases the amount of energy charged at a lower price. Additionally, it is found that a system with long-range vehicles does not take advantage of having fast chargers. Concerning the chargers’ optimal location, systems using short-range vehicles have more chargers close to the main commuter trips attracting cities, while systems with long-range vehicles have the chargers nearby the homes of users.","Integer programming; Flow-based model; Shared automated electric vehicles; Electric charging; Mathematical optimization","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:32a67486-2a00-406b-aac1-3ad6f46d86bd","http://resolver.tudelft.nl/uuid:32a67486-2a00-406b-aac1-3ad6f46d86bd","Hubs for Freight and Passengers?: Exploring the suitability of adding logistics functions to mobility hubs","Chetouani, Israe (Student TU Delft); van Duin, Ron (TU Delft Transport and Logistics; Rotterdam University of Applied Sciences); Vleugel, J (TU Delft Transport and Planning); van Wee, G.P. (TU Delft Transport and Logistics); van Son, C.B.H. (Student TU Delft); Arends, H.H. (AT Osborne)","","2023","In the coming years, cities will have to deal with transportation challenges in terms of accessibility and sustainability. Passenger and freight transport are expected to increase, while the transport sector is a major contributor to greenhouse gas emissions and congestion in cities. Although historically both ecosystems (passenger transport and freight transport) were intertwined, the ecosystems have developed separately from each other over the past century. This research focuses on finding integrated logistics flows and logistics innovations and especially finding the conditions under which these can be added to different types of mobility hubs. By collecting and structuring information from literature and interviews using a requirement analysis, possible logistics additions and associated conditions are mapped into frameworks. The results show that small consumer goods flows have the highest potential to be added to mobility hubs. In addition, the frameworks show that they can be used as a first step in the analysis to investigate which logistics functions and under which conditions these could have potential on a mobility hub. Further research can, preferably quantitatively, examine whether the suggested logistics additions from the framework are applicable in more detailed areas.","Integrated hubs; Passenger transport; Urban freight transport; Hub Frameworks","en","conference paper","Transportation Research Board (TRB)","","","","","","","","","Transport and Planning","Transport and Logistics","","",""
"uuid:d2e3c6c3-ddc5-4c93-a5ce-2768a26f7d72","http://resolver.tudelft.nl/uuid:d2e3c6c3-ddc5-4c93-a5ce-2768a26f7d72","A numerical strength prediction approach for wood using element-wise local fiber directions from laser scanning","Seeber, Franziska (Technische Universität München); Sarnaghi, Ani Khaloian (Technische Universität München); Rais, Andreas (Technische Universität München); van de Kuilen, J.W.G. (TU Delft Bio-based Structures & Materials; Technische Universität München)","","2023","Mechanical properties of wood such as stiffness and strength vary locally especially due to heterogeneities and anisotropy. Analytical models and numerical simulations of wooden boards are able to represent varying material orientation e.g. with local fiber directions from laser scanning as input for the prediction of strength. Current Finite Element Models reconstructed the grain orientation by means of computationally demanding fluid analysis around obstacles like knots; whereas the available fiber pattern, captured by means of laser scanning, was passed solely into the detection of knots, but not directly processed for the inclusion of material fiber orientation. Therefore, the goal of this paper was the development of a numerical approach to directly include locally varying measured fiber orientation with orthotropic material properties and to predict the tensile strength of boards with reduced computational effort. Therefore, the stiffness was transformed element-wise according to the measured fiber deviations and the local fiber stress components were computed for the specific tensile load case. For the virtual strength prediction, numerical maximum stress values were compared to experimental tensile strength. Good agreements were observed with reduced computational effort compared to existing approaches between numerical and experimental results.","Laser scanning; FE model; 3D stresses; Virtual strength prediction","en","journal article","","","","","","","","","","","Bio-based Structures & Materials","","",""
"uuid:c01c9243-c6f7-4712-a5ca-57038b85a7b5","http://resolver.tudelft.nl/uuid:c01c9243-c6f7-4712-a5ca-57038b85a7b5","Indicators and methods for assessing acoustical preferences and needs of students in educational buildings: A review","Hamida, A.B. (TU Delft Environmental & Climate Design); Zhang, D. (TU Delft Environmental & Climate Design); Ortiz, Marco A. (TU Delft Indoor Environment); Bluyssen, P.M. (TU Delft Environmental & Climate Design)","","2023","Sounds (e.g., human activity, nature, building systems) are one of the indoor environmental stimuli that may have positive and/or negative effects on students’ well-being and performance in educational buildings. Students in educational buildings have individual acoustical preferences and needs as portrayed by occupant-related indicators, for example perception. Acoustical guidelines for educational buildings are generally focused on acoustical performance in terms of dose-related (e.g., sound pressure level) and building-related indicators (e.g., sound absorbing walls), while occupant-related indicators (e.g., heart rate) are rarely mentioned. In contrast, previous studies such as indoor soundscape studies, do take into consideration occupant-related indicators, including physiological and psychological. Therefore, this study aimed at summarizing these indicators in a comprehensive overview that is essential for investigating the students’ acoustical preferences and needs in educational buildings. A literature review of relevant studies in the domain of indoor acoustics and soundscape was carried out. A number of key indicators (occupant-related, dose-related, building-related) and methods that are fundamental to be considered were identified. Only in a few studies, students’ acoustical preferences and needs were investigated by considering occupant-related indicators (both physiological and psychological). In addition, dose-related indicators of other indoor environmental quality (IEQ) factors and building-related indicators were rarely taken into account in previous studies.","Acoustical needs; Acoustical preferences; Building-related indicators; Dose-related indicators; Occupant-related indicators; Students","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:919ce08a-9233-4696-85d6-1982b8a5a73e","http://resolver.tudelft.nl/uuid:919ce08a-9233-4696-85d6-1982b8a5a73e","How to Alleviate Feelings of Crowding in a Working from Home Environment: Lessons Learned from the COVID-19 Pandemic","Park, S.Y. (TU Delft Spatial Planning and Strategy); Newton, C.E.L. (TU Delft Spatial Planning and Strategy); Lee, Rachel (TU Delft History, Form & Aesthetics)","","2023","The sudden adoption of working from home (WFH) during the COVID-19 pandemic has required the reconfiguration of home spaces to fit space for remote work into existing spaces already filled with other domestic functions. This resulted in blurring of home and work boundaries, the potential lack of space for telecommuting from home, and telecommuters’ feelings of crowding. Numerous studies have shown the negative effects of crowding feelings on workers’ responses. This study focused on the issue of crowding in the residential workspace. An online survey was conducted to investigate how features of the home workspace correlate with telecommuters’ feelings of crowding and how these feelings affect satisfaction, health, and productivity. As a result, we found that various environmental features of home workspaces (e.g., house size, purpose of workspace, accessible balcony, lighting, noise, etc.), as well as psychological aspects (e.g., individual control over space use), had significant effects on telecommuters’ feelings of crowdedness. It was also found that feelings of crowding in the WFH environment can directly and indirectly affect teleworkers’ satisfaction with work environments, well-being, and work performance. Based on the results, we offered various potential ways to alleviate overcrowding issues in the WFH context.","feelings of crowding; working from home; work environment; COVID-19; health; productivity","en","journal article","","","","","","","","","","","Spatial Planning and Strategy","","",""
"uuid:fe963c3c-24dd-43ec-b6a6-2b8e57a5b24c","http://resolver.tudelft.nl/uuid:fe963c3c-24dd-43ec-b6a6-2b8e57a5b24c","PSSNet: Planarity-sensible Semantic Segmentation of large-scale urban meshes","Gao, W. (TU Delft Urban Data Science); Nan, L. (TU Delft Urban Data Science); Boom, Bas (CycloMedia Technology); Ledoux, H. (TU Delft Urban Data Science)","","2023","We introduce a novel deep learning-based framework to interpret 3D urban scenes represented as textured meshes. Based on the observation that object boundaries typically align with the boundaries of planar regions, our framework achieves semantic segmentation in two steps: planarity-sensible over-segmentation followed by semantic classification. The over-segmentation step generates an initial set of mesh segments that capture the planar and non-planar regions of urban scenes. In the subsequent classification step, we construct a graph that encodes the geometric and photometric features of the segments in its nodes and the multi-scale contextual features in its edges. The final semantic segmentation is obtained by classifying the segments using a graph convolutional network. Experiments and comparisons on two semantic urban mesh benchmarks demonstrate that our approach outperforms the state-of-the-art methods in terms of boundary quality, mean IoU (intersection over union), and generalization ability. We also introduce several new metrics for evaluating mesh over-segmentation methods dedicated to semantic segmentation, and our proposed over-segmentation approach outperforms state-of-the-art methods on all metrics. Our source code is available at https://github.com/WeixiaoGao/PSSNet.","Over-segmentation; Semantic segmentation; Texture meshes; Urban scene understanding","en","journal article","","","","","","","","","","","Urban Data Science","","",""
"uuid:c57e3666-b47b-4ec2-80b5-869456a3451a","http://resolver.tudelft.nl/uuid:c57e3666-b47b-4ec2-80b5-869456a3451a","Experimental investigation on the tensile behaviour of welded RHS high strength steel X-joints","Yan, R. (TU Delft Steel & Composite Structures); Mela, Kristo (Tampere University); El Bamby, H. (TU Delft Steel & Composite Structures); Veljkovic, M. (TU Delft Steel & Composite Structures)","","2023","The newest version of prEN 1993-1-8 (2022) prescribes a material factor (Cf) to reduce the design resistance of welded joints made of high strength steel (HSS) mostly due to the lack of available experiments, given the less ductility of HSS compared to mild steel. Additionally, it is stated that the material design yield strength should not exceed 0.8 times the ultimate strength (fu) for the chord punching shear failure and the tensile brace failure. The mechanical background behind Cf and the 0.8fu restriction for different types of joints and loading conditions is vague. In this paper, the validity of Cf and the 0.8fu restriction is investigated experimentally by considering 18 welded rectangular hollow section X-joints tested in tension. A bi-linear model, which is suitable for an elasto-plastic global analysis considering the post-yielding stiffness, is proposed to characterize the nonlinear behaviour of the joint. The predicted resistance and failure mode, with and without considering the Cf and/or the 0.8fu restriction, are compared to the experimental results. In addition, the predicted resistance corresponding to the experimental failure mode is investigated. It is concluded, based on the tested joints in this paper and literature, that Cf and the 0.8fu restriction are not necessary for the design according to prEN1993-1-8. However, the predicted brace failure resistance is unconservative for tested joints that failed by brace failure if Cf for S700 or the 0.8fu restriction for all steel grades is not considered.","Welded tubular joints; High strength steel; Cold-formed rectangular hollow section; X-joint","en","journal article","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:b303ac20-37a6-4722-83d1-90874e97f378","http://resolver.tudelft.nl/uuid:b303ac20-37a6-4722-83d1-90874e97f378","Spiking Neural-Networks-Based Data-Driven Control","Liu, Y. (Student TU Delft); Pan, W. (TU Delft Robot Dynamics)","","2023","Machine learning can be effectively applied in control loops to make optimal control decisions robustly. There is increasing interest in using spiking neural networks (SNNs) as the apparatus for machine learning in control engineering because SNNs can potentially offer high energy efficiency, and new SNN-enabling neuromorphic hardware is being rapidly developed. A defining characteristic of control problems is that environmental reactions and delayed rewards must be considered. Although reinforcement learning (RL) provides the fundamental mechanisms to address such problems, implementing these mechanisms in SNN learning has been underexplored. Previously, spike-timing-dependent plasticity learning schemes (STDP) modulated by factors of temporal difference (TD-STDP) or reward (R-STDP) have been proposed for RL with SNN. Here, we designed and implemented an SNN controller to explore and compare these two schemes by considering cart-pole balancing as a representative example. Although the TD-based learning rules are very general, the resulting model exhibits rather slow convergence, producing noisy and imperfect results even after prolonged training. We show that by integrating the understanding of the dynamics of the environment into the reward function of R-STDP, a robust SNN-based controller can be learned much more efficiently than TD-STDP.","spiking neural network; reinforcement learning; control","en","journal article","","","","","","","","","","","Robot Dynamics","","",""
"uuid:a576fcee-2b86-4344-ae7d-602099a52088","http://resolver.tudelft.nl/uuid:a576fcee-2b86-4344-ae7d-602099a52088","Frequency Tracking Method and Compensation Parameters Optimization to Improve Capacitor Deviation Tolerance of the Wireless Power Transfer System","Wang, Yi (Beijing Jiaotong University); Yang, Zhongping (Beijing Jiaotong University); Lin, Fei (Beijing Jiaotong University); Dong, J. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2023","Compensation capacitors are naturally susceptible to manufacturing defects and aging effects, leading to the degraded performance of a wireless power transfer (WPT) system. This article focuses on the compensation parameters optimization during the design stage and control strategy during the operation phase to improve the inherent capacitor error tolerance of the WPT system. The Sobol sensitivity method is applied to rank the importance of deviations of three capacitors on the transfer characteristics, and then the method of tracking the secondary resonance frequency is proposed. The numerical method is applied to find the optimal compensation parameters, with the constraint that the output voltage change caused by the shift of the designed compensation condition is limited to be less than ±5%. Experimental results show that with the proposed frequency tracking method and compensation parameter optimization, the deviation tolerance index is decreased from 0.485 to 0.363, showing an improvement of 25.2%, and the minimum power factor is increased from 0.78 to 0.89. Besides, the characteristics of constant primary coil current and voltage gain are almost not affected.","Wireless power transfer; compensation errors; detuning tolerance; frequency tracking; parameter optimization","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-04","","","DC systems, Energy conversion & Storage","","",""
"uuid:01d3bb14-9793-447c-962b-49a70c2b0883","http://resolver.tudelft.nl/uuid:01d3bb14-9793-447c-962b-49a70c2b0883","Sequential Neural Network Model with Spatial-Temporal Attention Mechanism for Robust Lane Detection Using Multi Continuous Image Frames","Dong, Y. (TU Delft Transport and Planning); Patil, Sandeep (Student TU Delft); Farah, H. (TU Delft Transport and Planning); Hellendoorn, J. (TU Delft Cognitive Robotics)","","2023","Lane detection serves as a fundamental task for automated vehicles and Advanced Driver Assistance Systems. However, current lane detection methods can not deliver the versatility of accurate, robust, and realtime compatible lane detection in real-world scenarios especially under challenging driving scenes. Available vision-based methods in the literature do not consider critical regions of the image and their spatial-temporal salience regarding the detection results, thus they deliver poor performance in peculiar difficult circumstances (e.g., serious occlusion, dazzle lighting). This study aims to introduce a novel sequential neural network model with a spatial-temporal attention mechanism that can focus on key features of lane lines and exploit salient spatial-temporal correlations among continuous image frames for the purpose of enhancing the accuracy and robustness of lane detection. Under the regular encoder-decoder structure and with the implementation using common neural network backbones, the proposed model is trained and evaluated on three large-scale opensource datasets. Extensive experiments demonstrate the strength and the robustness of the proposed model outperforming available state-of-the-art methods in various testing.","","en","poster","","","","","","","","","","Cognitive Robotics","Transport and Planning","","",""
"uuid:62690e30-572d-44c2-aa8f-f0b1cb835f29","http://resolver.tudelft.nl/uuid:62690e30-572d-44c2-aa8f-f0b1cb835f29","Robust Lane Detection through Self Pre-training with Masked Sequential Autoencoders and Fine-tuning with Customized PolyLoss","Dong, Y. (TU Delft Transport and Planning); Li, Ruohan (Lanzhou Jiaotong University); Farah, H. (TU Delft Transport and Planning)","","2023","Lane detection is crucial for vehicle localization which makes it the foundation for automated driving and many intelligent and advanced driving assistant systems. Available vision-based lane detection methods do not make full use of the valuable features and aggregate contextual information, especially
the interrelationships between lane lines and other regions of the images in continuous frames. To fill this research gap and upgrade lane detection performance, this paper proposes a pipeline consisting of self pre-training with masked sequential autoencoders and fine-tuning with customized PolyLoss for the end-to-end neural network models using multi-continuous image frames. The masked sequential autoencoders are adopted to pretrain the neural network models with reconstructing the missing pixels from a random masked image as the objective. Then, in the fine-tuning segmentation phase where lane detection segmentation is performed, the continuous image frames are served as the inputs, and the pre-trained model weights are transferred and further updated using the backpropagation mechanism with
customized PolyLoss calculating the weighted errors between the output lane detection results and the labeled ground truth. Extensive experiment results demonstrate that, with the proposed pipeline, the lane detection model performance on both normal and challenging scenes can be advanced beyond the state-of-the art results, while the training time can be substantially shortened.","","en","poster","","","","","","","","","","","Transport and Planning","","",""
"uuid:fd0562a1-b5ba-4342-a9b7-587308b139c5","http://resolver.tudelft.nl/uuid:fd0562a1-b5ba-4342-a9b7-587308b139c5","Optimization of Coordinated Flow Control and Skip-stopping Schemes for Urban Rail Stations Considering Platform Carrying Capacity","Zhang, Yu (Beijing Jiaotong University); Dong, Y. (TU Delft Transport and Planning)","","2023","The platform carrying capacity of urban rail transit stations is limited and overcrowding of the platform will lead to serious safety risks for passengers and trains. It is significant to collaborate on the optimization of passenger flow strategy and skip-stopping scheme to alleviate traffic pressure and ensure platform safety. This study proposes and solves the joint optimization problem of coordinated flow control and skip-stopping scheme considering platform carrying capacity. Firstly, platform demand constraints and platform stranded constraints are designed according to the maximum carrying capacity of the platform to control the number of allowable arrivals ensuring platform safety. Secondly, train arrival variable and train stop variable are introduced to generate train skip-stopping index. Finally, considering the characteristics of passengers' continuous arrival and platform carrying capacity, a mixed integer programming model is established to minimize the number of passengers outside the station and the number of passengers stranded on the platform. Based on empirical data, this study takes Beijing Batong line as a case study and uses the established model to generate flow control strategy and skip-stopping schemes for each station during morning rush hours. Experimental results show that compared to the baseline without implementing the two proposed strategies, the proposed collaborative optimization method can effectively reduce the demand for staying at the platform and increase the number of boarding passengers at downstream stations. Thus, the balance between train capacity and passenger flow demand is maintained while ensuring platform safety. Moreover, the proposed method can also avoid overcrowding at downstream stations.","urban rail transit; coordinated passenger flow control; mixed integer programming; skip-stopping scheme; station platform capacity","en","poster","","","","","","","","","","","Transport and Planning","","",""
"uuid:390feb88-fa1f-4cfe-9354-8d10f73e3378","http://resolver.tudelft.nl/uuid:390feb88-fa1f-4cfe-9354-8d10f73e3378","Green and sustainable solvents for biocatalytic oxidations","Sheldon, R.A. (TU Delft BT/Biocatalysis; University of Witwatersrand); Bode, Moira L. (University of Witwatersrand); Mathebula, Nompumelelo (University of Witwatersrand)","","2023","The discovery that enzymes could function efficiently in organic solvents revolutionized their use in industry but represented a change from the natural “green” solvent, water, to a host of environmentally undesirable solvents. Considerable effort is being devoted to making such processes greener again. Bio-based solvents, derived from waste biomass, possess the desirable attributes of traditional organic solvents but are more conducive to a circular bio-based economy. Although biocatalytic oxidations have only been tested in bio-based ether solvents, there is considerable scope for expanding this to include bio-based ester solvents. Alternatively, both ionic liquids and deep eutectic solvents, with tunable properties, are proving very interesting solvents for biocatalytic oxidations. In particular, oxidative depolymerization of lignin, catalyzed by laccases, has been extensively investigated. Finally, designer amphiphiles can facilitate the formation of micelles that act as hydrophobic nanoreactors for performing biocatalytic oxidation processes while surrounded by aqueous buffer as solvent.","Bio-based solvents; Biocatalytic oxidations; Deep eutectic solvents; Green solvents; Ionic liquids; Micellar catalysis","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","BT/Biocatalysis","","",""
"uuid:39bef206-6bf9-41cc-90e1-3f49bc06f8d7","http://resolver.tudelft.nl/uuid:39bef206-6bf9-41cc-90e1-3f49bc06f8d7","Fast Approximate Dynamic Programming for Input-Affine Dynamics","Sharifi Kolarijani, M.A. (TU Delft Team Peyman Mohajerin Esfahani); Mohajerin Esfahani, P. (TU Delft Team Peyman Mohajerin Esfahani)","","2023","We propose two novel numerical schemes for the approximate implementation of the dynamic programming (DP) operation concerned with finite-horizon optimal control of discrete-time systems with input-affine dynamics. The proposed algorithms involve discretization of the state and input spaces and are based on an alternative path that solves the dual problem corresponding to the DP operation. We provide error bounds for the proposed algorithms, along with a detailed analysis of their computational complexity. In particular, for a specific class of problems with separable data in the state and input variables, the proposed approach can reduce the typical time complexity of the DP operation from O(XU) to O(X+U) , where X and U denote the size of the discrete state and input spaces, respectively. This reduction in complexity is achieved by an algorithmic transformation of the minimization in DP operation to an addition via discrete conjugation.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-28","","","Team Peyman Mohajerin Esfahani","","",""
"uuid:ea217f47-e483-4876-bc44-f8c638e69f6e","http://resolver.tudelft.nl/uuid:ea217f47-e483-4876-bc44-f8c638e69f6e","Synoptic observations of sediment transport and exchange mechanisms in the turbid Ems Estuary: The EDoM campaign","van Maren, D.S. (TU Delft Environmental Fluid Mechanics; East China Normal University; Deltares); Maushake, Christian (Federal Waterways Engineering and Research Institute); Mol, Jan-Willem (Rijkswaterstaat); van Keulen, Daan (Wageningen University & Research); Jürges, Jens (Federal Waterways Engineering and Research Institute); Vroom, Julia (Deltares); Schuttelaars, H.M. (TU Delft Mathematical Physics); Gerkema, Theo (The University of Texas at Austin); Schulz, Kirstin (The University of Texas at Austin)","","2023","An extensive field campaign, the Ems-Dollard Measurements (EDoM), was executed in the Ems Estuary, bordering the Netherlands and Germany, aimed at better understanding the mechanisms that drive the exchange of water and sediments between a relatively exposed outer estuary and a hyper-turbid tidal river. More specifically, the reasons for the large up-estuary sediment accumulation rates and the role of the tidal river on the turbidity in the outer estuary were insufficiently understood. The campaign was designed to unravel the hydrodynamic and sedimentary exchange mechanisms, comprising two hydrographic surveys during contrasting environmental conditions using eight concurrently operating ships and 10 moorings measuring for at least one spring–neap tidal cycle. All survey locations were equipped with sensors measuring flow velocity, salinity, and turbidity (and with stationary ship surveys taking water samples), while some of the survey ships also measured turbulence and sediment settling properties. These observations have provided important new insights into horizontal sediment fluxes and density-driven exchange flows, both laterally and longitudinally. An integral analysis of these observations suggests that large-scale residual transport is surprisingly similar during periods of high and low discharge, with higher river discharge resulting in both higher seaward-directed fluxes near the surface and landward-directed fluxes near the bed. Sediment exchange seems to be strongly influenced by a previously undocumented lateral circulation cell driving residual transport. Vertical density-driven flows in the outer estuary are influenced by variations in river discharge, with a near-bed landward flow being most pronounced in the days following a period with elevated river discharge. The study site is more turbid during winter conditions, when the estuarine turbidity maximum (ETM) is pushed seaward by river flow, resulting in a more pronounced impact of suspended sediments on hydrodynamics. All data collected during the EDoM campaign, but also standard monitoring data (waves, water levels, discharge, turbidity, and salinity) collected by Dutch and German authorities are made publicly available at 4TU Centre for Research Data (https://doi.org/10.4121/c.6056564.v3; van Maren et al., 2022).","","en","journal article","","","","","","","","","","","Environmental Fluid Mechanics","","",""
"uuid:a3e45c3d-b530-4c48-bd7f-f7e10ddac680","http://resolver.tudelft.nl/uuid:a3e45c3d-b530-4c48-bd7f-f7e10ddac680","Land-Atmosphere Interactions and Effects on the Climate of the Tibetan Plateau and Surrounding Regions: Remote Sensing","Ma, Yaoming (Chinese Academy of Sciences; University of Chinese Academy of Sciences; Lanzhou University; National Observation and Research Station for Qomolongma Special Atmospheric Processes and Environmental Changes); Zhong, Lei (University of Science and Technology of China; University of Science and Technology of China (USTC), Hefei; Jiangsu Collaborative Innovation Center for Climate Change); Jia, Li (Chinese Academy of Sciences); Menenti, M. (TU Delft Optical and Laser Remote Sensing; Chinese Academy of Sciences)","","2023","The global climate has undergone unequivocal warming [...]","","en","contribution to periodical","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:a3934dd7-2803-4c8a-b7f2-c3537abb8126","http://resolver.tudelft.nl/uuid:a3934dd7-2803-4c8a-b7f2-c3537abb8126","Change lost: Corrosion of Roman copper alloy coins in changing and variable burial environments","Huisman, Luc Hans (Cultural Heritage Agency of the Netherlands; Rijksuniversiteit Groningen); Ackermann, Regula (Kantonsarchaologie); Claes, Liesbeth (Universiteit Leiden); van Eijck, L. (TU Delft RST/Neutron and Positron Methods in Materials); de Groot, Tessa (Cultural Heritage Agency of the Netherlands); Joosten, Ineke (Cultural Heritage Agency of the Netherlands); Kemmers, Fleur (Goethe University); Kerkhoven, Nils (Erfgoed Utrecht); Ngan-Tillard, D.J.M. (TU Delft Geo-engineering)","","2023","We studied the corrosion of Roman copper alloy coins that experienced alternations or progressive changes in their burial environment. We used coins that were still embedded in soil or in a concretion selectedfrom three professional excataved sites - Berlicum and Krommenie in the Netherlands and Kempraten in Switserland. mCT scanning and neutron scanning were used to record the 3-D properties of these coins prior to (destructive) analyses. It proved possible to tentatively identify the coins. Microscope observations and SEM-EDX analyses revealed complex corrosion processes, related to changing burial environments. In soil horizon with fluctuating groundwater levels in a region with upwelling reducing, iron-rich groundwater, the copper in a gunmetal coin is essentially replaced by iron oxides while tin remains and forms tin-oxide bands. Fluctuating redox conditions in marine-influenced environments was shown to transform a copper-alloy coin into strongly laminated copper sulphides with embedded gypsum crystals, with an outer surface of copper and copper-iron sulphides. Burial of bronze in a charcoal rich layer probably caused temporary highly alkaline soil conditions. This caused most of the copper to leach from this coin, leaving behind a laminated tin-dominated mass, with only a limited amount of (malachite) corrosion products remaining in the surrounding groundmass. In all three cases, corrosion processes tend to be anisotropic, probably because of cold-hammering of the coins during their manufacture. Such corrosion processes on massive copper alloy coins may produce features that may lead to their incorrect classification as subferrati, i.e. copper alloy coins with an iron core. Our results may help in future to distinguish strongly corroded massive coins from subferrati.","Coin; Corrosion; Gley; Sulphides; Tomography","en","journal article","","","","","","","","","","","RST/Neutron and Positron Methods in Materials","","",""
"uuid:7c178f2c-4c5b-4ebb-975d-335a715f9444","http://resolver.tudelft.nl/uuid:7c178f2c-4c5b-4ebb-975d-335a715f9444","A framework for designing AI systems that support community wellbeing","van der Maden, W.L.A. (TU Delft Design Aesthetics); Lomas, J.D. (TU Delft Design Aesthetics); Hekkert, P.P.M. (TU Delft Design Aesthetics)","","2023","Introduction: Designing artificial intelligence (AI) to support health and wellbeing is an important and broad challenge for technologists, designers, and policymakers. Drawing upon theories of AI and cybernetics, this article offers a design framework for designing intelligent systems to optimize human wellbeing. We focus on the production of wellbeing information feedback loops in complex community settings, and discuss the case study of My Wellness Check, an intelligent system designed to support the mental health and wellbeing needs of university students and staff during the COVID-19 pandemic. Methods: The basis for our discussion is the community-led design of My Wellness Check, an intelligent system that supported the mental health and wellbeing needs of university students and staff during the COVID-19 pandemic. Our system was designed to create an intelligent feedback loop to assess community wellbeing needs and to inform community action. This article provides an overview of our longitudinal assessment of students and staff wellbeing (n = 20,311) across two years of the COVID-19 pandemic. Results: We further share the results of a controlled experiment (n = 1,719) demonstrating the enhanced sensitivity and user experience of our context-sensitive wellbeing assessment. Discussion: Our approach to designing “AI for community wellbeing,” may generalize to the systematic improvement of human wellbeing in other human-computer systems for large-scale governance (e.g., schools, businesses, NGOs, platforms). The two main contributions are: 1) showcasing a simple way to draw from AI theory to produce more intelligent human systems, and 2) introducing a human-centered, community-led approach that may be beneficial to the field of AI.","human-centered design; community wellbeing; artificial intelligence; cybernetics; wellbeing economy; eedback loop; human values","en","journal article","","","","","","","","","","","Design Aesthetics","","",""
"uuid:a1a56204-193a-4f4e-a5a8-fd4421350930","http://resolver.tudelft.nl/uuid:a1a56204-193a-4f4e-a5a8-fd4421350930","Experimental characterization of an unsteady laminar separation bubble on a pitching wing","Grille Guerra, A. (TU Delft Aerodynamics); Mertens, C. (TU Delft Aerodynamics); Little, Jesse C. (University of Arizona); van Oudheusden, B.W. (TU Delft Aerodynamics)","","2023","The laminar separation bubble (LSB) that forms on the suction side of a modified NACA 64 3-618 airfoil at a chord-based Reynolds number of Re = 200 , 000 is studied using wind tunnel experiments. First, the LSB is characterized over a range of static angles of attack, in terms of the locations of separation, transition and reattachment—using surface pressure measurements, particle image velocimetry (PIV) and infrared thermography (IT). For the conditions tested, excellent agreement between the techniques is obtained. Subsequently, a pitching motion is imposed on the wind tunnel model, with reduced frequencies up to k = 0.25. While surface pressure measurements and PIV are not affected by the change in experimental conditions, the infrared approach is impaired by the thermal response of the surface. To overcome this, an extension of the differential infrared thermography (DIT) method for detecting the three characteristics of an unsteady LSB is considered. All three experimental techniques indicate a hysteresis in bubble location between the pitch up and pitch down phases of the motion, caused by the effect of the aerodynamic unsteadiness on the adverse pressure gradient. However, the DIT measurements suggest a larger hysteresis, which is attributed to the thermal response time of the model surface. The experimental results measured with the pressure sensors reveal that the hysteresis in bubble location is larger than the hysteresis in lift, indicating that the observed bubble hysteresis is not purely due to instantaneous flow conditions, but has an inherent component as well.","","en","journal article","","","","","","","","","","","Aerodynamics","","",""
"uuid:9928084e-6dc4-43fb-8329-467fc4c06f09","http://resolver.tudelft.nl/uuid:9928084e-6dc4-43fb-8329-467fc4c06f09","Numerical study of interactive ingress of calcium leaching, chloride transport and multi-ions coupling in concrete","Liu, Qing feng (Shanghai Jiao Tong University); Shen, Xiao-han (Shanghai Jiao Tong University; University of New South Wales); Šavija, B. (TU Delft Materials and Environment); Meng, Zhaozheng (Shanghai Jiao Tong University; Student TU Delft); Tsang, Daniel C.W. (The Hong Kong Polytechnic University); Sepasgozar, Samad (University of New South Wales); Schlangen, E. (TU Delft Materials and Environment)","","2023","In circumstances with wastewater and seawater, the behavior of multi-ions including calcium, chloride and others in concrete attracts attention. The present study investigated the multiple mechanisms that could happen under the special field situation above, including calcium leaching, chloride transport and multi-ion coupling. To realize the interactive ingress of multi ions, the simulation method for the processing of the individual mechanisms and the mutual influences is adopted. The distributions of the diversified ions are analyzed with the influence of the interfacial transition zone. The time-spatial distribution of porosity and its evolution mechanisms are investigated by considering the interaction with calcium ions in both pore solution and solid phase. The results indicate that calcium leaching would dominantly speed up chloride transport due to the coarsened pore structure, while the multi ions electrochemical coupling effect would facilitate calcium leaching in the early stage but subtly delay calcium leaching in the later stage.","Calcium leaching; Chloride ingress; Multi-ions coupling; Pore structure","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-11","","","Materials and Environment","","",""
"uuid:1066745a-5361-4246-874b-defe7921c8f7","http://resolver.tudelft.nl/uuid:1066745a-5361-4246-874b-defe7921c8f7","Diagnostic modeling of the shoreline variation along the Jiangsu Coast, China","Kuai, Y. (TU Delft Coastal Engineering); Aarninkhof, S.G.J. (TU Delft Hydraulic Engineering); Wang, Zhengbing (TU Delft Coastal Engineering; Deltares)","","2023","Intertidal flats are of great socio-economic and ecological importance in defending the coastal cities from flooding, providing resources for land reclamations and habits for wildlife. On the intertidal flats, milder profiles are usually featured with finer sediment. However, we find the opposite relationship between the alongshore variation in intertidal slope and sediment grain size on the intertidal flat along the Jiangsu Coast. With a conceptual figure of the hydrodynamics and shoreline evolution on this coast, we hypothesize that the unexpected pattern is caused by the alongshore gradient in hydrodynamic forcing. In order to test our hypothesis, we carry out a series of numerical model simulations in a highly schematized manner to investigate the real mechanism behind this unexpected pattern. Through the analysis, we find that only the southwards coarsening pattern is inconsistent with the shoreline evolution pattern. This inconsistency is not induced by alongshore hydrodynamic gradient, and can only be explained by different sediment provenances. We also find that the alongshore shoreline evolution pattern is not only determined by the alongshore gradient in hydrodynamic forcing, but also influenced by the alongshore variation in bed composition. In the erosion/sedimentation transition zone, the bed composition factor plays the major role.","Intertidal flat; Beach slope; Sediment grain-size; Bed composition; Jiangsu Coast","en","journal article","","","","","","","","","","Hydraulic Engineering","Coastal Engineering","","",""
"uuid:e4bc1e02-0bb3-477f-b6b9-9ea17ec95f0c","http://resolver.tudelft.nl/uuid:e4bc1e02-0bb3-477f-b6b9-9ea17ec95f0c","Recent Advances and Design Strategies Towards Wearable Near-infrared Spectroscopy","Liu, Shuoyan (National University of Singapore); Xue, Bing (National University of Singapore); Yan, Wenyuan (Northwestern University); Rwei, A.Y. (TU Delft ChemE/Product and Process Engineering); Wu, Changsheng (National University of Singapore)","","2023","With a growing focus on properties of softness, miniaturization, and intelligence, extensive research has been focusing on constructing wearable electronic devices facilitating comfort, wearable health monitoring and diagnosis. Among recent progress in the development of wearable bioelectronics, wearable near-infrared spectroscopy (NIRS) devices demonstrate wide implementation possibilities in multiple health monitoring scenarios. Throughout the years, multiple design strategies have assisted in developing wearable NIRS devices with high wearing comfortability and miniaturized size. This review summarizes the principle of NIRS technology, recent advances in design strategies towards soft, wearable, miniaturized NIRS devices, and the future potential development directions. Based on the discussion of different design strategies, including modular device design, flexible hybrid electronics, and materials innovation, we also pinpoint some development directions for wearable NIRS. The reviewed and proposed research efforts may enhance the applicability and capability of NIRS as an important technology for digital health.","Biomedical monitoring; Blood; Detectors; Light emitting diodes; Monitoring; Nanotechnology; Technological innovation","en","journal article","","","","","","","","","","","ChemE/Product and Process Engineering","","",""
"uuid:7a6fb4c6-d3ec-42ff-a0ca-a60a51a8e0db","http://resolver.tudelft.nl/uuid:7a6fb4c6-d3ec-42ff-a0ca-a60a51a8e0db","Water Quality Modelling for Nitrate Nitrogen Control Using HEC-RAS: Case Study of Nakdong River in South Korea","Kim, J. (TU Delft Water Resources; IHE Delft Institute for Water Education; K-water); Jonoski, Andreja (IHE Delft Institute for Water Education); Solomatine, D.P. (TU Delft Water Resources; IHE Delft Institute for Water Education; Russian Academy of Sciences); Goethals, Peter L. M. (Universiteit Gent)","","2023","The World Health Organization (WHO) and the U.S. Environmental Protection Agency (EPA) provide guidelines on the maximum levels of nitrate nitrogen (NO3-N) contained in drinking water since excess nitrate ingestion may harm human health. Thus, monitoring and controlling the NO3-N concentration is of paramount importance, especially in sources of drinking water such as the Nakdong River in South Korea. This study addresses NO3-N pollution in the Nakdong River in South Korea, where such pollution mostly comes from diffuse sources in the catchment due to the agricultural use of fertilizers. The objective of this study is to suggest guidelines for designing strategies to control NO3-N in this river using a process-based model developed with HEC-RAS. The model was built based on water quality parameters (water temperature, dissolved oxygen, ammonia nitrogen, etc.) related to NO3-N dynamics incorporating hydraulic and meteorological data. This model simulated NO3-N dynamics downstream under 55 scenarios while focusing on a section near locations of drinking water intakes. The scenarios were constructed based on variations in water quantity and quality upstream. The simulation results showed that the peak concentration of NO3-N downstream could be directly controlled by limiting the NO3-N concentration upstream. Additionally, control of the flow rate upstream could also lead to a reduction in the overall average concentration of NO3-N downstream, but this predominantly occurred when the NO3-N concentration was decreasing. In conclusion, the design and implementation of strategies for the control of NO3-N downstream should be carried out after performing a quantitative analysis of the impact of different control measures for different downstream conditions using a water quality model.","water quality model; HEC-RAS; nitrate nitrogen (NO3-N); Nakdong River; water quantity; guidelines","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:6679f764-1db2-459d-9074-1ca3f97cfbd1","http://resolver.tudelft.nl/uuid:6679f764-1db2-459d-9074-1ca3f97cfbd1","Optimal Sowing Windows under Rainfall Variability in Rainfed Agriculture in West Africa","Agoungbome, David (TU Delft Water Resources); ten Veldhuis, Marie-claire (TU Delft Water Resources); van de Giesen, N.C. (TU Delft Water Resources)","","2023","Climate change is exacerbating adverse impacts of water stress in rainfed agriculture. This paper seeks to identify safe sowing windows for smallholder farmers in the Sudanian region of West Africa (WA). We hypothesize that the traditional focus on the onset of the season to start sowing leads to crop losses in years of high rainfall intermittency. AquaCrop, an FAO crop model, is used to simulate the yield response of maize (Zea mays L.) to sowing dates ranging from the 1st of May to the 30th of November at 20 locations in WA. We find that sowing directly after the first rains carries a higher risk of water stress, hampering crop development due to insufficient buildup of soil water storage to overcome dry spells. Based on three years of data per station on average, we identify safe sowing windows across the Sudanian region that secure optimal yield in 97% of all cases. We find that delaying sowing to mid-June (savanna and western part of the region) and to July (semi-arid region) ensures optimal yields. Of the three commonly applied local onset approaches covered in our evaluation, only LO10mm (10 mm/day on four consecutive days) achieves a similar yield result. The advantage of the safe window approach is that it is accessible for smallholders, who in many cases do not have access to local rainfall information.","onset variability; false start; rainfall intermittency; AquaCrop","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:dc4e13e6-53c7-4490-a78e-bd0b586a03a6","http://resolver.tudelft.nl/uuid:dc4e13e6-53c7-4490-a78e-bd0b586a03a6","Microbial lifelines in bioprocesses: From concept to application","Blöbaum, Luisa (Bielefeld University); Haringa, C. (TU Delft BT/Bioprocess Engineering); Grünberger, Alexander (Bielefeld University; Karlsruhe Institut für Technologie)","","2023","Bioprocesses are scaled up for the production of large product quantities. With larger fermenter volumes, mixing becomes increasingly inefficient and environmental gradients get more prominent than in smaller scales. Environmental gradients have an impact on the microorganism's metabolism, which makes the prediction of large-scale performance difficult and can lead to scale-up failure. A promising approach for improved understanding and estimation of dynamics of microbial populations in large-scale bioprocesses is the analysis of microbial lifelines. The lifeline of a microbe in a bioprocess is the experience of environmental gradients from a cell's perspective, which can be described as a time series of position, environment and intracellular condition. Currently, lifelines are predominantly determined using models with computational fluid dynamics, but new technical developments in flow-following sensor particles and microfluidic single-cell cultivation open the door to a more interdisciplinary concept. We critically review the current concepts and challenges in lifeline determination and application of lifeline analysis, as well as strategies for the integration of these techniques into bioprocess development. Lifelines can contribute to a successful scale-up by guiding scale-down experiments and identifying strain engineering targets or bioreactor optimisations.","Bioprocess development; Bioprocess modelling; Bioreactor gradients; Computational fluid dynamics; Flow-following sensors; Microbial lifeline; Microfluidics; Scale-down; Single-cell cultivation","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","BT/Bioprocess Engineering","","",""
"uuid:2604e307-73dd-42b5-a2d2-aa781615c8b8","http://resolver.tudelft.nl/uuid:2604e307-73dd-42b5-a2d2-aa781615c8b8","Mortgage credit and house prices: The housing market equilibrium revisited","van der Drift, R. (TU Delft Real Estate Management); de Haan, J.G. (TU Delft Structural Integrity & Composites); Boelhouwer, P.J. (TU Delft Real Estate Management)","","2023","Over the last decade, house prices have increased substantially in nearly all OECD countries. These house price increases frequently coincided with changes in mortgage credit conditions; i.e., decreases in the interest rate and increases in income. This is in line with existing literature, which finds an equilibrium relationship between mortgage credit and house prices. The literature, however, lacks an analysis of what drives the equilibrium, which we assess in this paper. Moreover, we propose a combination of two explanations discussed in the literature. That is, we argue that lower-income households are bound by credit constraints, while higher-income households have a preference for spending a fixed fraction of income on mortgage payments. We develop theoretical models for all three explanations and test the models using data on the Dutch property market. The empirical results clearly support the combined approach. Overall, the results suggest that it is important to differentiate between types of households when forecasting house prices or assessing the effectiveness of policy interventions.","Cointegration; Debt-service-to-income ratio; Fundamentals; House prices","en","journal article","","","","","","","","","","","Real Estate Management","","",""
"uuid:b02e5c05-90d5-4b47-8e33-a27aab1bfa47","http://resolver.tudelft.nl/uuid:b02e5c05-90d5-4b47-8e33-a27aab1bfa47","Get rid of the eco-button! Design interventions to steer sustainable use of washing machines","Visser, Mirjam (TU Delft Marketing and Consumer Research); Schoormans, J.P.L. (TU Delft Marketing and Consumer Research)","","2023","To reduce energy consumption of households, many appliances contain eco-settings, which when used, reduce energy consumption. However, the effectivity of the eco-settings in reducing energy consumption is hardly tested. Other design for sustainable behaviour strategies like coercion and feedback might be more effective. To test the effectivity of these three design for sustainable behaviour strategies in reducing the energy consumption of washing machines a 2 × 2 factorial design experiment is conducted. A total of 779 European washing machine users were asked to set washing machine controls for three laundry baskets on one of four control panels. The results showed that eco-settings of the washing machines were used for only 15% of the laundry cycles. Respondents presented with coercion or feedback controls consumed 15% less energy compared to those who were allowed to decide whether to use eco-settings. Few people understood the relation of water temperature and the duration of washing machine programs on energy consumption. Feedback can support their decision processes and prevent unintentional and unsustainable settings. Our research shows that energy efficient washing machines are not necessarily leading to energy reductions because eco-settings are only used in a minority of cases. In this survey, only 6% of the potential 44% savings was realised. The results suggest it would be more effective to always use energy efficient settings, preferably together with feedback and scripting of program menus that solicit the use of short cold cycles. For energy efficiency to be effective, a product must be designed for sustainable behaviour of the user.","Behavioural interventions; Consumer research; Design for sustainable behaviour; Energy efficiency; Nudge; Sustainable consumption","en","journal article","","","","","","","","","","","Marketing and Consumer Research","","",""
"uuid:0de11905-57fb-4a26-b00f-99a556464ec6","http://resolver.tudelft.nl/uuid:0de11905-57fb-4a26-b00f-99a556464ec6","Experimental and numerical study of butt welded joints made of high strength steel","Yan, R. (TU Delft Steel & Composite Structures); El Bamby, H. (TU Delft Steel & Composite Structures); Veljkovic, M. (TU Delft Steel & Composite Structures)","Zingoni, Alphose (editor)","2023","Welded joints are wildly used in the construction sector for fabrication of steel and aluminium structures. A welded joint is traditionally divided into three regions: The Base Material (BM), the Heat-Affected Zone (HAZ), and the Weld Material (WM). The mechanical behaviour of each region varies depending on properties of BM, FM and welding parameters. In general, HAZ has a lower material strength compared to BM and WM. The material strength difference is even more significant if BM is made of High Strength Steel (HSS) and welded by using undermatching electrodes. Therefore, it is essential to obtain the constitutive model of HAZ to accurately predict the behaviour (strength, stiffness, and ductility) of the HSS welded joint. In this paper, milled coupon specimens with a transverse butt weld in the middle are used for obtaining the original stress-strain relationship of HAZ and WM based on Digital Image Correlation (DIC) measurements. The original and the modified HAZ constitutive model are validated against the milled and unmilled coupon specimens by Finite Element Analysis (FEA). Comparing the FEA and experimental results, it can be concluded that the modified HAZ constitutive model is successfully validated. Finally, the tensile behaviour of the butt-welded square hollow section is investigated through FEA. It is found that the peak deformation would be significantly overestimated if the modified HAZ constitutive model is not used.","","en","conference paper","CRC Press / Balkema - Taylor & Francis Group","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Steel & Composite Structures","","",""
"uuid:9da53857-43fd-4f88-b5a7-e8a2bf735e27","http://resolver.tudelft.nl/uuid:9da53857-43fd-4f88-b5a7-e8a2bf735e27","Unravelling the hip-spine dilemma from the CHECK-cohort: Is sagittal pelvic morphology linked to radiographic signs of femoroacetabular impingement?","van Erp, Joost H.J. (University Medical Center Utrecht; Clinical Orthopaedic Research Center; Diakonessenhuis); Gielis, Willem Paul (University Medical Center Utrecht); Arbabi, Vahid (University Medical Center Utrecht; University of Birjand); de Gast, Arthur (Clinical Orthopaedic Research Center; Diakonessenhuis); Weinans, Harrie (TU Delft Biomaterials & Tissue Biomechanics; University Medical Center Utrecht); Kaas, Laurens (St. Antonius Hospital); Castelein, René M. (University Medical Center Utrecht); Schlösser, Tom P.C. (University Medical Center Utrecht)","","2023","Introduction: To date the aetiology of femoroacetabular impingement (FAI) is still not completely understood. There are mechanical theories that suggest symptomatic FAI is linked to sagittal pelvic morphology and spinopelvic-femoral dynamics. The aim of this study is to evaluate the relation of sagittal pelvic morphology and orientation to radiographic signs of FAI. Additionally, we test whether the relation between FAI and spinopelvic parameters differs in osteoarthritic hips. Methods: From a prospective, observational cohort study, 1002 patients between 45 and 65 years old with a first episode of knee or hip pain were followed for 8 years. All patients who had lateral lumbar radiographs and clinical and radiographic follow-up of the hips were included in the present study. Range of internal rotation of the hip as well as radiographic signs of FAI (alpha and Wiberg angle) and presence of hip osteoarthritis (Kellgren and Lawrence) were systematically measured at baseline. Pelvic incidence (PI), pelvic tilt (PT), sacral slope (SS)) were measured at 8-year follow-up. Associations between PI, PT, SS and FAI parameters were tested using generalised estimating equations. Results: 421 subjects, 842 hips, were included. No significant relations between PI, PT or SS and alpha or Wiberg angle were found. Comparison of hips with and without radiological sign(s) of FAI showed no differences in PI, PT or SS. There was no relation between range of internal rotation of the hip and spinopelvic parameters. Conclusion: Sagittal pelvic morphology and orientation are not related to the presence of radiological signs of FAI in this study population.","FAI; femoroacetabular impingement; pelvic incidence; pelvic morphology","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:c8de2434-d5fb-4037-bd0a-4ff08de7aefc","http://resolver.tudelft.nl/uuid:c8de2434-d5fb-4037-bd0a-4ff08de7aefc","Molecular dynamics simulation and experimental validation on the interfacial diffusion behaviors of rejuvenators in aged bitumen","Ren, S. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Gao, Y. (TU Delft Pavement Engineering); Jing, R. (TU Delft Pavement Engineering); Lin, P. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Wang, H. (University of Nottingham)","","2023","This study aims to multiscale investigate the effects of rejuvenator type, temperature, and aging degree of bitumen on the diffusion behaviors of rejuvenators (bio-oil BO, engine-oil EO, naphthenic-oil NO, and aromatic-oil AO) in aged binders. The molecular dynamics (MD) simulation method is performed to detect the molecular-level diffusion characteristics of rejuvenators and predict their diffusion coefficient (D) parameters. At an atomic scale, the mutual but partial interfacial diffusion feature between rejuvenators and aged bitumen molecules is observed. Moreover, Fick’s Second Law well fits the concentration distribution of rejuvenator molecules in aged bitumen. The magnitude for D values of four rejuvenators varies from 10-11 to 10-10 m2/s, and the diffusive capacity order is BO > EO > NO > AO. Meanwhile, diffusion tests and dynamic shear rheometer (DSR) characterizations are employed to validate the MD simulation outputs. The experimental results in magnitude and order of D values agree well with MD simulation outputs. Lastly, the increased aging degree of bitumen exhibits a negative impact on the molecular diffusivity of BO, EO, and NO rejuvenators, while the D value of AO molecules enlarges as the aging level deepens. The underlying mechanism may be composed of the free volume fraction in aged bitumen and the intermolecular force between rejuvenator and aged bitumen molecules, which differs remarkably for various rejuvenators.","Diffusion behavior; Rejuvenator; Aged bitumen; Molecular dynamics simulation; Experimental validation; Influence factors","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:9a20f05d-2f1a-4f35-851c-a061258d54d3","http://resolver.tudelft.nl/uuid:9a20f05d-2f1a-4f35-851c-a061258d54d3","RoboWise: An investigation into the use of social robotics in primary education for developing technological and social skills in children with an autism spectrum disorder (ASD)","van Keulen, J. (TU Delft Science Education and Communication; Hogeschool Windesheim); Hellendoorn, Annika (Universiteit Utrecht); van den Berghe, Rianne (Hogeschool Windesheim; Universiteit Utrecht); Ploeger, Erik (Hogeschool Windesheim); Petersen, Hans (Hogeschool Windesheim); Schutte, Patrick (TechYourFuture)","","2023","Een onderzoek naar het gebruik van sociale robotica in primair onderwijs ten behoeve van de ontwikkeling van technische en sociale vaardigheden voor leerlingen met een autismespectrumstoornis (ASS)
RoboWise: An investigation into the use of social robotics in primary education for developing technological and social skills in children with an autism spectrum disorder (ASD)","roboics; Autism spectrum disorder; Computational Thinking; social skills; primary education; special education","en","report","","","","","","","","","","","Science Education and Communication","","",""
"uuid:87b93d6d-26b0-499b-8090-445e7a902bcd","http://resolver.tudelft.nl/uuid:87b93d6d-26b0-499b-8090-445e7a902bcd","Assessing the Use of Sentinel-2 Data for Spatio-Temporal Upscaling of Flux Tower Gross Primary Productivity Measurements","Spinosa, A. (TU Delft Mathematical Physics; Deltares); Fuentes-Monjaraz, Mario A. (Deltares); El Serafy, G.Y.H. (TU Delft Mathematical Physics; Deltares)","","2023","The conservation, restoration and sustainable use of wetlands is the target of several international agreements, among which are the Sustainable Development Goals (SDGs). Earth Observation (EO) technologies can assist national authorities in monitoring activities and the environmental status of wetlands to achieve these targets. In this study, we assess the capabilities of the Sentinel-2 instrument to model Gross Primary Productivity (GPP) as a proxy for the monitoring of ecosystem health. To estimate the spatial and temporal variation of GPP, we develop an empirical model correlating in situ measurements of GPP, eight Sentinel-2 derived vegetation indexes (VIs), and different environmental drivers of GPP. The model automatically performs an interdependency analysis and selects the model with the highest accuracy and statistical significance. Additionally, the model is upscaled across larger areas and monthly maps of GPP are produced. The study methodology is applied in a marsh ecosystem located in Doñana National Park, Spain. In this application, a combination of the red-edge chlorophyll index (CLr) and rainfall data results in the highest correlation with in situ measurements of GPP and is used for the model formulation. This yields a coefficient of determination (R 2) of 0.93, Mean Absolute Error (MAE) equal to 0.52 gC m −2 day −1, Root Mean Squared Error (RMSE) equal to 0.63 gC m −2 day −1, and significance level p < 0.05. The model outputs are compared with the MODIS GPP global product (MOD17) for reference; an enhancement of the estimation of GPP is found in the applied methodology.","SDGs; EO; GPP; Sentinel-2; ecosystem health","en","journal article","","","","","","","","","","","Mathematical Physics","","",""
"uuid:0a2fca8e-3aea-4e3f-8f38-d4aa5c052c45","http://resolver.tudelft.nl/uuid:0a2fca8e-3aea-4e3f-8f38-d4aa5c052c45","Geophysical and Remote Sensing Assessment of Chad’s Groundwater Resources","Mohamed, Ahmed (Assiut University); Abdelrady, Ahmed (TU Delft Water Resources); Alarifi, Saad S. (King Saud University); Othman, Abdullah (Umm Al-Qura University)","","2023","Because of climate change and human activity, North and Central Africa are experiencing a significant water shortage. Recent advancements in earth observation technologies have made widespread groundwater monitoring possible. To examine spatial and temporal mass fluctuations caused by groundwater variations in Chad, gravity solutions from the Gravity Recovery and Climate Experiment (GRACE), climatic model outputs, and precipitation data are integrated. The results are as follows: (1) The investigated region experienced average annual precipitation (AAP) rates of 351.6, 336.22, and 377.8 mm yr−1, throughout the overall investigation period (04/2002–12/2021), Period I (04/2002–12/2011), and Period II (01/2012–12/2021), respectively. (2) Using the three gravity solutions, the average Terrestrial Water Storage Variations (ΔTWS) values are estimated to be +0.26 ± 0.04, +0.006 ± 0.10, and +0.64 ± 0.12 cm yr−1, for the overall study period, periods I, and II, respectively. (3) Throughout the full period, periods I, and II, the groundwater storage fluctuations (ΔGWS) are calculated to be +0.25 ± 0.04, +0.0001 ± 0.099, and +0.62 ± 0.12 cm yr−1, respectively after removing the soil moisture (ΔSMS) and Lake Chad water level trend values. (4) The country receives an average natural recharge rate of +0.32 ± 0.04, +0.068 ± 0.099, and +0.69 ± 0.12 cm yr−1, throughout the whole period, Periods I, and II, respectively. (5) The southern mountainous regions of Erdi, Ennedi, Tibesti, and Darfur are receiving higher rainfall rates that may recharge the northern part of Chad through the stream networks; in addition to the Lake Chad and the higher rainfall over southern Chad might help recharge the central and southern parts of the country. (6) A preferred groundwater flow path from the Kufra (Chad and Libya) to the Dakhla basin (Egypt) appears to be the Pelusium mega shear system, which trends north-east. The findings suggest that GRACE is useful for monitoring changes in groundwater storage and recharge rates across large areas. Our observation-based methodology provides a unique understanding of monthly ground-water patterns at the state level, which is essential for successful interstate resource allocation, future development, and policy initiatives, as well as having broad scientific implications for arid and semiarid countries.","geophysics; time-variable gravity data; terrestrial water storage; groundwater resources; recharge; Chad","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:65c09c61-c790-4021-8d72-e48c4cc07a09","http://resolver.tudelft.nl/uuid:65c09c61-c790-4021-8d72-e48c4cc07a09","The 2021 German Federal Election on Social Media: Analysing Electoral Risks Created by Twitter and Facebook","Kübler, Johanne (Vienna University of Economics and Business); Sekwenz, M.T. (TU Delft Organisation & Governance); Rachinger, Felicitas (University of Innsbruck); König, Anna (University of Potsdam); Gsenger, Rita (University of Potsdam); Pirkova, Eliska (Access Now); Kettemann, Matthias C. (University of Innsbruck); Wagner, Ben (TU Delft Organisation & Governance; InHolland); Krennerich, Michael (Friedrich-Alexander-Universität Erlangen-Nürnberg); Ferro, Carolina (Enabling Digital)","","2023","Safeguarding democratic elections is hard. Social media plays a vital role in the discourse around elections and during electoral campaigns. The following article provides an analysis of the ‘systemic electoral risks’ created by Twitter and Facebook and the mitigation strategies employed by the platforms. It is based on the 2020 proposal by the European Commission for the new Digital Services Act (DSA) in the context of the 2021 German federal elections. This article focuses on Twitter and Facebook and their roles during the German federal elections that took place on 26 September 2021. We analysed three systemic electoral risk categories: 1) the dissemination of illegal content, 2) negative effects on electoral rights, and 3) the influence of disinformation and developed systematic categories for this purpose. In conclusion, we discuss how to respond to these challenges as well as avenues for future research.","disinformation; elections; Germany; illegal content; socio-technical systems; platform governance","en","conference paper","","","","","","","","","","","Organisation & Governance","","",""
"uuid:af0e1bf4-8d9c-4288-8af8-28a1d9beaba4","http://resolver.tudelft.nl/uuid:af0e1bf4-8d9c-4288-8af8-28a1d9beaba4","PyBanshee version (1.0): A Python implementation of the MATLAB toolbox BANSHEE for Non-Parametric Bayesian Networks with updated features","Mendoza Lugo, M.A. (TU Delft Hydraulic Structures and Flood Risk); Morales Napoles, O. (TU Delft Hydraulic Structures and Flood Risk); Paprotny, D. (TU Delft Hydraulic Structures and Flood Risk); Koot, P.J.P.; Ragno, E. (TU Delft Hydraulic Structures and Flood Risk)","","2023","In this paper we discuss PyBanshee, which is a Python-based open-source implementation of the MATLAB toolbox BANSHEE. PyBanshee constitutes the first fully open-source package to quantify, visualize and validate Non-Parametric Bayesian Networks (NPBNs). The architecture of PyBanshee is heavily based on its MATLAB predecessor. It presents the full implementation of existing tools and introduces new modules. Specifically, PyBanshee allows for: (i) choosing fully parametric one-dimensional margins, (ii) choosing different sample sizes for the model-validation tests based on the Hellinger distance, (iii) drawing user-defined sample sizes of the NPBN, (iv) sample-based conditioning sampling (similarly to the closed-source proprietary package UNINET by LightTwist Software) and (v) visualizing the comparison between the histograms of the unconditional and conditional marginal distributions. New detailed examples demonstrating new features are provided.","Bayesian network; Copulas; Python; Non-Parametric Bayesian Networks; BANSHEE; Python library","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:af5b5477-74a6-42be-9843-aa2b3e7d9902","http://resolver.tudelft.nl/uuid:af5b5477-74a6-42be-9843-aa2b3e7d9902","Data Analytics Platforms: Value Propositions and Adoption Challenges for Small Hospitality Businesses","Ofe, H.A. (TU Delft Information and Communication Technology); de Reuver, Mark (TU Delft Information and Communication Technology); Janssen, M.F.W.H.A. (TU Delft Engineering, Systems and Services); Nederstigt, Bouke (Student TU Delft)","","2023","Managers increasingly seek ways to explore insights from data for business improvements and innovation. Data Analytics (DA) platforms hold promise for businesses, especially small businesses that cannot afford tailor-made proprietary analytics services. DA platforms offer generic analytics features to a pool of businesses, saving costs and enabling benchmarking. This paper explores value propositions and adoption challenges for small businesses regarding DA. The paper offers practical insights from designing and launching a DA platform targeting small businesses in the hospitality sector. The findings of our paper show that data analytics is potentially valuable for small businesses through insights into market and customer trends. Small businesses can leverage such insights to refine their offerings. Trust and privacy concerns in sharing data are key challenges holding back adoption. We proposed measures, especially privacy-preserving technologies, to mitigate the risk of tracing a specific enterprise's data shared on the DA platform. These measures assure businesses that data shared or analysed through the DA platform is not used to harm their competitive advantage.","Data insights; Small businesses; value propositions; adoption; data analytics","en","conference paper","","","","","","","","","","Engineering, Systems and Services","Information and Communication Technology","","",""
"uuid:70213029-2de4-4fab-b0ca-b5ebb27188e6","http://resolver.tudelft.nl/uuid:70213029-2de4-4fab-b0ca-b5ebb27188e6","On the identification of hypoxic regions in subject-specific cerebral vasculature by combined CFD/MRI","Perinajová, R. (TU Delft ChemE/Transport Phenomena; J.M. Burgers Centre for Fluid Mechanics); van Ooij, Pim (Amsterdam UMC); Kenjeres, S. (TU Delft ChemE/Transport Phenomena; J.M. Burgers Centre for Fluid Mechanics)","","2023","A long-time exposure to lack of oxygen (hypoxia) in some regions of the cerebrovascular system is believed to be one of the causes of cerebral neurological diseases. In the present study, we show how a combination of magnetic resonance imaging (MRI) and computational fluid dynamics (CFD) can provide a noninvasive alternative for studying blood flow and transport of oxygen within the cerebral vasculature. We perform computer simulations of oxygen mass transfer in the subject-specific geometry of the circle of Willis. The computational domain and boundary conditions are based on four-dimensional (4D)-flow MRI measurements. Two different oxygen mass transfer models are considered: passive (where oxygen is treated as a dilute chemical species in plasma) and active (where oxygen is bonded to haemoglobin) models. We show that neglecting haemoglobin transport results in a significant underestimation of the arterial wall mass transfer of oxygen. We identified the hypoxic regions along the arterial walls by introducing the critical thresholds that are obtained by comparison of the estimated range of Damköhler number (Da, 〈9; 57〉) with the local Sherwood number. Finally, we recommend additional validations of the combined MRI/CFD approach proposed here for larger groups of subject- or patient-specific brain vasculature systems.","Alzheimer; brain; CFD; hypoxia; MRI; oxygen transport","en","journal article","","","","","","","","","","","ChemE/Transport Phenomena","","",""
"uuid:cadcc4aa-87df-4cd9-a1b5-508c6c6c4480","http://resolver.tudelft.nl/uuid:cadcc4aa-87df-4cd9-a1b5-508c6c6c4480","Sex-based differences in nuclear medicine imaging and therapy","Slart, Riemer H.J.A. (University Medical Center Groningen; University of Twente); de Geus-Oei, L.F. (TU Delft RST/Radiation, Science and Technology; University of Twente; Leiden University Medical Center); Stevens, Jasper (University Medical Center Groningen); Elsinga, Philip A. (University Medical Center Groningen); Ivashchenko, O. (TU Delft RST/Biomedical Imaging; University Medical Center Groningen); Erba, Paola A. (University Medical Center Groningen; Papa Giovanni XXIII Hospital, Bergamo)","","2023","","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","RST/Radiation, Science and Technology","RST/Biomedical Imaging","","",""
"uuid:d3caa0f0-58c8-40b0-93d8-7364310c3173","http://resolver.tudelft.nl/uuid:d3caa0f0-58c8-40b0-93d8-7364310c3173","Predicting drum beats from high-density Brain Rhythms","Chaudhary, Shivam (Indian Institute of Technology Gandhinagar); Prasad Miyapuram, Krishna (Indian Institute of Technology Gandhinagar); Lomas, J.D. (TU Delft Design Aesthetics)","","2023","Entrainment is a phenomenon of phase or temporal matching of one system with that of another system. Human neural activity has been shown to resonate with external auditory stimuli. When we enjoy a piece of music, there is a resonance of brain responses with auditory signals. The crux of music cognition is based on this resonance of musical frequencies with intrinsic neural frequencies. It has also been demonstrated that the neural activities are synchronized across participants while listening to music, shown by high inter-subject correlation. In this work, we use this fact to predict the drumbeat a participant listens to based on their EEG response to the drumbeat. We also tested whether we could train on a smaller dataset and test with the rest of the dataset. We generated a frequency∗channel plot and fed it to a CNN model to predict drumbeat with a classification accuracy of 97% for 60-20-20 (train-dev-test) data split protocol and 94% accuracy for 20-20-60 data split. We also got 100% classification accuracy for predicting participants for both the data split protocols.","Classification; CNN; Drumbeats; EEG; Music; Music Information Retrieval","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Design Aesthetics","","",""
"uuid:accf58e1-f02b-47df-8bf0-be604c95ba4a","http://resolver.tudelft.nl/uuid:accf58e1-f02b-47df-8bf0-be604c95ba4a","Assessment of a BEMT-based rotor aerodynamic model under uniform aligned steady inflow","Boatto, U. (TU Delft Wind Energy); Bonnet, Jean-Paul (CNRS-Université de Poitiers-ISAE-ENSMA; Siemens Industry Software N.V.); Avallone, F. (TU Delft Wind Energy); Ragni, D. (TU Delft Wind Energy)","","2023","The design of efficient rotor blades is affected by the accuracy of aerodynamic prediction methods for load distributions and power computations. Research showed that the accuracy of BEMT-based industrial codes decreases at high inflow-speed under uniform aligned steady conditions. The identified reasons are inaccuracies in the semi-empirical corrections for 3D effects such as stall delay and tip-losses. This study scrutinizes such corrections by comparison with URANS CFD simulations. Results confirm that the accuracy of the rotor thrust and power coefficients reduces up to 30% for a tip speed ratio of 4. The identified causes in the inboard blade are: (1) a more than twice as large drag coefficient given by the Eggers stall delay correction, (2) a 20% loading overestimation due to the unaccounted root-vortex downwash. Furthermore, the linear interpolation between the cylinder and the DU40 airfoil polars near the root as well as the modeling of 2D separation affect the accuracy at least as much as the stall delay correction at a tip speed ratio of 4. Next, the inadequacy of the Prandtl tip-loss factor at a tip speed ratio of 10 provides 5 to 15% higher loads in the outboard blade. It is recommended to extend stall delay corrections or tune the Prandtl root-loss correction to the location of the maximum chord to capture the root-vortex downwash effect, as the phenomenon is observed on the CFD-extracted lift polar and blade flow streamlines. Finally, 2D RANS simulations of the inboard blade profiles should be compared with the 3D ones from the rotating blade to isolate the effect of stall delay on the pressure and skin friction coefficient distributions to further address the modeling of the drag coefficient.","","en","conference paper","","","","","","","","","","","Wind Energy","","",""
"uuid:2b0e96e0-1ada-4523-ba66-42bc394e84a7","http://resolver.tudelft.nl/uuid:2b0e96e0-1ada-4523-ba66-42bc394e84a7","From eco-cities to sustainable city-regions: China’s uncertain quest for an ecological civilization","Chen, Y. (TU Delft Urban Development Management)","","2023","","","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Urban Development Management","","",""
"uuid:89a98edb-45e1-4645-bc8b-867b86870914","http://resolver.tudelft.nl/uuid:89a98edb-45e1-4645-bc8b-867b86870914","A Comparative Study of Optimization Models for Condition-Based Maintenance Scheduling of an Aircraft Fleet","Tseremoglou, I. (TU Delft Air Transport & Operations); van Kessel, Paul J. (KLM Royal Dutch Airlines); Santos, Bruno F. (TU Delft Air Transport & Operations)","","2023","Condition-based maintenance (CBM) scheduling of an aircraft fleet in a disruptive environment while considering health prognostics for a set of systems is a very complex combinatorial problem, which is becoming more challenging in light of the uncertainty included in health prognostics. This type of problem falls under the broad category of resource-constrained scheduling problems under uncertainty and is often solved using a mixed integer linear programming (MILP) formulation. While a MILP framework is very promising, the problem size can scale exponentially with the number of considered aircraft and considered tasks, leading to significantly high computational costs. The most recent advances in artificial intelligence have demonstrated the capability of deep reinforcement learning (DRL) algorithms to alleviate this curse of dimensionality, as once the DRL agent is trained, it can achieve real-time optimization of the maintenance schedule. However, there is no guarantee of optimality. These comparative merits of a MILP and a DRL formulation for the aircraft fleet maintenance scheduling problem have not been discussed in the literature. This study is a response to this research gap. We conduct a comparison of a MILP and a DRL scheduling model, which are used to derive the optimal maintenance schedule for various maintenance scenarios for aircraft fleets of different sizes in a disruptive environment, while considering health prognostics and the available resources for the execution of each task. The quality of solutions is evaluated on the basis of four planning objectives, defined according to real airline practice. The results show that the DRL approach achieves better results with respect to scheduling of prognostics-driven tasks and requires less computational time, whereas the MILP model produces more stable maintenance schedules and induces less maintenance ground time. Overall, the comparison provides valuable insights for the integration of health prognostics in airline maintenance practice.","condition-based maintenance (CBM); partially observable markov decision process (POMDP); partially observable Monte Carlo planning (POMCP); deep reinforcement learning (DRL); mixed integer linear programming (MILP); planning under uncertainty","en","journal article","","","","","","","","","","","Air Transport & Operations","","",""
"uuid:19c22bec-bf75-42ca-b1f4-6e6d340571be","http://resolver.tudelft.nl/uuid:19c22bec-bf75-42ca-b1f4-6e6d340571be","A Bayesian-based framework for advanced nature-based tourism model","Norouzi Isfahani, Roxana (University of Florence); Talaee Malmiri, Ahmad (University of Tehran); BahooToroody, Ahmad (University of Florence); Abaei, M.M. (TU Delft Ship Design, Production and Operations)","","2023","Purpose: Nature-based tourism (NBT) blossoming requires sound monitoring models to maximize its potential in the tourism industry. Cooperation of different segments from nature to economy will lead to a sustainable NBT. Therefore, the qualitative and quantitative relation between these subdivisions has to be investigated. Design/methodology/approach: This paper proposes an advanced NBT model for the design of an optimum tourism system. To this end, Bayesian network (BN) has been implemented to characterize the impact of each subsector on NBT. Findings: The outcomes of this study can help the tourism managers, policymakers and related organizations to find the optimum approach to achieve a continuous improvement in the system. To demonstrate the applicability of the methodology, two cases of observations are considered. Originality/value: The originality of the work is well demonstrated in the literature review of the paper.","Bayesian network; Dynamic modeling; Nature-based tourism","en","journal article","","","","","","","","","","","Ship Design, Production and Operations","","",""
"uuid:422d8063-020d-4edc-b274-eff3a9589c0d","http://resolver.tudelft.nl/uuid:422d8063-020d-4edc-b274-eff3a9589c0d","Approaching Extracurricular Activities for Teaching and Learning on Sustainable Rehabilitation of Mass Housing: Reporting from the Arena of Architectural Higher Education","Dragutinovic, Anica (TU Delft Heritage & Architecture; Technische Hochschule Ostwestfalen-Lippe); Milovanović, Aleksandra (University of Belgrade); Stojanovski, Mihajlo (SS Cyril and Methodius University); Damjanovska, Tea (SS Cyril and Methodius University); Ðordevic, Aleksandra (University of Belgrade); Nikezić, Ana (University of Belgrade); Pottgiesser, U. (TU Delft Heritage & Architecture; Technische Hochschule Ostwestfalen-Lippe); Deskova, Ana Ivanovska (SS Cyril and Methodius University); Ivanovski, Jovan (SS Cyril and Methodius University)","","2023","The article presents the potentials and capacities of extracurricular activities such as student workshops for strengthening existing curricula and introducing emerging specialised areas, topics, and challenges into architectural higher education. The specific objective of this study is to enhance and test different pedagogical models for learning on the sustainable rehabilitation of mass housing neighbourhoods (MHN), as a specific type of modern heritage, through innovative extracurricular teaching practices based on interdisciplinarity, flexibility, and adaptability. This research presents three student workshops focusing on the rehabilitation of mass housing neighbourhoods (MHN), involving students, academics, and professionals from the field, organised in Germany, Serbia, and North Macedonia in 2022. Moreover, it engages a comparative analysis of the learning formats and approaches developed within this discipline-specific cross-border collaboration. The study provides (1) an insight into the comparative analysis of learning capabilities and (2) the formulation of workshop models supported by diagramming of the workshop structure. The conclusion of the article summarises the findings and highlights the essential aspects for engaging student workshops, as an instrument for generating operational knowledge in the field of mass housing rehabilitation.","extracurricular activities; extracurricular learning formats; student workshops; workshop models; pedagogical models; architectural higher education; mass housing neighbourhoods; sustainable rehabilitation","en","journal article","","","","","","","","","","","Heritage & Architecture","","",""
"uuid:6af6ec5d-bf1c-431a-9ec8-c87125d3358d","http://resolver.tudelft.nl/uuid:6af6ec5d-bf1c-431a-9ec8-c87125d3358d","Manipulation, Sampling and Inactivation of the SARS-CoV-2 Virus Using Nonuniform Electric Fields on Micro-Fabricated Platforms: A Review","Mantri, D. (Student TU Delft); Wymenga, L.F.A. (TU Delft Electronic Components, Technology and Materials); van Turnhout, J. (TU Delft Team Erik Offerman); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","Micro-devices that use electric fields to trap, analyze and inactivate micro-organisms vary in concept, design and application. The application of electric fields to manipulate and inactivate bacteria and single-celled organisms has been described extensively in the literature. By contrast, the effect of such fields on viruses is not well understood. This review explores the possibility of using existing methods for manipulating and inactivating larger viruses and bacteria, for smaller viruses, such as SARS-CoV-2. It also provides an overview of the theoretical background. The findings may be used to implement new ideas and frame experimental parameters that optimize the manipulation, sampling and inactivation of SARS-CoV-2 electrically.","micro-electrodes; virus in-activation; virus sampling; virus concentration; SARS-CoV-2; dielectrophoresis","en","review","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:ca3dab91-6297-4ce3-b40f-616ffb83af66","http://resolver.tudelft.nl/uuid:ca3dab91-6297-4ce3-b40f-616ffb83af66","Towards Viable Eco-Friendly Local Treatment of Blackwater in Sparsely Populated Regions","Ravi Anusuyadevi, P. (TU Delft Team Arjan Mol); Prasanna Kumar, Darsi Jaya (M.S. Ramaiah Institute of Technology); Omkaara Jyothi, A.D.H.V. (M.S. Ramaiah Institute of Technology); Patwardhan, Neha S. (M.S. Ramaiah Institute of Technology); Janani, V. (M.S. Ramaiah Institute of Technology); Mol, J.M.C. (TU Delft Team Arjan Mol)","","2023","The maintenance of people’s lifestyle against global climate change, exhaustion of groundwater, depletion of minerals, and water scarcity has instigated the recycling and reuse of water from unlikely sources. This situation has motivated researchers to develop effective technologies for treating wastewater, enabling its reuse. Water security has been ensured in myriad, highly populated regions through large-scale centralized treatment facilities. The development and implementation of small-scale, renewable-energy-based, decentralized, on-site treatment methodologies ensure water sustainability in rural areas, where centralized treatment facilities are impractical for application. This review article focuses on the recently reported low-cost purification techniques for recycling wastewater generated by single and community-based households in sparsely populated areas. Here we propose treatment technologies for efficient waste management that can be easily integrated in the upcoming years to the lavatories built under the Swachh Bharat Mission (SBM), a momentous cleanliness campaign that has been successfully implemented by the Government of India (GOI). Specifically, we suggest an electrochemical (EC) method to treat the supernatant of the Blackwater (BW) to produce purified non-potable water for reuse in diverse purposes. The EC technique does not require external chemicals for treatment and can be powered by sustainable technologies (like solar panels), thus reducing the treatment cost. Subsequently, vermicomposting, microwave, biogas, and phycoremediation methods are considered to treat the solid sludge to produce value-added products such as enriched organic fertilizer for agriculture and biofuel. The above methods also ensure the satisfactory reduction in Biochemical Oxygen Demand (BOD) (>85%) and Chemical Oxygen Demand (COD) (81–91%) and the complete removal of pathogens and other harmful pollutants. Finally, the novel treatment techniques discussed here are not only limited to rural areas of India but can be implemented in any rural area of the world.","Blackwater (BW); Swachh Bharat Mission (SBM); electrochemical (EC); vermicomposting; microwave; biogas; phycoremediation; sustainable water treatment; wastewater management","en","review","","","","","","","","","","","Team Arjan Mol","","",""
"uuid:cfe9235a-cafd-4936-b109-c540b4fd6fbf","http://resolver.tudelft.nl/uuid:cfe9235a-cafd-4936-b109-c540b4fd6fbf","Sub-diffusion flow velocimetry with number fluctuation optical coherence tomography","Cheishvili, K. (TU Delft ImPhys/Kalkman group); Kalkman, J. (TU Delft ImPhys/Computational Imaging; TU Delft ImPhys/Kalkman group)","","2023","We have implemented number fluctuation dynamic light scattering optical coherence tomography (OCT) for measuring extremely slow, sub-diffusion flows of dilute particle suspensions using the second-order autocovariance function. Our method has a lower minimum measurable velocity than conventional correlation-based OCT or phase-resolved Doppler OCT, as the velocity estimation is not affected by the particle diffusion. Similar to non-dilute correlation-based OCT, our technique works for any Doppler angle. With our analysis we can quantitatively determine the concentration of particles under flow. Finally, we demonstrate 2D sub-diffusion flow imaging with a scanning OCT system at high rate by performing number fluctuation correlation analysis on subsequent B-scans","OA-Fund TU Delft","en","journal article","","","","","","","","","","","ImPhys/Kalkman group","","",""
"uuid:a39e8911-425c-4365-ab98-03d7b4280291","http://resolver.tudelft.nl/uuid:a39e8911-425c-4365-ab98-03d7b4280291","Induced seismicity: a global phenomenon with special relevance to the Dutch subsurface","Pluymakers, Anne (TU Delft Applied Geophysics and Petrophysics); Muntendam-Bos, A.G. (TU Delft Applied Geophysics and Petrophysics; Staatstoezicht op de Mijnen); Niemeijer, André (Universiteit Utrecht)","","2023","","","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:f3def408-0808-4f86-81a9-479d96d7914c","http://resolver.tudelft.nl/uuid:f3def408-0808-4f86-81a9-479d96d7914c","Flood susceptibility mapping using multi-temporal SAR imagery and novel integration of nature-inspired algorithms into support vector regression","Mehravar, Soroosh (University of Tehran); Razavi-Termeh, Seyed Vahid (Sejong University); Moghimi, Armin (K.N. Toosi University of Technology; Leibniz Universität); Ranjgar, Babak (K.N. Toosi University of Technology); Foroughnia, Fatemeh (TU Delft Geo-engineering); Amani, Meisam (Wood Environment and Infrastructure Solutions)","","2023","Flood has long been known as one of the most catastrophic natural hazards worldwide. Mapping flood-prone areas is an important part of flood disaster management. In this study, a flood susceptibility mapping framework was developed based on a novel integration of nature-inspired algorithms into support vector regression (SVR). To this end, various remote sensing (RS) and geographic information system (GIS) datasets were applied to the hybridized SVR models to map flood susceptibility in Ahwaz township, Iran. The proposed framework has two main steps: 1) updating the flood inventory (historical flooded locations) using the proposed RS-based flood detection method developed within the google earth engine (GEE) platform. The mosaicked images of multi-temporal Sentinel-1 synthetic aperture radar (SAR) data have been used in this step; 2) producing flood susceptibility map using the standalone SVR and hybridized model of SVR. The hybridized methods were derived from a novel integration of SVR with meta-heuristic algorithms, hence forming the SVR-bat algorithm (SVR-BA), SVR-invasive weed optimization (SVR-IWO), and SVR-firefly algorithm (SVR-FA). A spatial database of flood locations and 11 conditioning factors (altitude, slope angle, aspect, topographic wetness index, stream power index, normalized difference vegetation index (NDVI), distance to stream, curvature, rainfall, soil type, and land use/cover) were built for the susceptibility modelling. The accuracy of the proposed model was evaluated using the statistical and sensitivity indices, such as root mean square error (RMSE), receiver operating characteristic (ROC) and area under the ROC curve (AUROC) index. The results indicated that all hybridized models outperformed the standalone SVR. According to AUROC values, the predictive power of the SVR-FA was the highest with the value of 0.81, followed by SVR-IWO, SVR-BA, and SVR with values of 0.80, 0.79, and 0.77, respectively.","Flood susceptibility mapping; Nature-inspired algorithms; Remote sensing; SAR imagery; Support vector regression (SVR)","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:9c5800b6-8475-4c9f-b0a4-d6397f7eaaae","http://resolver.tudelft.nl/uuid:9c5800b6-8475-4c9f-b0a4-d6397f7eaaae","Embodiment takes command: Re-enacting Aldo and Hannie van Eyck’s homelife","Campos Uribe, A. (TU Delft Space & Type); Lacomba Montes, P. (TU Delft Space & Type)","","2023","Grounded in an experiential understanding of architecture, this research explores ways in which architectural history can help bring works or ideas more vividly to the present. We propose here an embodied visit to Aldo and Hannie van Eyck’s house in Loenen aan de Vecht. In the house, layers of temporality, materiality, everyday living, and lived experience mingle with design solutions and worldviews affecting them. By immersing into the materiality of the Van Eycks’ home, the paper offers a lively, intensive, and qualitative understanding of the design and its connections with the architect’s contributions to post-war architectural discourses. The experiential account uses a mix of archival, ethnographic, and performative techniques, a proposed method that adds a necessary degree of complexity to architectural history. The method enacts a new form of knowledge where our bodies inform the findings, from materiality to meaning, and connects to new architectural history approaches, namely Architectural Anthropology and Performative Design Research. With all these elements, we are proposing a rich, empirical account of the project by means of three re-enactments of the Van Eycks’ homelife: a visit to the attic, table talk under the skylight, and a lively lunch in the garden. The account offers deep insights into how architectural ideas take material form, showing that specific ways of understanding history, time, or space, are indeed embodied within our built environment and that they can only be disentangled, with the help of our bodies, by performing actions within, in and around buildings.","embodiment; team 10; post-war architecture; multiculturalism","en","journal article","","","","","","","","","","","Space & Type","","",""
"uuid:c814938f-ffa5-4a09-bbb8-3916126304ed","http://resolver.tudelft.nl/uuid:c814938f-ffa5-4a09-bbb8-3916126304ed","An Overview of Wearable Haptic Technologies and Their Performance in Virtual Object Exploration","van Wegen, M. (Student TU Delft); Herder, J.L. (TU Delft Precision and Microsystems Engineering); Adelsberger, Rolf (Sensoryx); Pastore-Wapp, Manuela (University of Bern; Luzerner Kantonsspital); Van Wegen, Erwin E H (Amsterdam UMC); Bohlhalter, Stephan (Luzerner Kantonsspital); Nef, Tobias (University of Bern); Krack, Paul (University of Bern); Vanbellingen, Tim (University of Bern; Luzerner Kantonsspital)","","2023","We often interact with our environment through manual handling of objects and exploration of their properties. Object properties (OP), such as texture, stiffness, size, shape, temperature, weight, and orientation provide necessary information to successfully perform interactions. The human haptic perception system plays a key role in this. As virtual reality (VR) has been a growing field of interest with many applications, adding haptic feedback to virtual experiences is another step towards more realistic virtual interactions. However, integrating haptics in a realistic manner, requires complex technological solutions and actual user-testing in virtual environments (VEs) for verification. This review provides a comprehensive overview of recent wearable haptic devices (HDs) categorized by the OP exploration for which they have been verified in a VE. We found 13 studies which specifically addressed user-testing of wearable HDs in healthy subjects. We map and discuss the different technological solutions for different OP exploration which are useful for the design of future haptic object interactions in VR, and provide future recommendations.","object xploration;; object interaction; virtual reality; haptics; wearable; VR system; overview","en","review","","","","","","","","","","Precision and Microsystems Engineering","","","",""
"uuid:30d4fe55-6c64-4cfe-9fea-b8ee149ccd90","http://resolver.tudelft.nl/uuid:30d4fe55-6c64-4cfe-9fea-b8ee149ccd90","Fijner en gezonder werken in een coherent kantoor","van der Voordt, Theo (TU Delft Real Estate Management)","","2023","Behalve voor flexibele, activiteit-gerelateerde werkomgevingen, hybride werken en slimme, gezonde en duurzame kantoren tekent zich ook een toenemende belangstelling af voor coherente kantoren. Dit artikel beschrijft wat dit concept inhoudt en hoe gebouweigenschappen en workplace management hieraan kunnen bijdragen. Het laat ook zien dat verschillende dilemma’s niet eenvoudig zijn op te lossen.","","nl","journal article","","","","","","","","","","","Real Estate Management","","",""
"uuid:37c1b216-cfda-4c35-ab60-532ee41a0449","http://resolver.tudelft.nl/uuid:37c1b216-cfda-4c35-ab60-532ee41a0449","Profiling Students Based on the Overlap between IEQ and Psychosocial Preferences of Study Places","Hamida, A.B. (TU Delft Environmental & Climate Design); Eijkelenboom, A.M. (TU Delft Environmental & Climate Design); Bluyssen, P.M. (TU Delft Environmental & Climate Design)","","2023","Research has shown that students differ in their preferences of indoor environmental quality (IEQ) and psychosocial aspects of their study places. Since previous studies have mainly focused on identifying these preferences rather than investigating the different profiles of students, this study aimed at profiling students based on their IEQ and psychosocial preferences of their study places. A questionnaire was completed by 451 bachelor students of the faculty of Architecture and the Built Environment. A TwoStep cluster analysis was performed twice separately. First, to cluster the students based on their IEQ preferences, and second based on their psychosocial preferences. This resulted in three clusters under each cluster model. Then, the overlap between these two models was determined and produced nine unique profiles of students, which are: (1) the concerned perfectionist, (2) the concerned extrovert, (3) the concerned non-perfectionist, (4) the visual concerned perfectionist, (5) the visual concerned extrovert, (6) visual concerned non-perfectionist, (7) the unconcerned introvert, (8) the unconcerned extrovert, and (9) the unconcerned non-perfectionist. A number of variables was found to be significantly different among these profiles. This study’s outcome indicates that studying the overlap between IEQ and psychosocial preferences is required to understand the different possible profiles of students.","IEQ preferences; psychosocial preferences; twostep cluster analysis; study place; students’ profiles","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:99e61fe2-94c4-4cca-9622-2b6ed535a42d","http://resolver.tudelft.nl/uuid:99e61fe2-94c4-4cca-9622-2b6ed535a42d","On the Problem of Double-Filtering in PPP-RTK","Khodabandeh, A. (University of Melbourne); Teunissen, P.J.G. (TU Delft Mathematical Geodesy and Positioning; University of Melbourne; Curtin University); Psychas, D. (European Space Agency (ESA))","","2023","To obtain single-receiver Global Navigation Satellite System (GNSS) parameter solutions, the PPP-RTK user-filter combines measurements with time-correlated corrections that are separately computed by the filter of an external provider. The consequence of exercising such double-filtering is that the Kalman filter’s standard assumption of having uncorrelated measurements in time becomes violated. This leads the user-filter to lose its ‘minimum variance’ property, thereby delivering imprecise parameter solutions. The solutions’ precision-loss becomes more pronounced when one experiences an increase in the correction latency, i.e., the delay in time after the corrections are estimated and the time they are applied to the user measurements. In this contribution, we propose a new multi-epoch formulation for the PPP-RTK user-filter upon which both the uncertainty and the temporal correlation of the corrections are incorporated. By a proper augmentation of the user-filter state-vector, the corrections are jointly measurement-updated with the user parameter solutions. Supported by numerical results, the proposed formulation is shown to outperform its commonly used counterpart in the minimum-variance sense.","double-filtering; global navigation satellite system (GNSS); integer ambiguity resolution enabled precise point positioning (PPP-RTK); Kalman filter; time-correlated corrections","en","journal article","","","","","","","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:9882e6e2-1689-45cd-83bc-b94df32d241d","http://resolver.tudelft.nl/uuid:9882e6e2-1689-45cd-83bc-b94df32d241d","Bias Detection and Generalization in AI Algorithms on Edge for Autonomous Driving","Katare, D. (TU Delft Information and Communication Technology); Kourtellis, Nicolas (Telefonica Research); Park, Souneil (Telefonica Research); Perino, Diego (Telefonica Research); Janssen, M.F.W.H.A. (TU Delft Engineering, Systems and Services); Ding, Aaron Yi (TU Delft Information and Communication Technology)","","2023","A machine learning model can often produce biased outputs for a familiar group or similar sets of classes during inference over an unknown dataset. The generalization of neural networks have been studied to resolve biases, which has also shown improvement in accuracy and performance metrics, such as precision and recall, and refining the dataset's validation set. Data distribution and instances included in test and validation-set play a significant role in improving the generalization of neural networks. For producing an unbiased AI model, it should not only be trained to achieve high accuracy and minimize false positives. The goal should be to prevent the dominance of one class/feature over the other class/feature while calculating weights. This paper investigates state-of-art object detection/classification on AI models using metrics such as selectivity score and cosine similarity. We focus on perception tasks for vehicular edge scenarios, which generally include collaborative tasks and model updates based on weights. The analysis is performed using cases that include the difference in data diversity, the viewpoint of the input class and combinations. Our results show the potential of using cosine similarity, selectivity score and invariance for measuring the training bias, which sheds light on developing unbiased AI models for future vehicular edge services.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Engineering, Systems and Services","Information and Communication Technology","","",""
"uuid:b4e47a03-5184-4d93-9b6c-c3eae7a58c45","http://resolver.tudelft.nl/uuid:b4e47a03-5184-4d93-9b6c-c3eae7a58c45","The risks of autonomous machines: from responsibility gaps to control gaps","Hindriks, Frank (Rijksuniversiteit Groningen); Veluwenkamp, H.M. (TU Delft Ethics & Philosophy of Technology)","","2023","Responsibility gaps concern the attribution of blame for harms caused by autonomous machines. The worry has been that, because they are artificial agents, it is impossible to attribute blame, even though doing so would be appropriate given the harms they cause. We argue that there are no responsibility gaps. The harms can be blameless. And if they are not, the blame that is appropriate is indirect and can be attributed to designers, engineers, software developers, manufacturers or regulators. The real problem lies elsewhere: autonomous machines should be built so as to exhibit a level of risk that is morally acceptable. If they fall short of this standard, they exhibit what we call ‘a control gap.’ The causal control that autonomous machines have will then fall short of the guidance control they should emulate.
This contribution sums up five different times of the Hambach mine landscape, with its rhythms and palimpsests: deep geological time, historical time, the rapid anthropogenic time of excavation, the present time of transition towards new forms of energy, and finally, future time with the conversion of the crater into a lake.","landscape geology; water and soil; Hambach mine; reclamation; palimpsests","en","book chapter","RWTH Aachen University","","","","","","","","","","Landscape Architecture","","",""
"uuid:576b24ca-94c6-47af-bf20-49342a5f9874","http://resolver.tudelft.nl/uuid:576b24ca-94c6-47af-bf20-49342a5f9874","L1 Adaptive Augmentation of an Incremental Nonlinear Dynamic Inversion Autopilot for Dual-Spin Guided Projectiles","Pineau, Sofiane (Lorraine University); Theodoulis, S.T. (TU Delft Control & Simulation); Zasadzinski, Michel (Lorraine University); Boutayeb, Mohamed (Lorraine University); Roussel, Emmanuel (French-German Research Institute of Saint-Louis)","","2023","This article covers the design of an L1-adaptive Incremental Nonlinear Dynamic Inversion (INDI) autopilot applied to the control of the ballistic trajectory of a 155mm dual-spin projectile supplied with a roll-decoupled course-correction fuze. Associated with a Zero Effort Miss guidance law, the discrete-time INDI baseline successfully controls the lateral load factors of the projectile, resulting in a ballistic dispersion reduced to metric precision. However, aerodynamic data for dual-spin projectiles are often not very accurate because they rely on simplified CFD simulation and time-consuming wind tunnel tests aren’t always possible. Therefore significant parametric uncertainties are present in the model. Even if INDI is a sensor-based control technique, this approach is still sensitive to model mismatch. For this reason, L1-adaptive control theory was used to compensate for the degraded inversion of the INDI autopilot under the presence of parametric uncertainties. Nonlinear simulation results show the interest of an L1-adaptive augmentation of an INDI autopilot where the performance of the autopilot is guaranteed under a large range of time-varying matched uncertainties","","en","conference paper","","","","","","","","","","","Control & Simulation","","",""
"uuid:aa851424-79b7-4735-ae36-f02a347c86d6","http://resolver.tudelft.nl/uuid:aa851424-79b7-4735-ae36-f02a347c86d6","Aerodynamic and Static Coupling Simulations of the Pazy Wing with Transitional CFD for the Third Aeroelastic Prediction Workshop","Ritter, Markus (German Aerospace Center (DLR), Institute of Aeroelasticity); Fehrs, Michael (German Aerospace Center (DLR), Institute of Aeroelasticity); Mertens, C. (TU Delft Aerodynamics)","","2023","The Pazy Wing test case is a benchmark for the investigation of aeroelastic effects at very large deflections. Tip deformations in the order of 50% span were measured in wind tunnel tests which renders this model highly attractive for the validation of numerical aeroelastic methods and tools for geometrically nonlinear, large deflection analyses. The present work is focused on high fidelity aerodynamic and aeroelastic simulations of the wing using RANS and URANS with transition modeling in order to capture nonlinear effects originating from the shape of the wing and the low Reynolds number. Steady and unsteady aerodynamic as well as static coupling simulations with a nonlinear structural model are presented, the impact of the different transition and turbulence modeling techniques is depicted. This work supports the Large Deflection Working Group (LDWG), which is one of the sub-groups of the 3rd Aeroelastic Prediction Workshop (AePW3).","","en","conference paper","","","","","","","","","","","Aerodynamics","","",""
"uuid:957deea3-6564-421d-bec7-b2e619b69f6f","http://resolver.tudelft.nl/uuid:957deea3-6564-421d-bec7-b2e619b69f6f","Testing of a Composite Conical-Cylindrical Shell","Rudd, Michelle Tillotson (NASA Marshall Space Flight Center); Schultz, Marc R. (NASA Langley Research Center); Gardner, Nathaniel W. (NASA Langley Research Center); Kosztowny, Cyrus J. R. (NASA Langley Research Center); Bisagni, C. (TU Delft Aerospace Structures & Computational Mechanics)","","2023","Launch-vehicle shell structures, which can be comprised of both cylindrical and conical sections, are known to be susceptible to buckling due to their large radius-to-thickness ratios. The advancements in composite manufacturing and numerical methods have enabled designers to consider more nontraditional shapes, such as connecting the conical and cylindrical sections with a toroidal transition to create a single-piece conical-cylindrical shell. This single-piece construction eliminates the need for a heavy interface ring between sections and has the potential to save mass. To better understand the buckling behavior, a composite conical-cylindrical shell was designed, fabricated, and tested. Prior to test, a finite element model that included thickness variations and radial imperfections was created. The test article buckled elastically at 251.8 kN, approximately 8.8% higher than the predicted buckling load of 231.4 kN Continued research in conical-cylindrical structures has the potential to expand the design space for launch-vehicle structures and lead to improved designs and reduced weight.","","en","conference paper","","","","","","","","","","","Aerospace Structures & Computational Mechanics","","",""
"uuid:dd47b6b6-bdc5-4f0d-9ada-cabe1ae55c99","http://resolver.tudelft.nl/uuid:dd47b6b6-bdc5-4f0d-9ada-cabe1ae55c99","The Impact of Electric Machine and Propeller Coupling Design on Electrified Aircraft Noise and Performance","Zaghari, Bahareh (Cranfield University); Kiran, Abhishek Kiran (Cranfield University); Sinnige, T. (TU Delft Flight Performance and Propulsion); Pontika, Evangelia (Cranfield University); Enalou, Hossein B. (Cranfield University); Kipouros, Timoleon (Cranfield University); Laskaridis, Panagiotis (Cranfield University)","","2023","Novel propulsion systems have been studied in literature to reduce aircraft emissions with hydrogen or other electrical energy sources. Hybrid Electric Propulsion (HEP) system consists of electric machines as an alternative way to provide power for propulsion resulting in the reduction of aircraft fuel consumption. While reduction of emission is the main driver of new HEP designs, aircraft noise reduction and performance improvement will also need to be investigated. Much quieter electrified aircraft than conventional aircraft is explored with considering the benefits of coupled design between the propeller and electric machines. In this study, several electric machine designs have been explored and coupled with the propeller design to study the trade-off between the aerodynamic and acoustic performance of the propeller. Aerodynamic optimization is used as a baseline to minimize the energy consumption to find the aerodynamics optimum subject to constraints on the thrust levels during the mission. The propeller aerodynamic optimizer considers the electric machine efficiency map, which is a function of propeller torque and rotational speed, to find the optimum combination of propeller and electric machine designs. The objective function of the acoustic optimizations is to reduce the cumulative noise level over the entire mission. It is shown that a wider envelope of peak motor efficiency in the efficiency map provides acoustics and aerodynamic performance benefits. The trade-offs between reducing noise or increasing aerodynamic efficiency to reduce energy consumption are demonstrated.","","en","conference paper","","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:ffbe65ab-41ac-40e4-b57f-b345983bd3e4","http://resolver.tudelft.nl/uuid:ffbe65ab-41ac-40e4-b57f-b345983bd3e4","The role of wind-wave related processes in redistributing river-derived terrigenous sediments in Lake Turkana: A modelling study","Zăinescu, Florin (Bucharest University; University of Strasbourg); van der Vegt, Helena (Deltares); Storms, J.E.A. (TU Delft Applied Geology); Nutz, Alexis (Collège de France); Bozetti, Guilherme (University of Strasbourg); May, Jan-Hendrik (University of Melbourne); Cohen, Sagy (University of Alabama); Bouchette, Frederic (Université de Montpellier); May, Simon Matthias (University of Cologne); Schuster, Mathieu (University of Strasbourg)","","2023","A complete annual cycle of the dynamics of fine-grained sediment supplied by the Omo and smaller rivers is simulated for Lake Turkana, one of the world’s large lakes, with the hydrodynamic, wave and sediment transport model Delft3D. The model is forced with river liquid and solid discharge and wind data in order to simulate cohesive sediment transport and resuspension. It simulates stratification due to salinity, wave generation and dissipation, and sediment advection and resuspension by waves and currents, with multiple cohesive sediment fractions. A comparison of the simulation results with remotely-sensed imagery and with available in-situ sediment deposition rates validates the model. By devising simulation scenarios in which certain processes were switched on or off, we investigated the contribution of waves, wind-induced surface and bottom currents, salinity-induced stratification and river jet, in resuspending and transporting fine sediments in the lake basin. With only the wind or river influence, most of the sediment deposition occurs in the first 10 km off the Omo River mouth and at a depth 30 m. This study sheds new light on sediment transport in Lake Turkana and in great lakes in general, favouring the view that wind-waves can be the main agent that transports sediment away from river mouths and to deeper areas, as opposed to river-plume or gravity-driven transport.","Hydrodynamics; Sedimentation; Delft3D; Wave; Resuspension; Lake Turkana","en","journal article","","","","","","","","","","","Applied Geology","","",""
"uuid:900be695-f441-4f00-b87d-927c042f05bc","http://resolver.tudelft.nl/uuid:900be695-f441-4f00-b87d-927c042f05bc","The laminar seabed thermal boundary layer forced by propagating and standing free-surface waves","Michele, S. (University of Plymouth); Borthwick, A.G.L. (University of Plymouth); van den Bremer, T.S. (TU Delft Environmental Fluid Mechanics; University of Oxford)","","2023","A mathematical model is developed to investigate seabed heat transfer processes under long-crested ocean waves. The unsteady convection–diffusion equation for water temperature includes terms depending on the velocity field in the laminar boundary layer, analogous to mass transfer near the seabed. Here we consider regular progressive waves and standing waves reflected from a vertical structure, which complicate the convective term in the governing equation. Rectangular and Gaussian distributions of seabed temperature and heat flux are considered. Approximate analytical solutions are derived for uniform and trapezoidal currents, and compared against predictions from a numerical solver of the full equations. The effects of heat source profile, location and strength on heat transfer dynamics in the thermal boundary layer are explained, providing insights into seabed temperature forced convection mechanisms enhanced by free-surface waves.","","en","journal article","","","","","","","","","","","Environmental Fluid Mechanics","","",""
"uuid:871349c2-ca36-46db-a382-199e594cb8c0","http://resolver.tudelft.nl/uuid:871349c2-ca36-46db-a382-199e594cb8c0","Safety Certification for Stochastic Systems via Neural Barrier Functions","Mathiesen, Frederik Baymler (TU Delft Team Luca Laurenti); Calvert, S.C. (TU Delft Transport and Planning); Laurenti, L. (TU Delft Team Luca Laurenti)","","2023","Providing non-trivial certificates of safety for non-linear stochastic systems is an important open problem. One promising solution to address this problem is the use of barrier functions. Barrier functions are functions whose composition with the system forms a Martingale and enable the computation of the probability that the system stays within a safe set over a finite time horizon. However, existing approaches to find barrier functions generally restrict the search to a small class of functions, often leading to conservatism. To address this problem, in this letter, we parameterize barrier functions as neural networks and show that bound propagation techniques and linear programming can be successfully employed to find Neural Barrier Functions. Further, we develop a branch-and-bound scheme based on linear relaxations that improves the scalability of the proposed framework. On several case studies we show that our approach scales to neural networks of hundreds of neurons and multiple hidden layers and often produces certificates of safety that are tighter than state-of-the-art methods.","Neural networks; system verification; stochastic systems; linear programming","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Luca Laurenti","","",""
"uuid:cfbd542f-6d75-4d80-8aca-654ea1db22bd","http://resolver.tudelft.nl/uuid:cfbd542f-6d75-4d80-8aca-654ea1db22bd","Influence of Behavioural Model Formulation on Surrogate Safety Measures in Traffic Microsimulation","Raju, Narayana (TU Delft Transport and Planning); van Beinum, Aries (Witteveen+Bos); Farah, H. (TU Delft Transport and Planning)","","2023","Traffic microsimulation is a commonly used tool in traffic engineering. Given its flexibility and cost-efficiency, it is increasingly used for evaluating traffic safety. In real life traffic, unsafety is in many cases due to human error in driving behaviour. In traffic microsimulations however, driving behaviour is highly dependent on the formulated driving behavioural models and the level of their realism. Most of these behavioural models were developed ignoring the inconsistencies and error proneness of human behaviour. A quantitative evaluation of the differences in the safety level between real life and simulated traffic, considering the mathematical formulation of different driver behavioural models, is lacking in the literature. The main aim of this study is to investigate the influence of different behavioural models’ formulations on the correlation between simulated and empirical surrogate safety measures’ outcomes. For this purpose, high-quality empirical trajectory data were used to calibrate and validate different driver behavioural models. SUMO (Simulation of Urban MObility), an open-source traffic microsimulation software, was used as a platform for calibrating, validating, and testing four distinct combinations of car following and lane changing models. The results show that, regardless of the behavioural model formulations used, the number of simulated traffic conflicts is overestimated. This is most likely due to a higher frequency of lane changes and an unrealistic distribution of traffic over the different lanes in microscopic traffic simulation. The severity of the simulated conflicts was shown to be reasonably accurate at an aggregate level but not significantly comparable at a microscopic level.","","en","poster","","","","","","","","","","","Transport and Planning","","",""
"uuid:c995bfe2-7a9c-4964-a19c-a5ae3e98abfe","http://resolver.tudelft.nl/uuid:c995bfe2-7a9c-4964-a19c-a5ae3e98abfe","Sensitivity of the seismic response of monopile-supported offshore wind turbines to soil variability","Panagoulias, S. (TU Delft Offshore Engineering; Siemens Gamesa Renewable Energy); de Winter, C. (Siemens Gamesa Renewable Energy B.V.); Navalkar, S.T. (TU Delft Team Jan-Willem van Wingerden; Siemens Gamesa Renewable Energy); Nernheim, A. (Siemens Gamesa Renewable Energy GmbH & Co. KG)","","2023","The expansion of the offshore wind industry in areas with high seismicity has led to engineering challenges related to the design of the offshore wind turbines (OWTs). Monopiles, i.e., tubular steel piles of large outer diameter, low aspect ratio (penetration depth over outer diameter), and relatively thin pile wall, are traditionally the preferred foundation type for OWT due to fabrication, transportation, and installation standardization. For all bottom-founded systems, soil–structure interaction (SSI) plays a crucial role in the system's response. Additional challenges arise in the case of seismic SSI as, not only the system's response, but also the seismic ground motion itself are affected by the soil characteristics. Furthermore, uncertainties related to soil properties, as derived from the soil testing campaign and interpretation, need to be thoroughly considered for OWT load calculations and the design of the support structure. The uncertainty in soil interpretation may have a large impact on the characteristics of the input seismic motion. Subsequently, SSI will affect the seismic loads acting on the support structure and the OWT. This knock-on effect of the interpretation of the soil parameters is unknown, but may be significant to account for. In fact, when a “best estimate” soil parameter set is used, the resulting seismic load may not necessarily correspond to the most probable load for the assumed seismic event. This paper investigates the influence of the uncertainty in soil parameters, as they may result from the soil interpretation, on the seismic loads. It demonstrates the skewed distribution of OWT seismic loads using a realistic design case study on a commercial OWT. Results are presented in the form of transfer functions, response spectra at mudline and normalized bending moments along the support structure. Three distinct structural components of interest are selected to evaluate the results. It is concluded that, for the analysis of OWT under seismic loading conditions in particular, it cannot be decided a priori which soil properties would result in conservative or progressive design. Based on the obtained results, recommendations are given which aim to de-risk and enhance the current design practice.","Earthquake loading; Monopile foundation; Numerical analysis; Offshore wind turbine; Probabilistic analysis; Seismic design; Soil–structure interaction","en","journal article","","","","","","","","","","","Offshore Engineering","","",""
"uuid:43138457-422b-4a6c-a258-c3e6eb0db2e1","http://resolver.tudelft.nl/uuid:43138457-422b-4a6c-a258-c3e6eb0db2e1","Solar Parks and Wind Farms Along Inland Waterways: Mitigating Measures Concerning Hindrance for Vessel Traffic","Koedijk, O.C. (TU Delft Rivers, Ports, Waterways and Dredging Engineering)","Li, Yun (editor); Hu, Yaan (editor); Rigo, Philippe (editor); Esteban Lefler, Francisco (editor); Zhao, Gensheng (editor)","2023","In the search for space for producing renewable energy, possible negative effects of solar parks and wind farms along inland waterways can easily be overseen. This paper provides an exploratory description of effects for navigation like blinding of helmsmen, disturbance of radio communication and exaggeration of vessel’s radar images and concludes with a chapter on mitigating measures.","floating solar panels; inland waterways; windturbines; hindrance","en","conference paper","SpringerOpen","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:b0f175ef-b695-49fd-b0ba-755fcd85abd9","http://resolver.tudelft.nl/uuid:b0f175ef-b695-49fd-b0ba-755fcd85abd9","Safe Flutter Determination for Wings Undergoing Large Deflections","de Boer, S. (TU Delft Aerospace Structures & Computational Mechanics); Karpel, M. (Technion); Sodja, J. (TU Delft Aerospace Structures & Computational Mechanics)","","2023","A new flutter test version of the Parametric Flutter Margin (PFM) method, specifically applied to wings undergoing large deflections is presented. The PFM method adds a stabilising parameter, such as a stabilising mass, to the model such that the flutter velocity is increased. By exciting the stabilising mass in one of the primary (i.e., x, y and z) directions while simultaneously measuring the response in these directions and repeating the excitation in other directions, the flutter margins that are associated with the original model can be determined. To demonstrate the method a wind tunnel test campaign was performed at TU Delft using the Delft Pazy Wing which can exhibit large nonlinear deflection, onto which a flutter pod consisting of a shaker and stabilising mass was placed at the mid-span position at the leading edge of the wing. During the test campaign, three test series were performed. The first identified the flutter boundary through direct flutter tests, with the flutter onset and offset velocities being determined by actually hitting flutter that turned into an LCO. SISO and MIMO PFM tests were then performed to obtain the nominal flutter boundaries without actually hitting flutter, showing a maximum difference of 4.4 % between each other at an angle of attack of 6°. The difference between the MIMO and SISO PFM was found to be increasing with increasing angle of attack, which was as expected. Compared to the directly measured flutter tests, the MIMO PFM results identified a flutter velocity of 4.8 % lower than the directly measured flutter offset velocity at an angle of attack of 4°, and the SISO PFM results identified the flutter velocity to be 8.2 % lower than the offset velocity at 4° angle of attack. The PFM-identified flutter frequencies showed a difference of less than 2 % compared to the direct flutter test, with the difference in identified flutter frequency between the SISO and MIMO PFM reaching a maximum of 2 %. The acquired data shows the potential of the PFM method for performing safer, shorter and consequently cheaper flight tests for the certification procedure of new aircraft configurations.","","en","conference paper","","","","","","","","","","","Aerospace Structures & Computational Mechanics","","",""
"uuid:4a75612b-feef-43eb-929d-cccdbebde154","http://resolver.tudelft.nl/uuid:4a75612b-feef-43eb-929d-cccdbebde154","Prediction Models for Individuals' Control Skill Development and Retention using XGBoost and SHAP","van Leeuwen, B.A.A. (Student TU Delft); Toubman, Armon (Royal Netherlands Aerospace Centre NLR); van der Pal, Jelke (Royal Netherlands Aerospace Centre NLR); Pool, D.M. (TU Delft Control & Simulation)","","2023","Current capabilities for predicting skill retention, i.e., the extent to which human operators retain learned skills over time, at an individual level are limited due to a requirement for large data sets and methods that can extract relevant patterns in highly dimensional data. This paper investigates the application of Extreme Gradient Boosting (XGBoost) decision tree models for predicting a high-resolution individual skill retention curve. For this, a large skill-based tracking experiment dataset is used to extract different feature classes and train an XGBoost predictive model. To identify the robust predictors, the effects of the different features on the model's output are analyzed using SHapley Additive exPlanations (SHAP). Furthermore, the proposed XGBoost model is trained using both the experiment dataset and a matched synthetic dataset, with both approaches evaluated on the experiment data. Overall, the available experiment dataset was found to include too few retention measurements, and too significant between-group differences, to extract a reliable prediction model. On the synthetic dataset, the XGBoost model was found to accurately capture individuals' skill retention curves, where the features that contributed most (21%) to the prediction model's accuracy were found to be the considered learning curve parameters. Overall, this paper shows that experiment data of skill-based tracking tasks can be used to predict skill decay curves using XGBoost, but that more research and data are needed to achieve sufficient accuracy and reliability at an individual level for practical applications.","","en","conference paper","","","","","","","","","","","Control & Simulation","","",""
"uuid:a9819ad8-9e18-4bc8-ba20-ba8ba155b8a1","http://resolver.tudelft.nl/uuid:a9819ad8-9e18-4bc8-ba20-ba8ba155b8a1","Incremental Nonlinear Control Allocation for an Aircraft with Distributed Electric Propulsion","de Heer, P. (TU Delft Team Mulders); de Visser, C.C. (TU Delft Control & Simulation); Hoogendoorn, M.L. (Royal Netherlands Aerospace Centre NLR); Jentink, Henk W (Royal Netherlands Aerospace Centre NLR)","","2023","In this paper, a new nonlinear control allocation method is presented for a distributed electric propulsion (DEP) aircraft. As the electric propellers can be used actively for control, in addition to the control surfaces, the DEP aircraft is over-actuated. This freedom in control effectors can be exploited with an appropriate control allocation method. All control effectors are, therefore, captured in the incremental nonlinear control allocation (INCA) method, which allows taking into account effector nonlinearities and interactions introduced by the propellers. The INCA method is based on a real-time updated Jacobian model of the control effectiveness, thereby solving an efficient linear control allocation problem. This paper reformulates the original INCA method to optimize the control allocation for minimal propeller power, resulting in more efficient flight. A model predictive control (MPC) controller is added as an actuator dynamics compensation method. This ensures that the commanded control inputs from the INCA controller are achieved. The new controller is compared to a standard incremental nonlinear dynamic inversion (INDI) controller with a translational and rotational loop. It is shown in simulation that by combining INCA with MPC, the tracking performance is improved and efficiency increased by 6.1%.","","en","conference paper","","","","","","","","","","","Team Mulders","","",""
"uuid:580b0eb1-0751-4ca3-8fa6-513af0b34ba6","http://resolver.tudelft.nl/uuid:580b0eb1-0751-4ca3-8fa6-513af0b34ba6","GPU-accelerated simulations for eVTOL aerodynamic analysis","Pasquariello, Vito (Lilium eAircraft, Wessling); Bunk, Y.L. (Lilium eAircraft, Wessling); Eberhardt, S. (Lilium eAircraft, Wessling); Huang, Pei-Hsuan (Lilium eAircraft, Wessling); Matheis, Jan (Lilium eAircraft, Wessling); Ugolotti, Matteo (Lilium eAircraft, Wessling); Hickel, S. (TU Delft Aerodynamics)","","2023","The demand for fast, high-fidelity, scale-resolving computational fluid dynamics (CFD) simulations is continuously growing. Especially new emerging aviation technologies, such as electrical vertical take-off and landing aircraft (eVTOL), strongly rely on advanced numerical methods to retain development life-cycle costs and achieving design targets more quickly. This paper presents a cutting-edge large-eddy simulations (LES) solver developed to enable over-night turnaround times for full aircraft simulations on advanced graphics processing unit (GPU) architectures. The solver models weakly compressible fluid flows over complex three-dimensional bodies based on an immersed boundary method with geometry-based and flow-based automatic mesh adaption. Its high accuracy and unprecedented performance is demonstrated for high Reynolds number aerodynamic benchmark cases and compared to recent results from literature. In addition, the successful validation against experimental data for the Lilium Jet canard is discussed.","","en","conference paper","","","","","","","","","","","Aerodynamics","","",""
"uuid:f0e77dc2-95fe-4bf0-a0ae-986b4e6503ba","http://resolver.tudelft.nl/uuid:f0e77dc2-95fe-4bf0-a0ae-986b4e6503ba","Linear Parameter Varying Pitch Autopilot Design for a Class of Long Range Guided Projectiles","Vinco, Gian Marco (Université Grenoble Alpes); Theodoulis, S.T. (TU Delft Control & Simulation); Sename, Olivier (Université Grenoble Alpes); Strub, Guillaume (French-German Research Institute of Saint-Louis)","","2023","Promising results on LPV design have been recently proposed, concerning the modeling and control of missiles, rockets, and aircraft. However, very few investigations have been focused on the development of guided projectile applications. This paper presents a pure linear parameter varying (LPV) modeling and control design approach intended to improve the range capability of a new class of Long Range Guided Projectiles (LRGP). The investigated concept consists of an asymmetric 155 mm fin-stabilized projectile equipped with a reduced amount of control actuators and characterized by a predominant unstable behavior across the analyzed flight envelope. The main advantages of the LPV design in terms of guaranteed robustness and stability are compared to standard gain-scheduling-based linear time-invariant (LTI) control strategies. A nonlinear simulation scenario is performed in order to assess the reliability of a pure LPV autopilot design, based on the polytopic formulation, across the entire flight envelope, over a local modal control design related to a specific set of flight conditions.","","en","conference paper","","","","","","","","","","","Control & Simulation","","",""
"uuid:fcca598a-35a3-4afc-9ce4-e99f2a50872d","http://resolver.tudelft.nl/uuid:fcca598a-35a3-4afc-9ce4-e99f2a50872d","Damage Detection of CNT/CNC-reinforced Foam-cored Sandwich Composites by Acoustic Emission Tests under Flexural Load","Kucukkalfa, Eyuphan (Istanbul Technical University); Ghaderiaram, A. (TU Delft Materials and Environment); Yildiz, Kaan (Istanbul Technical University); Fotouhi, M. (TU Delft Materials and Environment); Asadi, Amir (Texas A and M University); Cebeci, Hulya (Istanbul Technical University)","","2023","Sandwich composites stand out especially in the aerospace industry owing to their high strength-to-weight ratio, one of the most prominent factors for material selection. Polymeric foams as core material in sandwich composites are likely to prevent delamination between face sheets and core by augmenting the contact surface area, resulting from their closed-cell structure. Polymeric foam properties can be enhanced by adding nanomaterials such as carbon nanotubes (CNTs), however increased CNT content or the type of CNTs might arise critical problems such as agglomeration and irregular distribution of nanomaterials. Cellulose nanocrystals (CNCs) are claimed to be good candidates to prevent nanomaterial reinforcing related issues and further, their inclusion enables reinforcing of polymeric foams using an optimum CNT/CNC concentration. In this work, CNT/CNC reinforced polyurethane (PU) foam-cored sandwich composites were manufactured and characterized for the influence of nanomaterial addition on the mechanical properties with an aim to find the optimum nanomaterial content. 0.1 wt.% CNT, CNC, CNT/CNC (1:1), and CNT/CNC (1:2) reinforced PU foam-cored sandwich composites were subjected to simultaneous three-point bending tests and acoustic emission tests, one of the promising non-destructive testing methods enabling in-situ monitoring of the damage mechanisms to understand how damage evolves. The effects of these nanomaterial additives on damage mechanisms and the mechanical properties were examined thoroughly via both mechanical and morphological characterizations. The test results were found to be promising in terms of revealing how these reinforcements affect the retardation and/or elimination of damage mechanisms including core damage, face sheet-core debonding, matrix cracking, and fiber breakage in the sandwich composite structures. The results suggested that with the addition of 0.1 wt.% CNT, the mechanical properties of PU foam were increased; therefore, the ratio of AE signals related to fiber breakage and core damage were decreased because of the strengthened core material.","","en","conference paper","","","","","","","","","","","Materials and Environment","","",""
"uuid:b2934e10-ace4-4e0f-a8a4-9358422346fe","http://resolver.tudelft.nl/uuid:b2934e10-ace4-4e0f-a8a4-9358422346fe","Next steps for Planning Practice and Research","Nadin, V. (TU Delft Spatial Planning and Strategy)","","2023","","","en","contribution to periodical","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-23","","","Spatial Planning and Strategy","","",""
"uuid:21502eff-1e57-43ab-bc76-ce6fb57ac35c","http://resolver.tudelft.nl/uuid:21502eff-1e57-43ab-bc76-ce6fb57ac35c","Passive and active flow control effects in the platoon and overtaking maneuvers","Karimi, Saber (Lakehead University); Mohammadikalakoo, B. (TU Delft Aerodynamics)","","2023","The current numerical study is dedicated to investigating the effect of passive, active, and combined flow control techniques on the performance of the vehicles in different maneuvers including, platoon and overtaking on critical highway velocity (70 miles per hour) for a reference bluff body vehicle called Ahmed body. The target passive flow control method is an innovative technique called Rear Linking Tunnels (RLTs), introduced previously by the group of authors. Studying the effect of the Single Dielectric Barrier Discharge Actuator (SDBD) as an active flow control method and its combined effect with RLTs on the drag and lift of controlled vehicles and surrounding vehicles in various maneuvers is one of the main aims of this research study.","","en","conference paper","","","","","","","","","","","Aerodynamics","","",""
"uuid:d85bb420-b1cf-4d2c-ba71-42a9494b1e16","http://resolver.tudelft.nl/uuid:d85bb420-b1cf-4d2c-ba71-42a9494b1e16","Semi-Decentralized Generalized Nash Equilibrium Seeking in Monotone Aggregative Games","Belgioioso, Giuseppe (ETH Zürich); Grammatico, S. (TU Delft Team Sergio Grammatico; TU Delft Team Bart De Schutter)","","2023","We address the generalized Nash equilibrium seeking problem for a population of agents playing aggregative games with affine coupling constraints. We focus on semi-decentralized communication architectures, where there is a central coordinator able to gather and broadcast signals of aggregative nature to the agents. By exploiting the framework of monotone operator theory and operator splitting, we first critically review the most relevant available algorithms and then design two novel schemes: 1) a single-layer, fixed-step algorithm with convergence guarantee for general (noncocoercive, nonstrictly) monotone aggregative games and 2) a single-layer proximal-type algorithm for a class of monotone aggregative games with linearly coupled cost functions. We also design novel accelerated variants of the algorithms via (alternating) inertial and over-relaxation steps. Finally, we show via numerical simulations that the proposed algorithms outperform those in the literature in terms of convergence speed.","Distributed algorithms; multi-agent systems; optimization methods; scalability","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Sergio Grammatico","","",""
"uuid:82ecf9a9-b032-4143-ba1e-2f4e54207ef1","http://resolver.tudelft.nl/uuid:82ecf9a9-b032-4143-ba1e-2f4e54207ef1","A disaggregate model of passenger-freight matching in crowdshipping services","Tapia, Rodrigo Javier (TU Delft Transport and Planning; Significance); Kourounioti, I. (Panteia); Thoen, Sebastian (Significance); de Bok, M.A. (TU Delft Transport and Planning; Significance); Tavasszy, Lorant (TU Delft Transport and Planning; TU Delft Transport and Logistics)","","2023","Crowdshipping (CS) is an emerging form of freight transport that is expected to reduce the externalities of urban freight transport. The supply of CS services originates from people with an intention to travel, who can choose to engage in a parcel delivery service as incidental carrier. The popular expectation is that this consolidation of freight and passenger trips could save freight trips and thus alleviate urban transport congestion and environmental pollution. A key challenge in the prediction of CS service volumes and impacts, however, is to match existing service demand and supply. This has not yet been addressed in the literature with models that give an empirically realistic representation of individual decision-making. We approach this problem using a disaggregate activity-based models for urban passenger transport and freight transport. Allocation of parcels to travellers is done based on a simulated random utility discrete choice model. We present a first case study for the city of The Hague, The Netherlands, to illustrate empirically the model. Our findings suggest that CS could result in increased CO2 emissions and total vehicle distances travelled.","Urban freight; City logistics; Crowdshipping; Agent based modelling; Passenger & freight integration","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:57560285-1fe2-4d05-9c72-05eaf5634036","http://resolver.tudelft.nl/uuid:57560285-1fe2-4d05-9c72-05eaf5634036","Detecting outliers from pairwise proximities: Proximity isolation forests","Mensi, Antonella (University of Verona); Tax, D.M.J. (TU Delft Pattern Recognition and Bioinformatics); Bicego, Manuele (University of Verona)","","2023","Because outliers are very different from the rest of the data, it is natural to represent outliers by their distances to other objects. Furthermore, there are many scenarios in which only pairwise distances are known, and feature-based outlier detection methods cannot directly be applied. Considering these observations, and given the success of Isolation Forests for (feature-based) outlier detection, we propose Proximity Isolation Forest, a proximity-based extension. The methodology only requires a set of pairwise distances to work, making it suitable for different types of data. Analogously to Isolation Forest, outliers are detected via their early isolation in the trees; to encode the isolation we design nine training strategies, both random and optimized. We thoroughly evaluate the proposed approach on fifteen datasets, successfully assessing its robustness and suitability for the task; additionally we compare favourably to alternative proximity-based methods.","Isolation; Outlier detection; Pairwise distances; Random forest","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-25","","","Pattern Recognition and Bioinformatics","","",""
"uuid:8e2f8b6e-2632-40d8-a759-c77a8077c352","http://resolver.tudelft.nl/uuid:8e2f8b6e-2632-40d8-a759-c77a8077c352","Fresh properties of limestone-calcined clay-slag cement pastes","Chen, Y. (TU Delft Materials and Environment); Zhang, Y. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment); Copuroglu, Oguzhan (TU Delft Materials and Environment)","","2023","Formulation of quaternary blended system containing ordinary Portland cement or clinker, slag, limestone and calcined clay (LC2) appeared to be a viable approach to developing low-clinker cements without severely sacrificing mechanical performance at later ages. This paper investigates the effect of two material parameters, i.e., LC2-to-slag ratio and gypsum content, on fresh properties, hydration, and compressive strength of quaternary blended cement pastes (about 65 wt% of LC2 and slag in the binder). Results show that the increase in LC2 proportion decreased flowability and increased water retention capacity, yield stress, and plastic viscosity, as well as accelerated the evolution of stiffness with time (G′ growth). On the other hand, the addition of 2–4 wt% gypsum had little effect on most of the fresh properties. A new metric, the free water indicator, was proposed to describe the effect of free water content and the total specific surface area of binding materials. It correlated strongly with the growth of structural build-up metrics. Finally, adding gypsum delayed the aluminate peak and enhanced compressive strength only at 3 days, whereas increasing slag content reduced accumulated heat of hydration (7 days) but improved 28-day compressive strength. Therefore, adjusting LC2-to-slag ratio of the quaternary blended cement is a feasible way to meet requirements for fresh properties and compressive strength.","Limestone and calcined clay; Slag; Fresh-state behaviors; Rheology; Water retention; Hydration; Compressive strength","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:a67a9094-d0ac-40d7-b310-462e5f5043bd","http://resolver.tudelft.nl/uuid:a67a9094-d0ac-40d7-b310-462e5f5043bd","Rise and fall of SARS-CoV-2 variants in Rotterdam: Comparison of wastewater and clinical surveillance","Izquierdo-Lara, Ray W. (Erasmus MC); Heijnen, Leo (KWR Water Research Institute); Oude Munnink, Bas B. (Erasmus MC); Schapendonk, Claudia M.E. (Erasmus MC); Elsinga, Goffe (KWR Water Research Institute); Langeveld, J.G. (TU Delft Sanitary Engineering; Partners4UrbanWater); Post, Johan (Partners4UrbanWater); Prasad, Divyae K. (Erasmus MC); Medema, G.J. (TU Delft Sanitary Engineering; KWR Water Research Institute)","","2023","Monitoring of SARS-CoV-2 in wastewater (WW) is a promising tool for epidemiological surveillance, correlating not only viral RNA levels with the infection dynamics within the population, but also to viral diversity. However, the complex mixture of viral lineages in WW samples makes tracking of specific variants or lineages circulating in the population a challenging task. We sequenced sewage samples of 9 WW-catchment areas within the city of Rotterdam, used specific signature mutations from individual SARS-CoV-2 lineages to estimate their relative abundances in WW and compared them against those observed in clinical genomic surveillance of infected individuals between September 2020 and December 2021. We showed that especially for dominant lineages, the median of the frequencies of signature mutations coincides with the occurrence of those lineages in Rotterdam's clinical genomic surveillance. This, along with digital droplet RT-PCR targeting signature mutations of specific variants of concern (VOCs), showed that several VOCs emerged, became dominant and were replaced by the next VOC in Rotterdam at different time points during the study. In addition, single nucleotide variant (SNV) analysis provided evidence that spatio-temporal clusters can also be discerned from WW samples. We were able to detect specific SNVs in sewage, including one resulting in the Q183H amino acid change in the Spike gene, that was not captured by clinical genomic surveillance. Our results highlight the potential use of WW samples for genomic surveillance, increasing the set of epidemiological tools to monitor SARS-CoV-2 diversity.","Next generation sequencing; RT-ddPCR; SARS-CoV-2; Single nucleotide variant; Viral diversity; Wastewater genomic surveillance","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-14","","","Sanitary Engineering","","",""
"uuid:705ded30-359a-44cc-9f74-1e8c7487e397","http://resolver.tudelft.nl/uuid:705ded30-359a-44cc-9f74-1e8c7487e397","Bonding with a Couchsurfing Robot: The Impact of Common Locus on Human-Robot Bonding In-the-Wild","Mollen, J.K. (TU Delft Ethics & Philosophy of Technology); Van Der Putten, Peter (Universiteit Leiden); Darling, Kate (Massachusetts Institute of Technology)","","2023","Due to an increased presence of robots in human-inhabited environments, we observe a growing body of examples in which humans show behavior that is indicative of strong social engagement towards robots that do not possess any life-like realism in appearance or behavior. In response, we focus on the under-explored concept of a common locus as a relevant driver for a robot passing a social threshold. The key principle of common locus is that sharing place and time with a robotic artifact functions as an important catalyst for a perception of shared experiences, which in turn leads to bonding. We present BlockBots, minimal cube-shaped robotic artifacts that are deployed in an unsupervised, open-ended and in-the-field experimental setting aimed to explore the relevance of this concept. Participants host the BlockBot in their domestic environment before passing it on, without necessarily knowing they are taking part in an experiment. Qualitative data suggest that participants make identity and mind attributions to the BlockBot. People that actively maintain a common locus with BlockBot by taking it with them when changing location, on trips and during outdoor activities, project more of these attributes than others.","abstract robots; bonding; common locus; Human-robot interaction; human-robot relationships; in-the-wild study; qualitative study","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:ebac2265-0c1b-4b81-8e70-65c59bfb0283","http://resolver.tudelft.nl/uuid:ebac2265-0c1b-4b81-8e70-65c59bfb0283","Equivalence of measures and asymptotically optimal linear prediction for Gaussian random fields with fractional-order covariance operators","Bolin, David (King Abdullah University of Science and Technology); Kirchner, K. (TU Delft Analysis)","","2023","We consider two Gaussian measures μ, ˜μ on a separable Hilbert space, with fractional-order covariance operators A−2β and Ã−2˜β, respectively, and derive necessary and sufficient conditions on A, à and β, ˜β > 0 for I. equivalence of the measures μ and ˜μ, and II. uniform asymptotic optimality of linear predictions for μ based on the misspecified measure ˜μ. These results hold, e.g., for Gaussian processes on compact metric spaces. As an important special case, we consider the class of generalized Whittle–Matérn Gaussian random fields, where A and à are elliptic second-order differential operators, formulated on a bounded Euclidean domain D ⊂ Rd and augmented with homogeneous Dirichlet boundary conditions. Our outcomes explain why the predictive performances of stationary and non-stationary models in spatial statistics often are comparable, and provide a crucial first step in deriving consistency results for parameter estimation of generalized Whittle–Matérn fields.","elliptic differential operators; Gaussian measures; kriging; Whittle–Matérn fields","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-01","","","Analysis","","",""
"uuid:6fece11b-8aff-4e20-a670-382cf0c1ab80","http://resolver.tudelft.nl/uuid:6fece11b-8aff-4e20-a670-382cf0c1ab80","Improving rowing performance by adjusting oar blade size and angle","van Nieuwburg, W.C.A.M. (Student TU Delft); van Spreuwel, B.J.J. (Student TU Delft); Tran, Minh Tâm Kevin (Student TU Delft); Yang, M.D. (Student TU Delft); Greidanus, A.J. (TU Delft Fluid Mechanics); Mulder, G. (Student TU Delft); Tummers, M.J. (TU Delft Fluid Mechanics); Westerweel, J. (TU Delft Fluid Mechanics); Suijker, W. (Maritime Research Institute Netherlands (MARIN)); van Wijk, R. (Maritime Research Institute Netherlands (MARIN))","","2023","The principal aim of the work presented here is to investigate and demonstrate that a forward tilted rowing blade would result in a more efficient and effective motion of the blade through the water that would result in a higher boat speed when an equal input power is provided. A 1:5 scaled rowing boat is used to determine the performance of rowing blades with different sizes and blade angles. This is used to validate the results of a previous study where the optimal blade angle of 15 (Formula presented.) with respect to the oar shaft was determined (1). The input power and speed of the rowing boat can be compared between original and modified oar blades. Measurements in a towing tank demonstrate that a modified rowing blade result in faster rowing by 0.4% at the same input power. Maintaining the same stroke rate, the improvement of the blade efficiency is compensated by using a 4–6% increased blade area to yield the same input power.","rowing blade; performance; efficiency; optimisation; robot","en","journal article","","","","","","","","","","","Fluid Mechanics","","",""
"uuid:61c3eca8-b287-4e32-9257-b9bfcc059eb5","http://resolver.tudelft.nl/uuid:61c3eca8-b287-4e32-9257-b9bfcc059eb5","Discussion of “Risk Propagation in Multilayer Heterogeneous Network of Coupled System of Large Engineering Project”","Ökmen, Ö. (TU Delft Integral Design & Management)","","2023","","","en","journal article","","","","","","","","2023-09-11","","","Integral Design & Management","","",""
"uuid:843d3c74-cbbc-4e3a-9b4a-bc65f22063f7","http://resolver.tudelft.nl/uuid:843d3c74-cbbc-4e3a-9b4a-bc65f22063f7","Games in Times of a Pandemic: Structured Overview of COVID-19 Serious Games","Kermavnar, T. (TU Delft Design Aesthetics); Visch, V.T. (TU Delft Design Aesthetics); Desmet, P.M.A. (TU Delft Human-Centered Design)","","2023","Background: The COVID-19 pandemic introduced an urgent need for effective strategies to disseminate crucial knowledge and improve people’s subjective well-being. Complementing more conventional approaches to knowledge dissemination, game-based interventions were developed to create awareness and educate people about the pandemic, hoping to change their
attitudes and behavior.
Objective: This study provided an overview and analysis of digital and analog game-based interventions in the context of the COVID-19 pandemic. As major pandemics and other large-scale disruptive events are expected to increase in frequency in the coming decades, this analysis aimed to inform the design, uptake, and effects of similar future interventions.
Methods: From November 2021 to April 2022, Scopus, Google, and YouTube were searched for articles and videos describing COVID-19–themed game-based interventions. Information regarding authorship, year of development or launch, country of origin, license, deployment, genre or type, target audience, player interaction, in-game goal, and intended transfer effects was extracted. Information regarding intervention effectiveness was retrieved where possible.
Results: A diverse assortment of 23 analog and 43 digital serious games was identified, approximately one-third of them (25/66, 38%) through scientific articles. Most of these games were developed by research institutions in 2020 (13/66, 20%) and originated in Europe and North America (38/66, 58%). A limited number (20/66, 30%) were tested on relatively small samples, using a
diversity of research methods to assess the potential changes in participants’ knowledge, attitudes, and behaviors as well as their
gameplay experience. Although most of the evaluated games (11/20, 55%) effectively engaged and motivated the players, increased awareness, and improved their understanding of COVID-19–related issues, the games’ success in influencing people’s behavior was often unclear or limited.
Conclusions: To increase the impact of similar future interventions aimed at disseminating knowledge and influencing people’s attitudes and behaviors during a large-scale crisis, some considerations are suggested. On the basis of the study results and informed by existing game theories, recommendations are made in relation to game development, deployment, and distribution;
game users, design, and use; game design terminology; and effectiveness testing for serious games.","COVID-19; serious game; game-based intervention","en","journal article","","","","","","","","","","Human-Centered Design","Design Aesthetics","","",""
"uuid:28437162-5a09-49c6-944e-ed2df40c0bf2","http://resolver.tudelft.nl/uuid:28437162-5a09-49c6-944e-ed2df40c0bf2","Direct numerical simulation of one-sided forced thermal convection in plane channels","Pirozzoli, Sergio (Sapienza University of Rome); Modesti, D. (TU Delft Aerodynamics)","","2023","We carry out direct numerical simulations (DNS) of turbulent flow and heat transfer in pressure-driven plane channels, by considering cases with heating on both walls, as well as asymmetric heating limited to one of the channel walls. Friction Reynolds numbers up to are considered, and Prandtl numbers from to, the temperature field being regarded as a passive scalar. Whereas cases with symmetric heating show close similarity between the temperature and the streamwise velocity fields, with turbulent structures confined to either half of the channel, in the presence of one-sided heating the temperature field exhibits larger regions with coherent fluctuations extending beyond the channel centreline. Validity of the logarithmic law for the mean temperature is confirmed, as well as universality of the associated von Kármán constant, which we estimate to be. Deviations from the logarithmic behaviour are much clearer in cases with one-sided heating, which feature a wide outer region with parabolic mean temperature profile. The DNS data are exploited to construct a predictive formula for the heat transfer coefficient as a function of both Reynolds and Prandtl number. We find that the reduction of the thermal efficiency in the one-sided case is approximately at unit Prandtl number; however, it can become much more significant at low Prandtl number.","turbulence simulation; turbulent boundary layers","en","journal article","","","","","","","","","","","Aerodynamics","","",""
"uuid:572479ec-c476-4d8a-a743-7473e7a9d92e","http://resolver.tudelft.nl/uuid:572479ec-c476-4d8a-a743-7473e7a9d92e","Editorial Technology Assessment for Addressing Grand Societal Challenges","Kuk, George (Nottingham Business School, Nottingham); Faik, Isam (Ivey Business School, London); Janssen, M.F.W.H.A. (TU Delft Engineering, Systems and Services)","","2023","Emerging technologies are both a cause of many grand societal challenges (GSCs) facing twenty-first-century societies and an integral part of some of their most promising solutions. As an element of the GSCs, technology becomes intertwined with several interrelated issues that constitute the GSCs. This calls for approaches to Technology Assessment (TA) that account for the paradoxical role of technology in the GSCs, and the imperative and complexity of pointing technological innovation toward addressing the GSCs. In this introduction to the special issue, we identify three major streams in TA research and practice, namely TA as a policy instrument, a deliberation process, and an issue field. These streams highlight tensions between relying on experts and on the inclusion of various stakeholders in TA processes, and between a TA framing around the intersection of technology and critical issues around critical issues, such as those constituting the GSCs. We discuss the advantages and challenges of each stream. We also outline and discuss key principles for conducting TA in the context of GSCs. We end by introducing the four papers that constitute this special issue.","","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-10","","Engineering, Systems and Services","","","",""
"uuid:f25249d0-30c7-4c10-99d1-5ac906dbaa47","http://resolver.tudelft.nl/uuid:f25249d0-30c7-4c10-99d1-5ac906dbaa47","The Thue–Morse Sequence in Base 3/2","Dekking, F.M. (TU Delft Applied Probability; Centrum Wiskunde & Informatica (CWI))","","2023","We discuss the base 3/2 representation of the natural numbers. We prove that the sum-of-digits function of the representation is a fixed point of a 2-block substitution on an infinite alphabet, and that this implies that sum-of-digits function modulo 2 of the representation is a fixed point x3/2 of a 2-block substitution on {0,1}. We prove that x3/2 is invariant for taking the binary complement, and present a list of conjectured properties of x3/2, which we think will be hard to prove. Finally, we make a comparison with a variant of the base 3/2 representation, and give a general result on p-q-block substitutions.","Base 3/2; sum of digits; Thue–Morse sequence; two-block substitution","en","journal article","","","","","","","","","","","Applied Probability","","",""
"uuid:0c424cde-eb5d-4bb1-bc28-018b9982fa52","http://resolver.tudelft.nl/uuid:0c424cde-eb5d-4bb1-bc28-018b9982fa52","Inhabiting Regional Geographical Practice in a Climate-Changing World","Taylor, Zac (TU Delft Urban Development Management)","","2023","Reflecting on the limits of the sovereign-state centricity of mainstream contemporary climate action, Peter Taylor calls for new forms of regional geographical analysis and intervention. What might these aims and ambitions look and feel like for geographers? With this commentary, I take up Taylor's propositions through personal reflection on the work of “doing regional geography” in this current juncture of transnational climate action and transformation. I engage with the analytical challenges associated with regional climate research today – in my case, by way of financialized climate governance puzzles in Florida and the Netherlands. I also discuss how deconstructive and reconstructive approaches to knowledge production enliven my regional geographical engagement, but also generate new personal and disciplinary dilemmas. With this brief note, I hope to reinforce continued reflection on how geographers might take up – or inhabit – timely calls for regional analysis and intervention in climate-changing regions.","Regional geography; climate change; knowledge production; Florida; The Netherlands; financialization","en","journal article","","","","","","","","","","","Urban Development Management","","",""
"uuid:0fb0ff96-1365-440a-8ae1-23397b3df03a","http://resolver.tudelft.nl/uuid:0fb0ff96-1365-440a-8ae1-23397b3df03a","Six-Center Assessment of CNN-Transformer with Belief Matching Loss for Patient-Independent Seizure Detection in EEG","Peh, Wei Yan (Nanyang Technological University); Thangavel, Prasanth (Nanyang Technological University); Yao, Yuanyuan (Katholieke Universiteit Leuven); Thomas, John (McGill University, Montreal Neurological Institute and Hospital); Tan, Yee Leng (National Neuroscience Institute of Singapore); Dauwels, J.H.G. (TU Delft Signal Processing Systems)","","2023","Neurologists typically identify epileptic seizures from electroencephalograms (EEGs) by visual inspection. This process is often time-consuming, especially for EEG recordings that last hours or days. To expedite the process, a reliable, automated, and patient-independent seizure detector is essential. However, developing a patient-independent seizure detector is challenging as seizures exhibit diverse characteristics across patients and recording devices. In this study, we propose a patient-independent seizure detector to automatically detect seizures in both scalp EEG and intracranial EEG (iEEG). First, we deploy a convolutional neural network with transformers and belief matching loss to detect seizures in single-channel EEG segments. Next, we extract regional features from the channel-level outputs to detect seizures in multi-channel EEG segments. At last, we apply post-processing filters to the segment-level outputs to determine seizures' start and end points in multi-channel EEGs. Finally, we introduce the minimum overlap evaluation scoring as an evaluation metric that accounts for minimum overlap between the detection and seizure, improving upon existing assessment metrics. We trained the seizure detector on the Temple University Hospital Seizure (TUH-SZ) dataset and evaluated it on five independent EEG datasets. We evaluate the systems with the following metrics: sensitivity (SEN), precision (PRE), and average and median false positive rate per hour (aFPR/h and mFPR/h). Across four adult scalp EEG and iEEG datasets, we obtained SEN of 0.617-1.00, PRE of 0.534-1.00, aFPR/h of 0.425-2.002, and mFPR/h of 0-1.003. The proposed seizure detector can detect seizures in adult EEGs and takes less than 15 s for a 30 min EEG. Hence, this system could aid clinicians in reliably identifying seizures expeditiously, allocating more time for devising proper treatment.","belief matching; electroencephalogram; patient-independent seizure detection; Transformer","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-22","","","Signal Processing Systems","","",""
"uuid:add002ef-0e24-4a60-a07a-d0063efc2711","http://resolver.tudelft.nl/uuid:add002ef-0e24-4a60-a07a-d0063efc2711","A unified framework for Navier-Stokes Cahn-Hilliard models with non-matching densities","ten Eikelder, M.F.P. (Technische Universität Darmstadt; Leibniz Universität); Van Der Zee, K. G. (University of Nottingham); Akkerman, I. (TU Delft Ship Hydromechanics and Structures); Schillinger, D. (Technische Universität Darmstadt)","","2023","Over the last decades, many diffuse-interface Navier-Stokes Cahn-Hilliard (NSCH) models with non-matching densities have appeared in the literature. These models claim to describe the same physical phenomena, yet they are distinct from one another. The overarching objective of this work is to bring all of these models together by laying down a unified framework of NSCH models with non-zero mass fluxes. Our development is based on three unifying principles: (1) there is only one system of balance laws based on continuum mixture theory that describes the physical model, (2) there is only one natural energy-dissipation law that leads to quasi-incompressible NSCH models, (3) variations between the models only appear in the constitutive choices. The framework presented in this work now completes the fundamental exploration of alternate non-matching density NSCH models that utilize a single momentum equation for the mixture velocity, but leaves open room for further sophistication in the energy functional and constitutive dependence.","incompressible two-phase flow; mixture theory; Navier-Stokes Cahn-Hilliard equations; phase-field models; thermodynamic consistency","en","journal article","","","","","","","","","","","Ship Hydromechanics and Structures","","",""
"uuid:3a8da1a1-f567-4a6e-99fa-2f0e64c52134","http://resolver.tudelft.nl/uuid:3a8da1a1-f567-4a6e-99fa-2f0e64c52134","Access to Land: Markets, Policies and Initiatives","Korthals Altes, W.K. (TU Delft Urban Development Management)","","2023","Acquiring access to land is an important issue for new entrants into farming. Traditionally, the succession of farms is within the family; market transactions are geared towards the enlargement of running farms. Policies and institutions have been built to facilitate this process. Current challenges of climate change, resource scarcity, biodiversity and equity, as are analysed by the Intergovernmental Panel on Climate Change (IPCC), the International Resource Panel (IRP), the Intergovernmental Science-Policy Platform on Biodiversity and Ecosystem Services (IPBES) and the Sustainable Development Goals (SDGs) reporting activities, make it so that there is a need to find alternatives for the current developments in farming, which is a process of up (scale enlargement) or out (stop farming). For these alternatives, new types of farmers who face the issue of access to land are needed. Based on FADN data and EUROSTAT data, current developments in the European farming sector were analysed to understand the impact of the process of modernisation on farmland markets and the complexities of access to land for new entrants. Whether these data may point to opportunities for alternative farming methods and the role of the direct payments of the European Union’s Common Agricultural Policy were analysed. Policies and consequences are discussed. It is concluded that, whereas alternative farming models are promoted at the level of policy aims, this is not performed at the policy guidance level of land markets. Alternatives outside traditional institutions face the issue of scaling up to create impact. The Common Agricultural Policy is, in many ways, more of an obstacle than it is a promoter of providing access to land for new farmers. New policies are needed.","access to land; agricultural innovation; Common Agricultural Policy; rural regeneration; land market","en","journal article","","","","","","","","","","","Urban Development Management","","",""
"uuid:a3148932-3777-41e9-9f8f-970f496a9862","http://resolver.tudelft.nl/uuid:a3148932-3777-41e9-9f8f-970f496a9862","Imagining Dialogues with the Voiceless","de Wit, S.I. (TU Delft Landscape Architecture)","","2023","","","en","book chapter","nai010 publishers","","","","","","","","","","Landscape Architecture","","",""
"uuid:c409230e-4d98-4743-ad36-7d10b81d0d71","http://resolver.tudelft.nl/uuid:c409230e-4d98-4743-ad36-7d10b81d0d71","Walking and Scoring","de Wit, S.I. (TU Delft Landscape Architecture)","","2023","","","en","book chapter","nai010 publishers","","","","","","","","","","Landscape Architecture","","",""
"uuid:052eb1f3-6efc-4b4b-8b63-fedc65173c58","http://resolver.tudelft.nl/uuid:052eb1f3-6efc-4b4b-8b63-fedc65173c58","Special Issue: Taking Place: Reflections from the Fieldworker","","Staničić, Aleksandar (guest editor); Havik, K.M. (guest editor); Velevski, Slobodan (guest editor); Santiago Baptista, Luís (guest editor)","2023","This issue of Writingplace Journal moves into the field, exploring the moment when reflection turns into action, and questions how knowledge produced via research is appraised and applied on the ground. In the articles, authors reflect upon their concrete experiences where insights regarding the city and its narratives have been made operational. Understanding the urban as a complex expression of social, historical, material, spatial and temporal relations between people and their built environment, we argue that this comprehension of places demands and envisions action, by which active and transformative processes take place in the real world. Fieldwork is in this sense both research and event, both investigative process and performative project.","","en","contribution to periodical","","","","","","","","","","","Situated Architecture","","",""
"uuid:8e3caa10-c8c3-4786-ae9d-584266d6f70a","http://resolver.tudelft.nl/uuid:8e3caa10-c8c3-4786-ae9d-584266d6f70a","Impact of Climate Change Parameters on Groundwater Level: Implications for Two Subsidence Regions in Iran Using Geodetic Observations and Artificial Neural Networks (ANN)","Haji-Aghajany, Saeid (K.N. Toosi University of Technology; Wroclaw University of Environmental and Life Sciences); Amerian, Yazdan (K.N. Toosi University of Technology); Amiri Simkooei, A. (TU Delft Optical and Laser Remote Sensing)","","2023","This study aims to investigate how changes in meteorological indicators affect groundwater resources, and hence to predict groundwater levels using these indicators, particularly in regions experiencing drought and subsidence. Precipitation, temperature, evapotranspiration and precipitable water vapor (PWV) are important meteorological parameters to predict groundwater levels. Two subsidence areas with different weather conditions were selected to conduct a comprehensive study on the effect of temperature and precipitation on groundwater level changes. The correct locations of the two subsidence areas were determined by analyzing Interferometric Synthetic Aperture Radar (InSAR) images of Sentinel-1A using the small baseline subset algorithm. The interferograms were processed to correct tropospheric effects using the advanced integration method. Specifying the exact locations of the two areas, the meteorological parameters were downscaled using the Statistical DownScaling Model (SDSM), synoptic observations, meteorological data, and the General Circulation Model (GCM). An Artificial Neural Network (ANN) was then employed to predict the groundwater level changes as a function of meteorological data, including Global Positioning System (GPS)-based PWV and the evapotranspiration index. The trained ANN, along with the downscaled meteorological indicators, was used to predict groundwater level changes over two time periods. In the first period, the prediction was performed over the current years to investigate the performance of the method using the available data, whereas in the second period, the prediction was performed for the coming years, up until 2030. The results confirmed the high performance of the prediction algorithm, and the importance of including PWV and evapotranspiration in groundwater level predictions. The Pearson correlation coefficient was used to check the relationship between groundwater level changes and meteorological variables. The statistical significance of these coefficients was tested at the significance level α=0.05. In more than 80% of the cases, the correlation coefficients were statistically significant, reaching more than 0.70 in some of the months. It is also observed that an increase in the depth of groundwater level has an obvious relationship with an increase in temperature and a decrease in rainfall.","ANN; GPS; groundwater resources; InSAR; precipitation; temperature","en","journal article","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:70bb0a9b-b5c3-41ee-a88e-2b5a08e43604","http://resolver.tudelft.nl/uuid:70bb0a9b-b5c3-41ee-a88e-2b5a08e43604","Compressed Stabilized Earthen Blocks and Their Use in Low-Cost Social Housing","Bredenoord, Jan (Universiteit Utrecht); Kulshreshtha, Y. (TU Delft Materials and Environment)","","2023","Earthen techniques have been historically used in construction of housing in Africa, Asia and Latin America. In the past two decades the interest in earthen material has grown considerably, leading to the development of sustainable materials such as compressed earth blocks (CEB), compressed stabilized earth blocks (CSEB) and interlocking stabilized soil blocks (ISSB). Scientific publications from various countries and context have examined the physical aspects of these earthen building materials, but so far, the results are not well connected to housing practices for and by low-income households with their self-organizing skills. This research sought to close this gap by documenting the housing projects where earth blocks are applied in participatory social housing. The study provides an overview of relevant practical examples from the three world regions (Africa, Asia and Latin America), with their cultural and climatic differences, and an analysis of similarities and possibilities. Based on the lessons learned from these examples, recommendations are made on further research on sustainable building materials within social housing practices, which can benefit the scientific community. We propose to set up a worldwide database of housing projects where earthen techniques have been applied responsibly. We conclude and recommend that more high-quality pilot projects with CSEB and ISSB are needed to get a broader picture on the potential of these materials for social housing, and the necessary support for local communities wishing to be involved in these sustainable housing practices.","CEB; CSEB; ISSB; low-cost housing; social housing; earthen construction","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:ef49c22b-843d-4856-b5fb-ca6b9f91906e","http://resolver.tudelft.nl/uuid:ef49c22b-843d-4856-b5fb-ca6b9f91906e","Taking Place: Reflections from the Fieldworker","Staničić, Aleksandar (TU Delft Situated Architecture); Havik, K.M. (TU Delft Situated Architecture); Velevski, Slobodan (University Ss. Cyril and Methodius in Skopje); Santiago Baptista, Luís (Lusofona University, Lisbon)","","2023","This issue of Writingplace Journal moves into the field, exploring the moment when reflection turns into action, and questions how knowledge produced via research is appraised and applied on the ground. In the articles, authors reflect upon their concrete experiences where insights regarding the city and its narratives have been made operational. Understanding the urban as a complex expression of social, historical, material, spatial and temporal relations between people and their built environment, we argue that this comprehension of places demands and envisions action, by which active and transformative processes take place in the real world. Fieldwork is in this sense both research and event, both investigative process and performative project.","taking place; tacit architecture; fieldworker; fieldwork; operational knowledge","en","contribution to periodical","","","","","","","","","","","Situated Architecture","","",""
"uuid:9c923c30-ad7d-4776-ab49-a93ce7bcd878","http://resolver.tudelft.nl/uuid:9c923c30-ad7d-4776-ab49-a93ce7bcd878","Can We Empower Attentive E-reading with a Social Robot? An Introductory Study with a Novel Multimodal Dataset and Deep Learning Approaches","Lee, Y. (TU Delft Web Information Systems); Specht, M.M. (TU Delft Web Information Systems; Leiden-Delft-Erasmus Centre for Education and Learning (LDE-CEL))","","2023","Reading on digital devices has become more commonplace, while it often poses challenges to learners' attention. In this study, we hypothesized that allowing learners to reflect on their reading phases with an empathic social robot companion might enhance learners' attention in e-reading. To verify our assumption, we collected a novel dataset (SKEP) in an e-reading setting with social robot support. It contains 25 multimodal features from various sensors and logged data that are direct and indirect cues of attention. Based on the SKEP dataset, we comprehensively compared the difference between HRI-based (treatment) and GUI-based (control) feedback and obtained insights for intervention design. Based on the human annotation of the nearly 40 hours of video data streams from 60 subjects, we developed a machine learning model to capture attention-regulation behaviors in e-reading. We exploited a two-stage framework to recognize learners' observable self-regulatory behaviors and conducted attention analysis. The proposed system showed a promising performance with high prediction results of e-reading with HRI, such as 72.97% accuracy in recognizing attention regulation behaviors, 74.29% accuracy in predicting knowledge gain, 75.00% for perceived interaction experience, and 75.00% for perceived social presence. We believe our work can inspire the future design of HRI-based e-reading and its analysis.","Attention Self-regulation; Deep Learning; E-reading; Human-Robot Interaction; Novel dataset","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:fa5cb0c5-e079-4176-8571-a948ba2c684c","http://resolver.tudelft.nl/uuid:fa5cb0c5-e079-4176-8571-a948ba2c684c","Towards Inclusive Diagnostics for Neglected Tropical Diseases:: User Experience of a New Digital Diagnostic Device in Low-Income Settings","Onasanya, A.A. (TU Delft Design for Sustainability); Bengtson, Michel (Leiden University Medical Center); Agbana, T.E. (Aidx Medical BV); Oladunni, Opeyemi (Adeleke University, Ede); van Engelen, J.M.L. (TU Delft Design for Sustainability); Oladepo, Oladimeji (University of Ibadan, Ibadan); Diehl, J.C. (TU Delft Design for Sustainability)","","2023","Designing new and inclusive diagnostic tools to detect Neglected Tropical Diseases (NTDs) to achieve rational disease control requires a co-design process where end-users’ input is important. Failure to involve all potential end-users in new diagnostics for NTDs can result in low use and adoption failure, leading to persistent infection hot spots and ineffective disease control. There are different categories of potential end-users of new diagnostic tools for NTD control, and it is unclear if there are differences between the user efficiency, effectiveness, perception, and acceptability across these end-user categories. This study evaluated the usability, user perception, contextual factors affecting the user’s experience, and acceptability of a new digital optical diagnostic device for NTDs across three types of potential end users. A total of 21 participants were tested. Laboratory scientists, technicians, and Community Health Extension Workers (CHEWs) in training achieved similar scores on the usability and user perception questionnaires with no statistically significant difference between end-user categories. All participants also have high scores for the user perception domains which strongly correlate with the acceptability of the AiDx NTDx Assist device. This study indicates that, by providing digital diagnostic tools in combination with minimal training and support, CHEWs undergoing training and, by extension, CHEWs post-training, can be involved in the diagnoses of NTDs, potentially enhancing a community’s capabilities to diagnose, treat, and control NTDs.","NTDs; Nigeria; user experience; end-user; diagnostics","en","journal article","","","","","","","","","","","Design for Sustainability","","",""
"uuid:1260a4cc-75ea-4a23-8da5-4312a38c21b9","http://resolver.tudelft.nl/uuid:1260a4cc-75ea-4a23-8da5-4312a38c21b9","Nonrecursive Control for Formation-Containment of HFV Swarms With Dynamic Event-Triggered Communication","Lv, Maolong (Air Force Engineering University China); De Schutter, B.H.K. (TU Delft Delft Center for Systems and Control); Baldi, S. (TU Delft Team Bart De Schutter; Southeast University)","","2023","This article proposes an output-feedback control protocol for hypersonic flight vehicle (HFV) swarms considering dynamic event-triggered communication. The peculiarities of the proposed method over existing ones consist in the following: 1) While carrying out scheduled maneuvers, the outputs of follower HFVs converge inside the convex hull spanned by leader HFVs whose task is to maintain a geometric space configuration; 2) a simple nonrecursive output-feedback design is established without involving any intermediate control laws or requiring full-state information; 3) an error-dependent monotonically decreasing exponential term is incorporated into the dynamic event-triggered threshold to reduce the communication bandwidth while preserving the desired track performance and excluding Zeno behavior. Comparative simulation results validate the effectiveness of the proposed methodology.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-09-30","","Delft Center for Systems and Control","Team Bart De Schutter","","",""
"uuid:76de8b6c-97d8-4020-b1ef-30dd182d9bdc","http://resolver.tudelft.nl/uuid:76de8b6c-97d8-4020-b1ef-30dd182d9bdc","The Use of Digital Peer Assessment in Higher Education: An Umbrella Review of Literature","van Helden, G. (TU Delft Space Systems Egineering; Leiden-Delft-Erasmus Centre for Education and Learning (LDE-CEL)); van der Werf, V. (Leiden-Delft-Erasmus Centre for Education and Learning (LDE-CEL); Universiteit Leiden); Saunders-Smits, Gillian (TU Delft Robot Dynamics; Leiden-Delft-Erasmus Centre for Education and Learning (LDE-CEL)); Specht, M.M. (TU Delft Web Information Systems; Leiden-Delft-Erasmus Centre for Education and Learning (LDE-CEL); Universiteit Leiden)","","2023","Increasing student numbers in higher education, particularly in engineering and computer science, make it difficult for motivated lecturers to continue engaging in active teaching methods such as Flipped Classrooms and Work-Based Learning. In these settings, digital Peer Assessment can be one approach to provide effective and scalable feedback. In Peer Assessment, students assess each other’s performance whilst gaining useful reflection and judgment skills at the same time. This umbrella review of 14 review papers on the use of (digital) Peer Assessment in education provides a comprehensive overview of design choices and their consequences open to educational practitioners wishing to implement digital Peer Assessment in their courses, the type of tooling available and the possible effects of these choices on the learning outcomes as well as potential pitfalls and challenges when implementing Peer Assessment. The paper will inform and assist educators in finding or developing a tool that fits their needs.","Digital education; educational technology; engineering education; peer assessment","en","review","","","","","","","","","","","Space Systems Egineering","","",""
"uuid:6a8c3773-aa7f-4042-859d-7d73175e2b98","http://resolver.tudelft.nl/uuid:6a8c3773-aa7f-4042-859d-7d73175e2b98","Stackelberg evolutionary game theory: how to manage evolving systems","Stein, Alexander (Queen Mary University of London); Salvioli, M. (TU Delft Transport and Logistics); Garjani, Hasti (TU Delft Mathematical Physics); Dubbeldam, J.L.A. (TU Delft Mathematical Physics); Viossat, Yannick (Université Paris-Dauphine); Brown, Joel S. (Lee Moffitt Cancer Center and Research Institute); Staňková, K. (TU Delft Transport and Logistics)","","2023","Stackelberg evolutionary game (SEG) theory combines classical and evolutionary game theory to frame interactions between a rational leader and evolving followers. In some of these interactions, the leader wants to preserve the evolving system (e.g. fisheries management), while in others, they try to drive the system to extinction (e.g. pest control). Often the worst strategy for the leader is to adopt a constant aggressive strategy (e.g. overfishing in fisheries management or maximum tolerable dose in cancer treatment). Taking into account the ecological dynamics typically leads to better outcomes for the leader and corresponds to the Nash equilibria in game-theoretic terms. However, the leader's most profitable strategy is to anticipate and steer the eco-evolutionary dynamics, leading to the Stackelberg equilibrium of the game. We show how our results have the potential to help in fields where humans try to bring an evolutionary system into the desired outcome, such as, among others, fisheries management, pest management and cancer treatment. Finally, we discuss limitations and opportunities for applying SEGs to improve the management of evolving biological systems. This article is part of the theme issue 'Half a century of evolutionary games: a synthesis of theory, application and future directions'.","evolutionary game theory; Darwinian dynamics; cancer evolution; isheries management; optimization; evolutionary rescue","en","journal article","","","","","","","","","","","Transport and Logistics","","",""
"uuid:c2e905d3-ce92-4ff1-863c-834eaa867d20","http://resolver.tudelft.nl/uuid:c2e905d3-ce92-4ff1-863c-834eaa867d20","The politics of urban flood resilience: The case of Malabon city","Esteban, T.A.O.E. (TU Delft Urban Development Management; Erasmus Universiteit Rotterdam); Edelenbos, Jurian (Erasmus Universiteit Rotterdam)","","2023","Policy-making bodies such as the UNISDR have frequently emphasized the role of community resilience in disaster risk management. However, this community-centered approach to enhancing a city's resilience may overlook other critical variables. Few studies have been conducted on the city's process of building urban resilience, as well as the factors that impede or accelerate the resilience process. This article aims to add to the body of literature on urban resilience processes by analyzing the disaster experience and urban resilience of Malabon City, a flood-prone city in Metro Manila's northwestern region. The purpose of this article is to answer the questions, “How does the process of urban resilience occur?”, “What factors impede or accelerate the process of urban resilience?” and “Why such factors impede or accelerate the process of urban resilience?” Furthermore, the article adds to the debate about how shifting the responsibility for resilience building to society and the community reduces government and political accountability to the people it is supposed to serve. The article will critically assess the policy environment surrounding the flood infrastructure development in Metro Manila and how it gravely affected Malabon City. The case analysis demonstrates that urban resilience does not follow a linear pattern or a single equilibrium, but rather multiple equilibria of resilience building. It highlights that urban resilience is complex and considers the connections between and among sectors and dimensions within the city. The article indicates resilience as a value-laden and politically charged concept.","Collective engagement; Collective engagement urban resilience framework; Community resilience; Community resilience.; Drivers of resilience; Flood infrastructures; Martial law; Philippines; Politics of resilience; Urban resilience","en","journal article","","","","","","","","","","","Urban Development Management","","",""
"uuid:20521c3a-33d6-4ae5-9217-762d5b7ab380","http://resolver.tudelft.nl/uuid:20521c3a-33d6-4ae5-9217-762d5b7ab380","Corrigendum to “Assessment of Predictive Genomic Biomarkers for Response to Cisplatin-based Neoadjuvant Chemotherapy in Bladder Cancer” [Eur Urol 2023;83:313–17] (European Urology (2023) 83(4) (313–317), (S0302283822025386), (10.1016/j.eururo.2022.07.023))","Gil Jimenez, A. (Netherlands Cancer Institute; Oncode Institute); van Dorp, Jeroen (Netherlands Cancer Institute); Contreras-Sanz, Alberto (University of British Columbia); van der Vos, Kristan (Netherlands Cancer Institute); Vis, Daniel J. (Netherlands Cancer Institute); Braaf, Linde (Netherlands Cancer Institute); Broeks, Annegien (Netherlands Cancer Institute); Alcaraz, Antonio (Universitat Politecnica de Catalunya); Wessels, L.F.A. (TU Delft Pattern Recognition and Bioinformatics; Netherlands Cancer Institute; Oncode Institute)","","2023","The authors regret that the following statement regarding author contributions was missed: Kristan van der Vos is currently a Scientific Editor for Cell Reports Medicine, which is published by Elsevier. Dr van der Vos was not involved in the peer-review process or editorial discussions about this manuscript. The authors would like to apologise for any inconvenience caused.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-06","","","Pattern Recognition and Bioinformatics","","",""
"uuid:6be164d6-bc93-40b7-8a93-55c76ad76967","http://resolver.tudelft.nl/uuid:6be164d6-bc93-40b7-8a93-55c76ad76967","AIEDAM thematic collection: a perspective on data-enabled design – design meet data science","","Bourgeois, J. (editor); Kleinsmann, M.S. (editor)","2023","","","en","contribution to periodical","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-02-21","","Design, Organisation and Strategy","Internet of Things","","",""
"uuid:c0407f8d-dd1a-413d-b9b4-dee4b0cc72e6","http://resolver.tudelft.nl/uuid:c0407f8d-dd1a-413d-b9b4-dee4b0cc72e6","An event-oriented database of meteorological droughts in Europe based on spatio-temporal clustering","Cammalleri, Carmelo (European Commission Joint Research Centre; Politecnico di Milano); Acosta Navarro, Juan Camilo (European Commission Joint Research Centre); Bavera, Davide (Arcadia sit, Vigevano); Diaz, Vitali (TU Delft Digital Technologies); Di Ciollo, Chiara (Politecnico di Milano); Maetens, Willem (European Commission Joint Research Centre); Magni, Diego (Arcadia sit, Vigevano); Masante, Dario (European Commission Joint Research Centre); Spinoni, Jonathan (European Commission Joint Research Centre); Toreti, Andrea (European Commission Joint Research Centre)","","2023","Droughts evolve in space and time without following borders or pre-determined temporal constraints. Here, we present a new database of drought events built with a three-dimensional density-based clustering algorithm. The chosen approach is able to identify and characterize the spatio-temporal evolution of drought events, and it was tuned with a supervised approach against a set of past global droughts characterized independently by multiple drought experts. About 200 events were detected over Europein the period 1981-2020 using SPI-3 (3-month cumulated Standardized Precipitation Index) maps derived from the ECMWF (European Centre for Medium-range Weather Forecasts) 5th generation reanalysis (ERA5) precipitation. The largest European meteorological droughts during this period occurred in 1996, 2003, 2002 and 2018. A general agreement between the major events identified by the algorithm and drought impact records was found, as well as with previous datasets based on pre-defined regions.","","en","journal article","","","","","","","","","","","Digital Technologies","","",""
"uuid:244e2733-ee08-4f7a-a0b0-9536443627d9","http://resolver.tudelft.nl/uuid:244e2733-ee08-4f7a-a0b0-9536443627d9","Stabilizing and Destabilizing Breaching Flow Slides","Alhaddad, S.M.S. (TU Delft Offshore and Dredging Engineering); Weij, Dave (Plaxis); van Rhee, C. (TU Delft Offshore and Dredging Engineering); Keetels, G.H. (TU Delft Offshore and Dredging Engineering)","","2023","As a result of the dilation of soil matrix, dense submarine sand slopes can temporarily be steeper than the natural angle of repose. These slopes gradually fail by the detachment of individual grains and intermittent collapses of small coherent sand wedges. The key question is whether steep disturbances in a submarine slope grow in size (destabilizing breaching) or gradually diminish (stabilizing breaching) and thereby limit the overall slope failure and resulting damage. The ability to predict whether the breaching failure is stabilizing or destabilizing is also crucial for the assessment of safety of submarine infrastructure and hydraulic structures located along rivers, lakes, and coasts. Through a set of large-scale laboratory experiments, we investigate the validity of an existing criterion to determine the failure mode of breaching (i.e., stabilizing or destabilizing). Both modes were observed in these experiments, providing a unique set of data for analysis. It is concluded that the existing method has limited forecasting power. This was quantified using the mean absolute percentage error, which was found to be 92%. The reasons behind this large discrepancy are discussed. Given the complexity of the underlying geotechnical and hydraulic processes, more advanced methodologies are required.","stabilizing breaching; destabilizing breaching; flow slides; underwater slope failure; dilative slope failure; sand erosion","en","journal article","","","","","","","","","","","Offshore and Dredging Engineering","","",""
"uuid:62f4a370-fbf2-4ad0-a92d-06c20aa26b9d","http://resolver.tudelft.nl/uuid:62f4a370-fbf2-4ad0-a92d-06c20aa26b9d","SIG on Data as Human-Centered Design Material","Gomez Ortega, A. (TU Delft Internet of Things); Lovei, Peter (Eindhoven University of Technology); Noortman, Renee (Eindhoven University of Technology); Toebosch, Romain (University of Luxembourg); Bowyer, Alex (Newcastle University); Kurze, Albrecht (Chemnitz University of Technology); Funk, Mathias (Eindhoven University of Technology); Gould, Sandy (Cardiff University); Huron, Samuel (CNRS); Bourgeois, J. (TU Delft Internet of Things)","","2023","Behavioral data is ubiquitous in products, services, and systems that people interact with. It is increasingly used by design and HCI researchers and practitioners throughout their human-centered and participatory design processes. The highly dynamic nature of behavioral data makes it deeply intertwined with people, their behavior, and their experiences. Thus, it presents unique opportunities and challenges. This Special Interest Group will provide a space to reflect and discuss effective and responsible ways to engage with behavioral data in human-centered design processes. We will explore questions about the types and scale of data used, the contexts in which data is embedded and applied, the methods we rely on, and the forms of engagement of the multiple stakeholders. In doing so, our goal is to collaboratively develop a research agenda, setting the scope for an annual, international symposium on Data-Centric Design.","Human-Centered Design; Behavioral Data; Participatory Design; Data-Centric Design","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-19","","","Internet of Things","","",""
"uuid:26b98e4f-cb3d-41f7-ad35-34e862b97a6f","http://resolver.tudelft.nl/uuid:26b98e4f-cb3d-41f7-ad35-34e862b97a6f","Surfacing Livingness in Microbial Displays: A Design Taxonomy for HCI","Kim, Raphael (TU Delft Materials and Manufacturing); Risseeuw, C.C. (TU Delft Materials and Manufacturing); Groutars, E.G. (TU Delft Mechatronic Design); Karana, E. (TU Delft Emerging Materials)","","2023","In recent years, there has been a notable proliferation and diversification of works in HCI, that integrate living microorganisms; an imperative lifeform dominating ecosystems of our planet. Yet despite the growing interest, there is a lack of structured lenses with which designers can strategize their processes of surfacing livingness; a material quality inherent in living artefacts with a potential to enrich user experiences and to initiate mutualistic care between humans and microorganisms. Through a systematic artefacts review and a case study on Flavobacteria, we have developed and instantiated a Taxonomy of Surfacing Livingness in Microbial Displays, consisting of six microbe-sensitive, tuneable mechanisms for human noticing of microorganisms: 1) Canvassing, 2) Marking, 3) Magnifying, 4) Translating, 5) Nudging, and 6) Molecular Programming. The taxonomy invites diverse and adaptable ways of generating and crafting microbial displays; towards overcoming microbe-specific surfacing constraints, integrating diverse stakeholders' values, and enabling nuanced address of microbial welfare.","Human-centered computing; iving aesthetics; biological-HCI; biodesign; taxonomy","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Materials and Manufacturing","","",""
"uuid:1057c91e-4e0b-4c9b-a2c8-75a386e6bd0f","http://resolver.tudelft.nl/uuid:1057c91e-4e0b-4c9b-a2c8-75a386e6bd0f","On Green’s functions, propagator matrices, focusing functions and their mutual relations","Wapenaar, C.P.A. (TU Delft Applied Geophysics and Petrophysics); Brackenhoff, J. (Quantairra Research and Development Services B.V.); De Ridder, S. (University of Leeds); Slob, E.C. (TU Delft Applied Geophysics and Petrophysics); Snieder, R. (Colorado School of Mines)","","2023","Green’s functions and propagator matrices are both solutions of the wave equation, but whereas Green’s functions obey a causality condition in time (G = 0 for t < 0), propagator matrices obey a boundary condition in space. Marchenko-type focusing functions focus a wave field in space at zero time. We discuss the mutual relations between Green’s functions, propagator matrices and focusing functions, avoiding up-down decomposition and accounting for propagating and evanescent waves. We conclude with discussing a Marchenko-type Green’s function representation, which forms a basis for extending the Marchenko method to improve the imaging of steeply dipping flanks and to account for refracted waves.
the ATP/Mg2+ binding site of the ε subunit. We then probed the effect of pH on the ATP binding affinity of the ε subunit from the C. thermarum F1Fo ATP synthase at various physiologically relevant pH values. We show that binding affinity changes 5.9 fold between pH 7.0, where binding is weakest, to pH 8.5 where it is strongest. Since the C. thermarum cytoplasm is pH 8.0 when it grows optimally, this correlates to the ε subunit being down due to ATP/Mg2+ affinity, and not being involved in blocking ATP hydrolysis. Here, we have experimentally correlated that the pH of the bacterial cytoplasm is of critical importance for ε subunit ATP affinity regulated by second shell residues thus the function of the ε subunit changes with growth conditions.","F1Fo ATP synthase; regulation-physiologica; alkaliphile bacteria; aerobe; polyextreme environments","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:17490fa1-ec01-438d-afe5-f0ae3100c3a6","http://resolver.tudelft.nl/uuid:17490fa1-ec01-438d-afe5-f0ae3100c3a6","Photo-electrocatalytic based removal of acetaminophen: Application of visible light driven heterojunction based BiVO4/BiOI photoanode","Ali, A.Z. (TU Delft Sanitary Engineering); Wu, Y. (Student TU Delft); Doekhi-Bennani, Y. (TU Delft Sanitary Engineering); van der Hoek, J.P. (TU Delft Sanitary Engineering; Waternet)","","2023","The presence of organic micro-pollutants (OMPs) in wastewater treatment effluents is becoming a major threat to the water safety for aquatic and human health. Photo-electrocatalytic based advanced oxidation process (AOP) is one of the emerging and effective techniques to degrade OMPs through oxidative mechanism. This study investigated the application of heterojunction based BiVO4/BiOI photoanode for acetaminophen (40 μg L−1) removal in demineralized water. Photoanodes were fabricated by electrodeposition of BiVO4 and BiOI photocatalytic layers. Optical (UV–vis diffusive reflectance spectroscopy), structural (XRD, SEM, EDX) and opto-electronic (IPCE) characterization confirmed the successful formation of heterojunction for enhanced charge separation efficiency. The heterojunction photoanode showed incident photon to current conversion efficiency of 16% (λmax = 390 nm) at an external voltage of 1 V under AM 1.5 standard illumination. The application of the BiVO4/BiOI photoanode in the removal of acetaminophen at 1 V (external bias) vs Ag/AgCl under simulated sunlight showed 87% removal efficiency within the first 120 min compared to 66% removal efficiency of the BiVO4 photoanode. Similarly, combining BiVO4 and BiOI exhibited 57% increase in first order removal rate coefficient compared to BiVO4. The photoanodes also showed moderate stability and reusability by showing 26% decrease in overall degradation efficiency after three cycles of each 5 h experiment. The results obtained in this study can be considered as a stepping stone towards the effective removal of acetaminophen as an OMP present in wastewater.","Organic micro-pollutants; Advanced oxidation process; Photoelectrocatalysis; Heterojunction photoanodes; BiVO4/BiOI; Acetaminophen","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:d219498c-ee97-4d0d-9aa2-13ff5cfce8f9","http://resolver.tudelft.nl/uuid:d219498c-ee97-4d0d-9aa2-13ff5cfce8f9","Personæ: Users’ evaluation of a music technology education project","Delle Monache, S. (TU Delft Design Aesthetics); Mandanici, Marcella (Conservatory of Music of Brescia); Alessandretti, Stefano (Conservatory of Music of Brescia); Di Filippo, Roberto (Liceo Musicale Veronica Gambara)","","2023","‘Personæ’ is an interactive large-scale space sound installation designed by the students of the music technology classes of the ‘V. Gambara’ music high school in Brescia (Italy). The activities that led to the conception and realization of the installation were part of ‘The Discovery of Interactive Spaces’ project, a set of extracurricular workshops organized by the authors of this article between spring 2019 and winter 2020 with the support of the Italian National Operation Program (PON). At the end of January 2020, the installation was presented during a public event and an evaluation questionnaire was administered to 79 visitors. The purpose of this research is to assess how the public, students, parents, teachers and classmates received the ‘Personæ’ installation, and the technology integration within regular study curricula. While the majority of visitors have well received the artistic and communicative value of the installation, the questionnaire reveals that there is not a similar uniformity of agreement on some aspects of technology integration in school curricula.","bodily interaction; interactive spaces; large-scale responsive environments; sound installation; technology integration; users survey","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-17","","","Design Aesthetics","","",""
"uuid:d01ab884-73f3-444f-b7ee-83895442e4da","http://resolver.tudelft.nl/uuid:d01ab884-73f3-444f-b7ee-83895442e4da","An Aero-Structural Model for Ram-Air Kite Simulations","Thedens, Paul (SkySails Power); Schmehl, R. (TU Delft Wind Energy)","","2023","Similar to parafoils, ram-air kites are flexible membrane wings inflated by the apparent wind and supported by a bridle line system. A major challenge in estimating the performance of these wings using a computer model is the strong coupling between the airflow around the wing and the deformation of the membrane structure. In this paper, we introduce a staggered coupling scheme combining a structural finite element solver using a dynamic relaxation technique with a potential flow solver. The developed method proved numerically stable for determining the equilibrium shape of the wing under aerodynamic load and is thus suitable for performance measurement and load estimation. The method was validated with flight data provided by SkySails Power. Measured forces on the tether and steering belt of the robotic kite control pod showed good resemblance with the simulation results. As expected for a potential flow solver, the kite’s glide ratio was overestimated by 10–15%, and the measured tether elevation angle in a neutral flight scenario matched the simulations within 2 degrees. Based on the obtained results, it can be concluded that the proposed aero-structural model can be used for initial designs of ram-air kites with application to airborne wind energy.","airborne wind energy; SkySails Power; ram-air kite; fluid-structure interaction; dynamic relaxation; virtual wind tunnel","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:2ce0e53c-668d-4f5c-87f3-45821c01629a","http://resolver.tudelft.nl/uuid:2ce0e53c-668d-4f5c-87f3-45821c01629a","Quantifying the spatial, temporal, angular and spectral structure of effective daylight in perceptually meaningful ways","Yu, C. (TU Delft Human Information Communication Design); Wijntjes, M.W.A. (TU Delft Human Information Communication Design); Eisemann, E. (TU Delft Computer Graphics and Visualisation); Pont, S.C. (TU Delft Human Information Communication Design)","","2023","We present a method to capture the 7-dimensional light field structure, and translate it into perceptually-relevant information. Our spectral cubic illumination method quantifies objective correlates of perceptually relevant diffuse and directed light components, including their variations over time, space, in color and direction, and the environment’s response to sky and sunlight. We applied it “in the wild”, capturing how light on a sunny day differs between light and shadow, and how light varies over sunny and cloudy days. We discuss the added value of our method for capturing nuanced lighting effects on scene and object appearance, such as chromatic gradients.","OA-Fund TU Delft","en","journal article","","","","","","","","","","","Human Information Communication Design","","",""
"uuid:b1f24e81-41f7-4e50-8dec-fefbd6e3d76b","http://resolver.tudelft.nl/uuid:b1f24e81-41f7-4e50-8dec-fefbd6e3d76b","Predictive heuristic control: Inferring risks from heterogeneous nowcast accuracy","van der Werf, Job (TU Delft Sanitary Engineering); Kapelan, Z. (TU Delft Sanitary Engineering); Langeveld, J.G. (TU Delft Sanitary Engineering)","","2023","Urban Drainage Systems can cause ecological and public health issues by releasing untreated contaminated water into the environment. Real-time control (RTC), augmented with rainfall nowcast, can effectively reduce these pollution loads. This research aims to identify key dynamics in the nowcast accuracies and relate those to the performance of nowcast-informed rule-based (RB)-RTC procedures. The developed procedures are tested in the case study of Rotterdam, the Netherlands. Using perfect nowcast data, all developed procedures showed a reduction in combined sewer overflow volumes of up to 14.6%. Considering real nowcast data, it showed a strong ability to predict if no more rain was expected, whilst performing poorly in quantifying rainfall depths. No relation was found in the nowcast accuracy and the consistency of the predicted rainfall using a moving horizon. Using the real nowcast data, all procedures, with the exception of the one predicting the end of the rainfall event, showed a significant risk of operative deterioration (performing worse than the baseline RB-RTC), linked to the relative performance of the nowcast algorithm. Understanding the strengths of a nowcast algorithm can ensure the reliability of the RB-RTC procedure and can negate the need for detailed modelling studies by inferring risks from nowcast data.","Combined sewer overflows; rainfall forecast; real-time control; risk assessment; urban drainage systems","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:e45ca648-3c05-463b-8bb8-4d41df6c7fb9","http://resolver.tudelft.nl/uuid:e45ca648-3c05-463b-8bb8-4d41df6c7fb9","Assessing Indoor Air Quality and Ventilation to Limit Aerosol Dispersion—Literature Review","Hobeika, N. (TU Delft Urban Data Science); Garcia Sanchez, C. (TU Delft Urban Data Science); Bluyssen, P.M. (TU Delft Environmental & Climate Design)","","2023","The COVID-19 pandemic highlighted the importance of indoor air quality (IAQ) and ventilation, which researchers have been warning about for years. During the pandemic, researchers studied several indicators using different approaches to assess IAQ and diverse ventilation systems in indoor spaces. To provide an overview of these indicators and approaches in the case of airborne transmission through aerosols, we conducted a literature review, which covered studies both from before and during the COVID-19 pandemic. We searched online databases for six concepts: aerosol dispersion, ventilation, air quality, schools or offices, indicators, and assessment approaches. The indicators found in the literature can be divided into three categories: dose-, building-, and occupant-related indicators. These indicators can be measured in real physical spaces, in a controlled laboratory, or modeled and analyzed using numerical approaches. Rather than organizing this paper according to these approaches, the assessment methods used are grouped according to the following themes they cover: aerosol dispersion, ventilation, infection risk, design parameters, and human behavior. The first finding of the review is that dose-related indicators are the predominant indicators used in the selected studies, whereas building- and occupant-related indicators are only used in specific studies. Moreover, for a better understanding of airborne transmission, there is a need for a more holistic definition of IAQ indicators. The second finding is that although different design assessment tools and setups are presented in the literature, an optimization tool for a room’s design parameters seems to be missing. Finally, to efficiently limit aerosol dispersion in indoor spaces, better coordination between different fields is needed.","indoor air quality; aerosol dispersion; ventilation; numerical modeling; computational fluid dynamics; experimental measurements","en","review","","","","","","","","","","","Urban Data Science","","",""
"uuid:87402a46-d116-4df5-8a71-99b357ff0a2b","http://resolver.tudelft.nl/uuid:87402a46-d116-4df5-8a71-99b357ff0a2b","Hydrogen-Accelerated Fatigue of API X60 Pipeline Steel and Its Weld","Faucon, L.E.K. (Student TU Delft); Boot, T. (TU Delft Team Vera Popovich); Riemslag, A.C. (TU Delft Team Vera Popovich); Scott, S.P. (TU Delft Team Vera Popovich); Liu, Ping (IntecSea BV); Popovich, V. (TU Delft Team Vera Popovich)","","2023","In this work, the hydrogen fatigue of pipeline steel X60, its girth welds and weld defects were investigated through in situ fatigue testing. A novel in situ gaseous hydrogen charging fatigue set-up was developed, which involves a sample geometry that mimics a small-scale pipeline with high internal hydrogen gas pressure. The effect of hydrogen was investigated by measuring the crack initiation and growth, using a direct current potential drop (DCPD) set-up, which probes the outer surface of the specimen. The base and weld metal specimens both experienced a reduction in fatigue life in the presence of hydrogen. For the base metal, the reduction in fatigue life manifested solely in the crack growth phase; hydrogen accelerated the crack growth by a factor of 4. The crack growth rate for the weld metal accelerated by a factor of 8. However, in contrast to the base metal, the weld metal also experienced a reduction of 57% in resistance to crack initiation. Macropores (>500 µm in size) on the notch surface reduced the fatigue life by a factor of 11. Varying the pressure from 70 barg to 150 barg of hydrogen caused no difference in the hydrogen fatigue behavior of the weld metal. The fracture path of the base and weld metal transitioned from transgranular and ductile in nature to a mixed-mode transgranular and intergranular quasi-cleavage fracture. Hydrogen accelerated the crack growth by decreasing the roughness- and plasticity-induced crack closure. The worst case scenario for pipelines was found in the case of weld defects. This work therefore highlights the necessity to re-evaluate pipelines for existing defects before they can be reused for hydrogen transport.","hydrogen embrittlement; gaseous hydrogen fatigue; pipeline steel; weld defects; in situ mechanical testing; crack initiation; fractography","en","journal article","","","","","","","","","","","Team Vera Popovich","","",""
"uuid:1200e68d-1c11-431c-829b-d16905f782c9","http://resolver.tudelft.nl/uuid:1200e68d-1c11-431c-829b-d16905f782c9","Benchmarking Behavior Prediction Models in Gap Acceptance Scenarios","Schumann, J.F. (TU Delft Human-Robot Interaction); Kober, J. (TU Delft Learning & Autonomous Control); Zgonnikov, A. (TU Delft Human-Robot Interaction)","","2023","Autonomous vehicles currently suffer from a time-inefficient driving style caused by uncertainty about human behavior in traffic interactions. Accurate and reliable prediction models enabling more efficient trajectory planning could make autonomous vehicles more assertive in such interactions. However, the evaluation of such models is commonly oversimplistic, ignoring the asymmetric importance of prediction errors and the heterogeneity of the datasets used for testing. We examine the potential of recasting interactions between vehicles as gap acceptance scenarios and evaluating models in this structured environment. To that end, we develop a framework aiming to facilitate the evaluation of any model, by any metric, and in any scenario. We then apply this framework to state-of-the-art prediction models, which all show themselves to be unreliable in the most safety-critical situations.","Accidents; Autonomous vehicles; autonomous vehicles; behavior prediction; Behavioral sciences; benchmark; gap acceptance; Measurement; Predictive models; Safety; Trajectory","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-13","","","Human-Robot Interaction","","",""
"uuid:528e1625-efd1-4d40-a802-4a9c704d1880","http://resolver.tudelft.nl/uuid:528e1625-efd1-4d40-a802-4a9c704d1880","Safe and Adaptive 3-D Locomotion via Constrained Task-Space Imitation Learning","Ding, J. (TU Delft Learning & Autonomous Control); Lam, Tin Lun (Chinese University of Hong Kong; Shenzhen Institute of Artificial Intelligence and Robotics for Society); Ge, Ligang (Ubtech Robotics Corporation); Pang, Jianxin (Ubtech Robotics Corporation); Huang, Yanlong (University of Leeds)","","2023","Bipedal locomotion has been widely studied in recent years, where passive safety (i.e., a biped rapidly brakes without falling) is deemed to be a pivotal problem. To realize safe 3-D walking, existing works resort to nonlinear optimization techniques based on simplified dynamics models, requiring hand-tuned reference trajectories. In this article, we propose to integrate safety constraints into constrained task-space imitation learning, endowing a humanoid robot with adaptive walking capability. Specifically, unlike previous work using nonlinear and coupled capturability dynamics, we first linearize the 3-D capture conditions using appropriate extreme values and then seamlessly incorporate them into constrained imitation learning. Furthermore, we propose novel heuristic rules to define control points, enabling adaptive locomotion learning. The resulting framework allows robots to learn locomotion skills from a few demonstrations efficiently and apply the learned skills to unseen 3-D scenarios while satisfying the constraints for passive safety. Unlike deep enforcement learning, our framework avoids the need of a large number of iterations or sim-to-real transfer. By virtue of the task-space adaptability, the proposed imitation learning framework can reuse collected demonstrations in a new robot platform. We validate our method by hardware experiments on Walker2 robot and simulations on COMAN robot.","3-D walking; bipedal locomotion; constrained imitation learning; humanoid robot; Legged locomotion; Lips; passive safety; Robots; Safety; Solid modeling; Task analysis; Trajectory","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-20","","","Learning & Autonomous Control","","",""
"uuid:460ac5d9-eb43-46ef-90be-95d6a642cbaf","http://resolver.tudelft.nl/uuid:460ac5d9-eb43-46ef-90be-95d6a642cbaf","AEC/FM performance in adaptive reuse projects: investigation of challenges and development of practical guidelines","Hassanain, Mohammad A. (King Fahd University of Petroleum and Minerals); Hamida, M.B. (TU Delft Real Estate Management)","","2023","Purpose: This paper aims to provide architecture, engineering, construction and facilities management (AEC/FM) practitioners with a guiding tool for overcoming the challenges affecting their performance in adaptive reuse project. Design/methodology/approach: Literature review was conducted to comprehend the challenges affecting the AEC/FM performance during the implementation of adaptive reuse projects. A case study was conducted on an adaptively reused building, located in Saudi Arabia. The case study building was converted from a bookstore into an amusement center. Document review of the as-built drawings, and a structured interview with the project manager were conducted. The study concluded with the development of AEC/FM practical guidelines, pertaining to the different involved domains. Findings: This study indicated that the potential challenges are interrelated among the three domains. These challenges pertained to the spatial, legislative, technical, administrative and operational aspects. The findings emphasized the vital role of the integration among the AEC/FM domains, at the early project planning phases. Based on the findings, three sets of AEC/FM guidelines were developed. Research limitations/implications: Theoretically, to the best of the authors’ knowledge, this is the first study that provides a case-specific investigation of the challenges affecting the AEC/FM performance in adaptive reuse projects. Practical implications: The developed practical guidelines could potentially enhance the AEC/FM performance in future adaptive reuse projects. Originality/value: This study contributes to the practice of adaptive reuse projects through providing practical guidelines for mitigating the challenges that affect the AEC/FM performance in these projects.","Adaptive reuse; AEC/FM; Challenges; Lessons learned; Property development","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-02","","","Real Estate Management","","",""
"uuid:49f2a623-50fc-467f-8ec7-103f0ed94e88","http://resolver.tudelft.nl/uuid:49f2a623-50fc-467f-8ec7-103f0ed94e88","Time-Domain Electromagnetic Leaky Waves","Stumpf, M. (Brno University of Technology); Gu, Junhong (Student TU Delft); Lager, I.E. (TU Delft Electrical Engineering Education)","","2023","A causality preserving interpretation of the electromagnetic (EM) leaky-wave (LW) propagation in space and time is proposed for the first time. The Cagniard-deHoop (CdH) joint transform technique is applied for elucidating the relation between time-domain (TD) head waves (HWs), body waves (BWs), Cherenkov wave effects, and LWs. It is conjectured that the LW phenomenon in the TD is associated with a local maximum in the observed signal that occurs between the arrivals of the HW and BW constituents. A quantitative analysis that enables the space-time localization of the LW effect is performed theoretically and, then, illustrated via representative examples including the pulsed EM radiation from both a line source above a dielectric half-space, and narrow-slot antennas.","leaky wave (LW); head waves (HW); body wave (BW); Cherenkov radiation; time-domain (TD) analysis; Cagniard-deHoop (CdH) technique","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-04","","","Electrical Engineering Education","","",""
"uuid:cd43a7a0-1208-4479-9d14-beaba009ddbe","http://resolver.tudelft.nl/uuid:cd43a7a0-1208-4479-9d14-beaba009ddbe","Towards simulating flow induced spillage in dredge cutter heads using DEM-FVM","Nieuwboer, B.J. (Royal IHC); van Rhee, C. (TU Delft Offshore and Dredging Engineering); Keetels, G.H. (TU Delft Offshore and Dredging Engineering)","","2023","When dredging rock using a Cutter Suction Dredger the high amount of spillage is problematic, since it prevents an energy efficient removal process. This papers presents a coupled DEM-FVM method to simulate spillage, that can be used for optimizing the design and working method of the Cutter Suction Dredger. In these simulations, the challenge was to model relatively large particles in a complex and rotating geometry. To ensure stability and reduce computational time we used smoothing kernels to map the forces and the concentration between the discrete elements and the fluid mesh. The method is validated for the fluid flow in the rotating cutter head. This model incorporates all physical processes to predict flow induced spillage in cutter heads within feasible calculation times.","Dredging; Cutter Suction Dredger; Computational fluid dynamics; Dynamic mesh; Discrete Element Method; OpenFOAM","en","journal article","","","","","","","","","","","Offshore and Dredging Engineering","","",""
"uuid:ddd2aee5-1ef0-4c3b-82a2-74654791530a","http://resolver.tudelft.nl/uuid:ddd2aee5-1ef0-4c3b-82a2-74654791530a","Is the empirical out-of-sample variance an informative risk measure for the high-dimensional portfolios?","Bodnar, Taras (Stockholm University); Parolya, N. (TU Delft Statistics); Thorsén, Erik (Stockholm University)","","2023","The main contribution of this paper is the derivation of the asymptotic behavior of the out-of-sample variance, the out-of-sample relative loss, and of their empirical counterparts in the high-dimensional setting, i.e., when both ratios p/n and p/m tend to some positive constants as m→∞ and n→∞, where p is the portfolio dimension, while n and m are the sample sizes from the in-sample and out-of-sample periods, respectively. The results are obtained for the traditional estimator of the global minimum variance (GMV) portfolio and for the two shrinkage estimators introduced by Frahm and Memmel (2010) and Bodnar et al. (2018). We show that the behavior of the empirical out-of-sample variance may be misleading in many practical situations, leading, for example, to a comparison of zeros. On the other hand, this will never happen with the empirical out-of-sample relative loss, which seems to provide a natural normalization of the out-of-sample variance in the high-dimensional setup. As a result, an important question arises if the out-of-sample variance can safely be used in practice for portfolios constructed from a large asset universe.","Shrinkage estimator; High-dimensional covariance matrix; Random matrix theory; Minimum variance portfolio; Parameter uncertainty","en","journal article","","","","","","","","","","","Statistics","","",""
"uuid:23bfffb9-4b5f-4971-93ad-fc608a240b6b","http://resolver.tudelft.nl/uuid:23bfffb9-4b5f-4971-93ad-fc608a240b6b","The Spectre at Vauxhall Cross: Architecture of the State, between Community and Monarchy","Gosseye, J. (TU Delft Situated Architecture)","","2023","This paper examines the matter of “architecture of the state” through the development history of the Esso site at Vauxhall Cross in London, which since the early 1990s houses the headquarters of the British Secret Intelligence Service (MI6), designed by Terry Farrell. The story of this site’s decades-long redevelopment saga calls into question what (or who) precisely “the state” is. Is it the (imagined) community that belongs to a state? Is it the governmental institutions and elected officials managing its operation? Or does the constitutional monarchy embody and symbolise the state? What the history of the Esso site and the design of the SIS building demonstrate is that these different groups who are all somehow encompassed in the definition of “the state” do not necessarily hold the same ideas about who “architecture of the state” is to serve, address, or represent.","","en","journal article","","","","","","","","","","","Situated Architecture","","",""
"uuid:7507c595-d976-417b-8b0a-6490b0467f79","http://resolver.tudelft.nl/uuid:7507c595-d976-417b-8b0a-6490b0467f79","De rol van constructeurs in de aanpak van de klimaatcrisis: Een stappenplan voor duurzame constructies","Terwel, K.C. (TU Delft Applied Mechanics); Crielaard, R. (TU Delft Applied Mechanics)","","2023","MKI, MPG, BENG, CO2-neutraal, LCA, EPD, cascadering, circulair bouwen, donorskelet. De duurzaamheidsdiscussie is de laatste jaren opgelaaid en heeft geleid tot nieuwe termen, afkortingen en in gespecialiseerde duurzaamheidsconsultants met eigen jargon. Door de grote hoeveelheid en soms tegenstrijdige informatie, zie je door de bomen het bos niet meer. Wat is duurzaam construeren eigenlijk? Vraag het drie constructeurs en je krijgt vier verschillende antwoorden. Om de studenten van TU Delft hier meer houvast in te geven, is op basis van inzichten uit de literatuur en praktijkervaring een stappenplan opgezet.","","nl","journal article","","","","","","","","","","","Applied Mechanics","","",""
"uuid:8c6308eb-3817-4260-a590-e8dd10a853ed","http://resolver.tudelft.nl/uuid:8c6308eb-3817-4260-a590-e8dd10a853ed","High-Performance and Scalable Agent-Based Simulation with BioDynaMo","Breitwieser, Lukas (CERN; ETH Zürich); Hesam, A.S. (TU Delft Computer Engineering); Rademakers, Fons (CERN); Luna, Juan Gómez (ETH Zürich); Mutlu, Onur (ETH Zürich)","","2023","Agent-based modeling plays an essential role in gaining insights into biology, sociology, economics, and other fields. However, many existing agent-based simulation platforms are not suitable for large-scale studies due to the low performance of the underlying simulation engines. To overcome this limitation, we present a novel high-performance simulation engine. We identify three key challenges for which we present the following solutions. First, to maximize parallelization, we present an optimized grid to search for neighbors and parallelize the merging of thread-local results. Second, we reduce the memory access latency with a NUMA-aware agent iterator, agent sorting with a space-filling curve, and a custom heap memory allocator. Third, we present a mechanism to omit the collision force calculation under certain conditions. Our evaluation shows an order of magnitude improvement over Biocellion, three orders of magnitude speedup over Cortex3D and NetLogo, and the ability to simulate 1.72 billion agents on a single server. Supplementary Materials, including instructions to reproduce the results, are available at: https://doi.org/10.5281/zenodo.6463816","agent-based modeling; high-performance simulation; HPC; memory allocation; memory layout optimization; NUMA; parallel computing; performance evaluation; performance optimization; scalability; space-filling curve","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Computer Engineering","","",""
"uuid:9b73bee5-b8cf-46c1-b4b9-f3fb9d3b4e07","http://resolver.tudelft.nl/uuid:9b73bee5-b8cf-46c1-b4b9-f3fb9d3b4e07","Developing an energy rating for bifacial photovoltaic modules","Vogt, M.R. (TU Delft Photovoltaic Materials and Devices); Pilis, Giorgos (Student TU Delft); Zeman, M. (TU Delft Electrical Sustainable Energy); Santbergen, R. (TU Delft Photovoltaic Materials and Devices); Isabella, O. (TU Delft Photovoltaic Materials and Devices)","","2023","The photovoltaic (PV) module energy rating standard series IEC 61853 does not cover bifacial PV modules. However, the market share of bifacial PV modules has dramatically increased in recent years and is projected to grow. This work demonstrates how Parts 3 and 4 of the IEC 61853 standard could be extended to bifacial modules. First, we develop an irradiance model that uses the data already given in the standard IEC 61853-4 to calculate the irradiance on the rear side of the module. Second, we propose a way to extend the energy yield calculation algorithm IEC 61853-3 to include bifacial modules and make it available to the PV community. This rear irradiance and bifacial energy yield calculation procedure is tested using real outdoor measurements for a nine-month period with a root mean square difference between measured and simulated energy yield of 4.65%. To conclude, we investigate the impact of different climates and normalization on the bifacial module energy rating results.","bifacial PV module; energy rating; energy yield; IEC61853; PV module; PV module performance; view factor","en","journal article","","","","","","","","","","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:26d7d4d3-7135-45ac-95c7-4ae5e5c330d2","http://resolver.tudelft.nl/uuid:26d7d4d3-7135-45ac-95c7-4ae5e5c330d2","Pulse shaping of the electromagnetic radiation from a narrow slot antenna","Stumpf, Martin (Brno University of Technology); Lager, I.E. (TU Delft Electrical Engineering Education)","","2023","A straightforward approach to achieve the prescribed shape of the far-field electromagnetic (EM) pulse radiated from a narrow slot antenna is introduced. It is demonstrated that the specified radiated pulse shape in a given direction can be approximately attained via a simple signal-processing technique that yields the pertaining excitation pulse. Illustrative numerical examples demonstrating good accuracy in the early-time part of the radiated pulsed fields are presented.","","en","journal article","","","","","","","","","","","Electrical Engineering Education","","",""
"uuid:f90457ef-dd90-44d9-b82f-6fb63fbfbfd1","http://resolver.tudelft.nl/uuid:f90457ef-dd90-44d9-b82f-6fb63fbfbfd1","Perfect Fit: Identiteitsgebaseerde virtuele coach die ondersteunt bij stoppen met roken en meer bewegen - Ontwikkeling en geleerde lessen","Versluis, Anke (Leiden University Medical Center); Penfornis, Kristell M. (Universiteit Leiden); van Vliet, Milon (Leiden University Medical Center); Albers, N. (TU Delft Interactive Intelligence); Scheltinga, Bouke (University of Twente); van der Burg, Sven (Netherlands eScience Center); Baccinelli, Walter (Netherlands eScience Center); Meijer, Eline (Leiden University Medical Center)","","2023","","Virtual coach; eHealth intervention; Identity; Development; Leassons learned","nl","abstract","","","","","","","","","","","Interactive Intelligence","","",""
"uuid:9dbfa9e5-a601-4c31-b560-8c76013f109a","http://resolver.tudelft.nl/uuid:9dbfa9e5-a601-4c31-b560-8c76013f109a","From VizBlocks to the Data-Driven Actor: Reimagining an open-ended data physicalisation prototype with a creative business","Lechelt, Susan (University of Edinburgh); Duffy, Clare (Civic Digits); Morgan, E. (University of Edinburgh); Murray-Rust, D.S. (TU Delft Human Information Communication Design); Nissen, Bettina (University of Edinburgh)","","2023","In this case study, we document the process of engaging in an initially unplanned and informal knowledge exchange activity between academic researchers and a local performing arts company. This knowledge exchange activity quickly became a fruitful collaboration during which an academic design research prototype was reimagined as a wholly new product to expand the offering of a creative business. We document the factors that led to the success of this collaboration, reflecting on both features of the collaboration itself and how the design of the initial research prototype configured its repurposing. In terms of the latter, we consider how the original prototype's ambiguity, open-endedness, customisability and flexible assembly afforded its reimagining. Through the case study, we demonstrate that there is much to be gained from facilitating access to research prototypes for small and medium enterprises and supporting them in appropriating these toward their own goals.","research through design; industry collaboration; Data literacy; data physicalisation; Design research","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-23","","","Human Information Communication Design","","",""
"uuid:6ea1640a-c937-46e1-b3c8-dc5975319e92","http://resolver.tudelft.nl/uuid:6ea1640a-c937-46e1-b3c8-dc5975319e92","Improving the efficiency of renewable energy assets by optimizing the matching of supply and demand using a smart battery scheduling algorithm","de Bekker, Philippe (Student TU Delft); Cremers, S.A. (TU Delft Intelligent Electrical Power Grids; Centrum Wiskunde & Informatica (CWI)); Norbu, Sonam (University of Glasgow); Flynn, David (University of Glasgow); Robu, Valentin (TU Delft Algorithmics; Centrum Wiskunde & Informatica (CWI))","","2023","Given the fundamental role of renewable energy assets in achieving global temperature control targets, new energy management methods are required to efficiently match intermittent renewable generation and demand. Based on analysing various designed cases, this paper explores a number of heuristics for a smart battery scheduling algorithm that efficiently matches available power supply and demand. The core of improvement of the proposed smart battery scheduling algorithm is exploiting future knowledge, which can be realized by current state-of-the-art forecasting techniques, to effectively store and trade energy. The performance of the developed heuristic battery scheduling algorithm using forecast data of demands, generation, and energy prices is compared to a heuristic baseline algorithm, where decisions are made solely on the current state of the battery, demand, and generation. The battery scheduling algorithms are tested using real data from two large-scale smart energy trials in the UK, in addition to various types and levels of simulated uncertainty in forecasts. The results show that when using a battery to store generated energy, on average, the newly proposed algorithm outperforms the baseline algorithm, obtaining up to 20–60% more profit for the prosumer from their energy assets, in cases where the battery is optimally sized and high-quality forecasts are available. Crucially, the proposed algorithm generates greater profit than the baseline method even with large uncertainty on the forecast, showing the robustness of the proposed solution. On average, only 2–12% of profit is lost on generation and demand uncertainty compared to perfect forecasts. Furthermore, the performance of the proposed algorithm increases as the uncertainty decreases, showing great promise for the algorithm as the quality of forecasting keeps improving.","battery control model; battery scheduling algorithm; energy management system; microgrid control method; renewable energy; forecasting; smart grid management; battery energy storage system; time-of-use tariff; state of charge","en","journal article","","","","","","","","","","","Intelligent Electrical Power Grids","","",""
"uuid:1218fec6-97c6-4b88-8f65-0f424e86dadf","http://resolver.tudelft.nl/uuid:1218fec6-97c6-4b88-8f65-0f424e86dadf","Charging after Lockdown: The Aftermath of COVID-19 Policies on Electric Vehicle Charging Behaviour in The Netherlands","van der Koogh, M.L. (TU Delft Energie and Industrie; Hogeschool van Amsterdam); Wolbertus, Rick (Hogeschool van Amsterdam); Heller, Renée (Hogeschool van Amsterdam)","","2023","During the COVID-19—related lockdowns (2020–2022), mobility patterns and charging needs were substantially affected. Policies such as work from home, lockdowns, and curfews reduced traffic and commuting significantly. This global pandemic may have also substantially changed mobility patterns on the long term and therefore the need for electric vehicle charging infrastructure. This paper analyzes changes in electric charging in the Netherlands for different user groups during different phases of the COVID-19 lockdown to assess the effects on EV charging needs. Charging needs dropped significantly during this period, which also changed the distribution of the load on the electricity grid throughout the day. Curfews affected the start times of charging sessions during peak hours of grid consumption. Infrastructure dedicated to commuters was used less intensively, and the charging needs of professional taxi drivers were drastically reduced during lockdown periods. These trends were partially observed during a post–lockdown measuring period of roughly 8 months, indicating a longer shift in mobility and charging patterns.","charging; EV; policy; case–study; deployment; energy consumption","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:0f1ac556-4319-4eee-9147-290d49bb0fe4","http://resolver.tudelft.nl/uuid:0f1ac556-4319-4eee-9147-290d49bb0fe4","Digitization of chemical process flow diagrams using deep convolutional neural networks","Theisen, M.F. (TU Delft ChemE/Product and Process Engineering); Nishizaki Flores, K.F. (TU Delft ChemE/Product and Process Engineering); Schulze Balhorn, L. (TU Delft ChemE/Product and Process Engineering); Schweidtmann, A.M. (TU Delft ChemE/Product and Process Engineering)","","2023","Advances in deep convolutional neural networks led to breakthroughs in many computer vision applications. In chemical engineering, a number of tools have been developed for the digitization of Process and Instrumentation Diagrams. However, there is no framework for the digitization of process flow diagrams (PFDs). PFDs are difficult to digitize because of the large variability in the data, e.g., there are multiple ways to depict unit operations in PFDs. We propose a two-step framework for digitizing PFDs: (i) unit operations are detected using a deep learning powered object detection model, (ii) the connectivities between unit operations are detected using a pixel-based search algorithm. To ensure robustness, we collect and label over 1000 PFDs from diversified sources including various scientific journals and books. To cope with the high intra-class variability in the data, we define 47 distinct classes that account for different drawing styles of unit operations. Our algorithm delivers accurate and robust results on an independent test set. We report promising results for line and unit operation detection with an Average Precision at 50 percent (AP50) of 88% and an Average Precision (AP) of 68% for the detection of unit operations.","Deep convolutional neural network; Digitalization; Flowsheet digitization; Machine learning; Object detection; Process flow diagrams (PFD)","en","journal article","","","","","","","","","","","ChemE/Product and Process Engineering","","",""
"uuid:334c7661-a53b-4f06-9a8e-8033b2095bba","http://resolver.tudelft.nl/uuid:334c7661-a53b-4f06-9a8e-8033b2095bba","Automation on thermal control of blast furnace","Masuda, Ryosuke (JFE Steel Corp.); Hashimoto, Y. (JFE Steel Corp.); Mulder, Max (TU Delft Control & Simulation); van Paassen, M.M. (TU Delft Control & Simulation); Kano, Manabu (Kyoto University)","","2023","Accurate process control through automation is the key to achieving efficient and stable operation of a blast furnace. In this study, we developed an automatic control system of hot metal temperature (HMT). To cope with the slow and complex process dynamics of the blast furnace, we constructed a control algorithm that predicts eight-hour-ahead HMT using a two-dimensional (2D) transient model and calculates optimal target pulverized coal ratio (PCR) and pulverized coal flow rate by non-linear model predictive control (NMPC). An evaluation in a real plant showed that the developed control system suppressed the effects of disturbances, such as changes in the coke ratio and blast volume, on the HMT. The root mean square (RMS) of the control deviation of HMT was successfully reduced by 1.6 °C compared to the conventional manual operation.","Hot metal temperature; Industrial application; Model predictive control; Process control","en","journal article","","","","","","","","","","","Control & Simulation","","",""
"uuid:27476485-3654-448f-a34e-67977a633411","http://resolver.tudelft.nl/uuid:27476485-3654-448f-a34e-67977a633411","Minimum-phase property and reconstruction of elastodynamic dereverberation matrix operators","Reinicke, Christian (Aramco Overseas Company B.V.); Dukalski, Marcin (Aramco Overseas Company B.V.); Wapenaar, C.P.A. (TU Delft Applied Geophysics and Petrophysics)","","2023","Minimum-phase properties are well-understood for scalar functions where they can be used as physical constraint for phase reconstruction. Existing scalar applications of the latter in geophysics include, for example the reconstruction of transmission from acoustic reflection data, or multiple elimination via the augmented acoustic Marchenko method. We review scalar minimum-phase reconstruction via the conventional Kolmogorov relation, as well as a less-known factorization method. Motivated to solve practice-relevant problems beyond the scalar case, we investigate (1) the properties and (2) the reconstruction of minimum-phase matrix functions. We consider a simple but non-trivial case of 2 × 2 matrix response functions associated with elastodynamic wavefields. Compared to the scalar acoustic case, matrix functions possess additional freedoms. Nonetheless, the minimum-phase property is still defined via a scalar function, that is a matrix possesses a minimum-phase property if its determinant does. We review and modify a matrix factorization method such that it can accurately reconstruct a 2 × 2 minimum-phase matrix function related to the elastodynamic Marchenko method. However, the reconstruction is limited to cases with sufficiently small differences between P- and S-wave traveltimes, which we illustrate with a synthetic example. Moreover, we show that the minimum-phase reconstruction method by factorization shares similarities with the Marchenko method in terms of the algorithm and its limitations. Our results reveal so-far unexplored matrix properties of geophysical responses that open the door towards novel data processing tools. Last but not least, it appears that minimum-phase matrix functions possess additional, still-hidden properties that remain to be exploited, for example for phase reconstruction.","Fourier analysis; Numerical solutions; Time-series analysis; Inverse theory; Wave propagation; Wave scattering and diraction","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-20","","","Applied Geophysics and Petrophysics","","",""
"uuid:00796934-4007-4b1b-919b-cb55486bcd57","http://resolver.tudelft.nl/uuid:00796934-4007-4b1b-919b-cb55486bcd57","Can linear stability analyses predict the development of riverbed waves with lengths much larger than the water depth?","Barneveld, H.J. (Wageningen University & Research; HKV Lijn in Water); Mosselman, E. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Deltares); Chavarrías, Víctor (Deltares); Hoitink, A.J.F. (Wageningen University & Research)","","2023","Sustainable river management can be supported by models predicting long-term morphological developments. Even for one-dimensional morphological models, run times can be up to several days for simulations over multiple decades. Alternatively, analytical tools yield metrics that allow estimation of migration celerity and damping of bed waves, which have potential for being used as rapid assessment tools to explore future morphological developments. We evaluate the use of analytical relations based on linear stability analyses of the St. Venant-Exner equations, which apply to bed waves with spatial scales much larger than the water depth. With a one-dimensional numerical morphological model, we assess the validity range of the analytical approach. The comparison shows that the propagation of small bed perturbations is well-described by the analytical approach. For Froude numbers over 0.3, diffusion becomes important and bed perturbation celerities reduce in time. A spatial-mode linear stability analysis predicts an upper limit for the bed perturbation celerity. For longer and higher bed perturbations, the dimensions relative to the water depth and the backwater curve length determine whether the analytical approach yields realistic results. For higher bed wave amplitudes, non-linearity becomes important. For Froude numbers ≤ 0.3, the celerity of bed waves is increasingly underestimated by the analytical approach. The degree of underestimation is proportional to the ratio of bed wave amplitude to water depth and the Froude number. For Froude numbers exceeding 0.3, the net impact on the celerity depends on the balance between the decrease due to damping and the increase due to non-linear interaction.","","en","journal article","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:410125eb-c9c5-469b-b3d0-1902fd45afd0","http://resolver.tudelft.nl/uuid:410125eb-c9c5-469b-b3d0-1902fd45afd0","New indicator for damage localization in a thick adhesive joint of a composite material used in a wind turbine blade","Khoshmanesh, S. (TU Delft Wind Energy); Watson, S.J. (TU Delft Wind Energy); Zarouchas, D. (TU Delft Structural Integrity & Composites)","","2023","In this paper, a new indicator to localize fatigue damage in a fibre glass composite structure, i.e. spar cap to shear web thick adhesive joint of a wind turbine blade, is presented. This indicator is based on the effect of damping on the phase of the mode shapes of the structure. When fatigue damage occurs, damping increases in the defective area and this leads to an increase in the local energy dissipation. This non-uniformity in the energy dissipation throughout the structure causes the structure to vibrate with mode shapes whose structural elements no longer have the same phase creating complex mode shapes. A visco-elastic finite element (FE) vibration model is developed for a thick adhesive joint of a wind turbine blade. The mass, stiffness, and damping matrix extracted from the FE model are used to determine the complex mode shapes. The results show that the damaged area is located where the spatial derivative of the phase of the components of the mode shapes is minimum. Changes in the phase of mode shapes of the structural elements are strongly dependent on the location of damage. In the locations where the strain modal energy is greater, the change in the phase is also higher.","Adhesive joint; Damage localization; Damping; Fatigue; Phase of mode shapes","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:8f28f687-d063-4d83-9b2a-09a5e86e5d38","http://resolver.tudelft.nl/uuid:8f28f687-d063-4d83-9b2a-09a5e86e5d38","Asynchronous Hyperbolic UWB Source-Localization and Self-Localization for Indoor Tracking and Navigation","Chiasson, David (Shanghai Jiao Tong University); Lin, Yuan (Shanghai Jiao Tong University); Kok, M. (TU Delft Team Manon Kok); Shull, Peter (Shanghai Jiao Tong University)","","2023","Hyperbolic localization measures the time difference of arrivals (TDOAs) of signals to determine the location of a wireless source or receiver. Traditional methods depend on precise clock synchronization between nodes so that time measurements from independent devices can be meaningfully compared. Imperfect synchronization is often the dominant source of error. We propose two new message based TDOA equations for hyperbolic localization which require no synchronization and meet or exceed state-of-the-art accuracy. Our approaches leverage anchor nodes that observe each other’s packet arrival times and a novel reformulation of the TDOA equation to reduce the effect of clock drift error. Closed-form equations are derived for computing TDOA in both self-localization and source-localization modes of operation along with bounds on maximum clock drift error. Three experiments are performed including a clock drift simulation, a non-line-of-sight (NLOS) simulation, and an indoor validation experiment on custom ultra wideband (UWB) hardware all of which involved eight anchor nodes and one localizing node in a 128m3 capture volume. Our source-localization approach achieved unprecedented accuracy with lower cost equipment and trivial setup. Our self-localization matched state-of-the art accuracy but with infinite scalability and high privacy. These results could enable economical and infinite density indoor navigation and dramatically reduce the economic cost and increase the accuracy of implementing industrial and commercial tracking applications.","Clocks; Distance measurement; Hyperbolic localization; Indoor Navigation; Internet of Things; Location awareness; Multilateration; Privacy; Scalability; Synchronization; TDOA; Ultra wideband (UWB)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-15","","","Team Manon Kok","","",""
"uuid:9829f223-286d-42d0-9cf5-5ac3503cff14","http://resolver.tudelft.nl/uuid:9829f223-286d-42d0-9cf5-5ac3503cff14","Comparison of an Explicit and Implicit Time Integration Method on GPUs for Shallow Water Flows on Structured Grids","Buwalda, F.J.L. (Deltares); de Goede, Erik (Deltares); Knepflé, Maxim (Tygron); Vuik, Cornelis (TU Delft Delft Institute of Applied Mathematics)","","2023","The accuracy, stability and computational efficiency of numerical methods on central processing units (CPUs) for the depth-averaged shallow water equations were well covered in the literature. A large number of these methods were already developed and compared. However, on graphics processing units (GPUs), such comparisons are relatively scarce. In this paper, we present the results of comparing two time-integration methods for the shallow water equations on structured grids. An explicit and a semi-implicit time integration method were considered. For the semi-implicit method, the performance of several iterative solvers was compared. The implementation of the semi-implicit method on a GPU in this study was a novel approach for the shallow water equations. This also holds for the repeated red black (RRB) solver that was found to be very efficient on a GPU. Additionally, the results of both methods were compared with several CPU-based software systems for the shallow water flows on structured grids. On a GPU, the simulations were 25 to 75 times faster than on a CPU. Theory predicts an explicit method to be best suited for a GPU due to the higher level of inherent parallelism. It was found that both the explicit and the semi-implicit methods ran efficiently on a GPU. For very shallow applications, the explicit method was preferred because the stability condition on the time step was not very restrictive. However, for deep water applications, we expect the semi-implicit method to be preferred.","GPU; shallow water equations; time integration; computational efficiency; preconditioning","en","journal article","","","","","","","","","","","","","",""
"uuid:df5a1cd5-033b-4e92-a3ed-1061f670a40c","http://resolver.tudelft.nl/uuid:df5a1cd5-033b-4e92-a3ed-1061f670a40c","Special issue on “Reliability and resilience of emerging mobility systems”, an editorial note","Cats, O. (TU Delft Transport and Planning; KTH Royal Institute of Technology); Derrible, Sybil (University of Illinois at Chicago); Chow, Y.J. (TU Delft Transport and Planning; New York University)","","2023","This Editorial Note accompanies the special issue devoted to the development of new concepts, theories and methods that address reliability and resilience related to the planning, dynamic operation, and level of service of novel mobility systems. This special issue on ‘Reliability and Resilience of Emerging Mobility Systems’ consists of seven papers which are dedicated to methodological and theoretical developments as well as advanced applications in this domain. Several of the contributions originated from works presented at INSTR2021 (the 8th International Symposium on Transport Network Reliability). In this Editorial note we reflect on the contributions made in each of the articles included in this special issue.","disruptions; Network robustness; service reliability; travel time variability","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-04","","","Transport and Planning","","",""
"uuid:63c293aa-c3dd-44eb-87d1-fd108ae7f1d2","http://resolver.tudelft.nl/uuid:63c293aa-c3dd-44eb-87d1-fd108ae7f1d2","“Do I See What You See?”—Differentiation of Stakeholders in Assessing Heritage Significance of Neighbourhood Attributes","Spoormans, L.G.K. (TU Delft Heritage & Architecture); Czischke, D.K. (TU Delft Real Estate Management); Pereira Roders, A. (TU Delft Heritage & Architecture); de Jonge, W. (TU Delft Heritage & Architecture)","","2023","Although attention for citizen involvement in urban development and heritage management processes is growing, both in practice and in research, the specifics of stakeholders’ interests have been less researched. This paper reveals and discusses the assessment by individuals and groups, to differentiate stakeholders, based on the heritage significance they convey on neighbourhood attributes. Fifty-nine interviews on a Dutch neighbourhood in Amsterdam Zuidoost were analysed integrating quantitative and qualitative methods. Results confirm important differences between and within stakeholder groups regarding their interest in particular attribute categories and scales, indicating the need to further specify stakeholders beyond the commonly used ‘community’ and ‘experts’. The identification of stakeholder interests is important to involve relevant groups in the identification and designation of significant attributes, buildings, and areas and to anticipate potential conflicts or shared interests in neighbourhood renovation processes.","stakeholder involvement; neighbourhoods; heritage significance; housing; attributes","en","journal article","","","","","","","","","","","Heritage & Architecture","","",""
"uuid:b923f712-0a89-4bbc-b8df-74bc7cf92396","http://resolver.tudelft.nl/uuid:b923f712-0a89-4bbc-b8df-74bc7cf92396","Automated Classification of Well Test Responses in Naturally Fractured Reservoirs Using Unsupervised Machine Learning","Freites, Alfredo (Heriot-Watt University); Corbett, P. W.M. (Heriot-Watt University); Rongier, G. (TU Delft Applied Geology); Geiger, S. (TU Delft Applied Geology)","","2023","Understanding the impact of fractures on fluid flow is fundamental for developing geoenergy reservoirs. Pressure transient analysis could play a key role for fracture characterization purposes if better links can be established between the pressure derivative responses (p′) and the fracture properties. However, pressure transient analysis is particularly challenging in the presence of fractures because they can manifest themselves in many different p′ curves. In this work, we aim to provide a proof-of-concept machine learning approach that allows us to effectively handle the diversity in fracture-related p′ curves by automatically classifying them and identifying the characteristic fracture patterns. We created a synthetic dataset from numerical simulation that comprised 2560 p′ curves that represent a wide range of fracture network properties. We developed an unsupervised machine learning approach that can distinguish the temporal variations in the p′ curves by combining dynamic time warping with k-medoids clustering. Our results suggest that the approach is effective at recognizing similar shapes in the p′ curves if the second pressure derivatives are used as the classification variable. Our analysis indicated that 12 clusters were appropriate to describe the full collection of p′ curves in this particular dataset. The classification exercise also allowed us to identify the key geological features that influence the p′ curves in this particular dataset, namely (1) the distance from the wellbore to the closest fracture(s), (2) the local/global fracture connectivity, and (3) the local/global fracture intensity. With additional training data to account for a broader range of fracture network properties, the proposed classification method could be expanded to other naturally fractured reservoirs and eventually serve as an interpretation framework for understanding how complex fracture network properties impact pressure transient behaviour.","Fractured reservoirs; Machine learning; Well tests; Classification","en","journal article","","","","","","","","","","","Applied Geology","","",""
"uuid:2febe2c3-6858-4152-a78c-83cc0d6af034","http://resolver.tudelft.nl/uuid:2febe2c3-6858-4152-a78c-83cc0d6af034","HasBugs - Handpicked Haskell Bugs","Applis, L.H. (TU Delft Software Engineering); Panichella, A. (TU Delft Software Engineering)","O'Conner, L. (editor)","2023","We present HasBugs, an extensible and manually-curated dataset of real-world 25 Haskell Bugs from 6 open source repositories. We provide a faulty, tested, and fixed version of each bug in our dataset with reproduction packages, description, and bug context. For technical users, the dataset is meant to either help researchers adapt techniques from other programming languages to Haskell or to provide a human-verified gold standard for tools evaluation and enable future reproducibility. We also see applicability for qualitative research, e.g., by analysis of bug lifecycles and comparison to other languages. We provide a companion website for easy access and overview under https://ciselab.github.io/HasBugs/.","Haskell; Software bugs; Dataset","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-12","","","Software Engineering","","",""
"uuid:46d7f8e7-2866-4eb3-b543-97326f94c5a7","http://resolver.tudelft.nl/uuid:46d7f8e7-2866-4eb3-b543-97326f94c5a7","Makerlabs: Makerspaces in Libraries as Modern Spaces of Urban Belonging","Muñoz Aparici, M. (TU Delft Theory, Territories & Transitions)","Borrego, Ignacio (editor); Pasel, Ralf (editor); Weidinger, Jürgen (editor)","2023","In the context of changing reference points that characterizes contemporary late modernity, public buildings are demanded to adapt to transforming cultural values. Buildings, static long-lasting structures, must satisfy changing purposes, programs, and users. One example of this shif tare libraries which are undergoing transformations both as an institution and as a built object. Since digital resources are broadly accessible through digital means, libraries are shifting from knowledge consumption to knowledge creation spaces. The concept of “making” appears as one upcoming approach to explore contemporary literacy in libraries. The project Makerlabs: Makerspaces in libraries shows how designing public buildings as unfinished publicity thresholds makes space for cultural values’ creation and transformation. In this study, theories on public buildings, liquidity, thresholds, and values ground the framework informing design propositions tested with spatial interventions. Design Driven Methodologies are used as a discursive communication medium between theory and practice, concepts, and approaches, as a generative and reflective tool.","","en","book chapter","Berlin Universities Publishing","","","","","","","","","","Theory, Territories & Transitions","","",""
"uuid:71845bfe-2100-4fc4-b767-3adffac41acb","http://resolver.tudelft.nl/uuid:71845bfe-2100-4fc4-b767-3adffac41acb","Ultrasonic Characterization of Ibidi μ-Slide I Luer Channel Slides for Studies With Ultrasound Contrast Agents","Zangabad, Reza Pakdaman (Erasmus MC); Li, H. (Erasmus MC); Kouijzer, Joop J.P. (Erasmus MC); Langeveld, Simone A.G. (Erasmus MC); Beekers, Ines (Erasmus MC); Verweij, M.A. (TU Delft Technology, Policy and Management); de Jong, N. (TU Delft ImPhys/De Jong group; Erasmus MC); Kooiman, Klazina (Erasmus MC)","","2023","Understanding and controlling the ultrasound contrast agent (UCA)'s response to an applied ultrasound pressure field are crucial when investigating ultrasound imaging sequences and therapeutic applications. The magnitude and frequency of the applied ultrasonic pressure waves affect the oscillatory response of the UCA. Therefore, it is important to have an ultrasound compatible and optically transparent chamber in which the acoustic response of the UCA can be studied. The aim of our study was to determine the in situ ultrasound pressure amplitude in the ibidi μ -slide I Luer channel, an optically transparent chamber suitable for cell culture, including culture under flow, for all microchannel heights (200, 400, 600, and 800 μm). First, the in situ pressure field in the 800- μm high channel was experimentally characterized using Brandaris 128 ultrahigh-speed camera recordings of microbubbles (MBs) and a subsequent iterative processing method, upon insonification at 2 MHz, 45° incident angle, and 50-kPa peak negative pressure (PNP). Control studies in another cell culture chamber, the CLINIcell, were compared with the obtained results. The pressure amplitude was -3.7 dB with respect to the pressure field without the ibidi μ -slide. Second, using finite-element analysis, we determined the in situ pressure amplitude in the ibidi with the 800- μm channel (33.1 kPa), which was comparable to the experimental value (34 kPa). The simulations were extended to the other ibidi channel heights (200, 400, and 600 μm) with either 35° or 45° incident angle, and at 1 and 2 MHz. The predicted in situ ultrasound pressure fields were between -8.7 and -1.1 dB of the incident pressure field depending on the listed configurations of ibidi slides with different channel heights, applied ultrasound frequencies, and incident angles. In conclusion, the determined ultrasound in situ pressures demonstrate the acoustic compatibility of the ibidi μ -slide I Luer for different channel heights, thereby showing its potential for studying the acoustic behavior of UCAs for imaging and therapy.","Acoustics; Biomedical optical imaging; drug delivery; Imaging; Microbubble; Optical variables control; Oscillators; Recording; ultra-high-speed imaging; Ultrasonic characterization; Ultrasonic imaging; ultrasound contrast agents (UCAs)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-27","Technology, Policy and Management","","ImPhys/De Jong group","","",""
"uuid:ebee9472-aa1f-49aa-9430-590b915bf0b5","http://resolver.tudelft.nl/uuid:ebee9472-aa1f-49aa-9430-590b915bf0b5","Infrastructure as a Service: An analysis of the circular effectiveness","Schraven, D.F.J. (TU Delft Integral Design & Management); Teigiserová, D.A. (TU Delft Integral Design & Management); Noppers, Frederike (Cambio Sustainability)","","2023","This is the English report for the first academic study into the Infrastructure As a Service (IAAS) business model as part of the De Circulaire Weg partnerprogram. This research asks the question: Under what conditions does the applied As a Service model on infrastructure lead or not to a higher level of circularity and lower or equal life cycle costs? To answer this question, we followed and evaluated seven pilots that experimented with IAAS in practice at the municipalities of Amersfoort, Utrecht, and Amsterdam and in the provinces of Overijssel, North-Holland, and North Brabant. We looked at the As-a-Service level, the degree of circularity, and the degree of the costs. In addition, we also outlined the underlying circumstances within which the pilots were carried out, such as the organizational, financial, and technical similarities and differences. Overall, we can conclude that each pilot had a unique set of circumstances and that they each walked their own path with the As-a-Service. This demonstrates the importance of contextual conditions for establishing a successful model. We found these conditions in the patterns we discovered in the data from these pilots.","Circular Economy; Infrastructure; As a Service; Infrastructure As a Service; Product service system (PSS); Circular business model","en","report","Technische Universiteit Delft","","","","","","","","","","Integral Design & Management","","",""
"uuid:3f7df589-86ed-44d6-a4a9-bdcd16bfab54","http://resolver.tudelft.nl/uuid:3f7df589-86ed-44d6-a4a9-bdcd16bfab54","Glass and the energy crisis","Schneider, J. (Technische Universität Darmstadt); Belis, J. (Universiteit Gent); Louter, P.C. (TU Delft Applied Mechanics); Nielsen, J. H. (Technical University of Denmark); Overend, M. (TU Delft Architectural Technology)","","2023","","","en","contribution to periodical","","","","","","","","","","","Applied Mechanics","","",""
"uuid:85cb7d5b-dd04-4aee-b0ab-084fecadbb89","http://resolver.tudelft.nl/uuid:85cb7d5b-dd04-4aee-b0ab-084fecadbb89","Conformable Inflatable Wings Woven Using a Jacquard Technique","Breuer, J.C.M. (Swiss Federal Laboratories for Materials Science and Technology (Empa); TNO); Luchsinger, Rolf (Swiss Federal Laboratories for Materials Science and Technology (Empa); TwingTec); Schmehl, R. (TU Delft Wind Energy)","","2023","Inflatable wings are of interest for applications where low weight, compact transport volume, and easy set-up are important. Examples are unmanned aerial vehicles with inflatable wings, paragliders and softkites for sport or airborne wind-energy applications. In this paper, a new method of designing and fabricating conformable inflatable wings by Jacquard three-dimensional weaving is presented. Depending on the weaving pattern, plane-parallel, tapered, or even curved structures can be produced. An analytical framework was developed to determine the shapes of pressurized structures produced by Jacquard weaving. Based on this theory, several design patterns suitable for inflatable wings are proposed. It is shown that the structural efficiency of the woven structure is identical to the structural efficiency of a cylinder. To validate the concept, different wing prototypes were built with the methods used for the mass production of airbags. The new method allows for the cost-efficient fabrication of inflatable structures, pressure vessels, and liquid containers with applications in the automotive, aerospace, and leisure industries.","one-piece woven; Jacquard weaving; pressure vessels; inflatable structures; airborne wind energy; inflatable wings","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:2891474a-3499-4802-85a0-f505795d3e52","http://resolver.tudelft.nl/uuid:2891474a-3499-4802-85a0-f505795d3e52","Understanding Sentinel-1 backscatter response to sugarcane yield variability and waterlogging","den Besten, N.I. (TU Delft Water Resources; Planet Labs Inc.); Steele-Dunne, S.C. (TU Delft Mathematical Geodesy and Positioning); Mahmud, Ashfak (University of Helsinki); Jackson, Daniel (Planet Labs Inc.); Aouizerats, Benjamin (Planet Labs Inc.); de Jeu, Richard (Planet Labs Inc.); Burger, Rogier (Planet Labs Inc.); Houborg, Rasmus (Planet Labs Inc.); McGlinchey, Mark (SQR Software); van der Zaag, P. (TU Delft Water Resources; IHE Delft Institute for Water Education)","","2023","Sentinel-1 observes the whole globe every 12 days (6 days when both satellites were operational) and provides a wealth of data relevant to agriculture. Sugarcane cultivators could potentially benefit from these data by using them to assist operational and management practices. However, first, thorough understanding is needed of Sentinel-1 backscatter and its behavior over sugarcane canopies. In this study, we aimed to improve understanding of how Sentinel-1 backscatter responds to sugarcane yield variability and waterlogging. In order to do so we focused on an irrigated sugarcane plantation in Xinavane, Mozambique. In the analysis presented, we assessed different polarizations, their ratio, and benchmarked them against optical indices and passive microwave observations in different seasons. With the help of a large sugarcane yield dataset, we analyzed how backscatter relates to sucrose yield variability in different seasons. We found VV backscatter related to the stalk development, the most important reservoir for sucrose accumulation. In addition, in a season with reported waterlogging, optical and radar observations showed a delay in sugarcane crop development. Further analysis showed the presence of water underneath the canopy caused an increase in all polarizations and the cross ratio (CR). The results imply that Sentinel-1 backscatter contains information on both waterlogging under the canopy as well as sucrose development in the stalk. By isolating and quantifying the impact of waterlogging on backscatter, it will be possible to further quantify sucrose development with backscatter observations and identify waterlogging simultaneously.","Agriculture; Crop monitoring; Sentinel-1; Sucrose; Sugarcane yield; Synthetic Aperture Radar (SAR); Waterlogging","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:1ef63af5-a42d-4708-ad59-ca1930ae428e","http://resolver.tudelft.nl/uuid:1ef63af5-a42d-4708-ad59-ca1930ae428e","Cardiovascular magnetic resonance for evaluation of cardiac involvement in COVID-19: recommendations by the Society for Cardiovascular Magnetic Resonance","Ferreira, Vanessa M. (University of Oxford); Plein, Sven (University of Leeds); Wong, Timothy C.; Tao, Q. (TU Delft ImPhys/Tao group); Raisi-Estabragh, Zahra (Queen Mary University of London); Jain, Supriya S. (Weill Cornell Medical College); Han, Yuchi (Ohio State University); Ojha, Vineeta (All India Institute of Medical Sciences); Kim, Jiwon (Weill Cornell Medical College)","","2023","Coronavirus disease 2019 (COVID-19) is an ongoing global pandemic that has affected nearly 600 million people to date across the world. While COVID-19 is primarily a respiratory illness, cardiac injury is also known to occur. Cardiovascular magnetic resonance (CMR) imaging is uniquely capable of characterizing myocardial tissue properties in-vivo, enabling insights into the pattern and degree of cardiac injury. The reported prevalence of myocardial involvement identified by CMR in the context of COVID-19 infection among previously hospitalized patients ranges from 26 to 60%. Variations in the reported prevalence of myocardial involvement may result from differing patient populations (e.g. differences in severity of illness) and the varying intervals between acute infection and CMR evaluation. Standardized methodologies in image acquisition, analysis, interpretation, and reporting of CMR abnormalities across would likely improve concordance between studies. This consensus document by the Society for Cardiovascular Magnetic Resonance (SCMR) provides recommendations on CMR imaging and reporting metrics towards the goal of improved standardization and uniform data acquisition and analytic approaches when performing CMR in patients with COVID-19 infection.","Cardiac complications; Cardiovascular magnetic resonance; COVID-19; Diagnostic criteria; Microinfarctions; Multisystem inflammatory syndrome; Myocardial infarction; Myocarditis; SARS-CoV-2; Thrombotic complications","en","review","","","","","","","","","","","ImPhys/Tao group","","",""
"uuid:289721dc-db65-400d-8f29-45b15903a1dd","http://resolver.tudelft.nl/uuid:289721dc-db65-400d-8f29-45b15903a1dd","Effects of nanobubbles on methane hydrate dissociation: A molecular simulation study","Fang, B. (TU Delft Engineering Thermodynamics; China University of Geosciences); Moultos, O. (TU Delft Engineering Thermodynamics); Lü, Tao (China University of Geosciences; Hubei Key Laboratory of Advanced Control and Intelligent Automation for Complex Systems,); Sun, Jiaxin (China University of Geosciences); Liu, Z. (China University of Geosciences); Ning, Fulong (Qingdao National Laboratory for Marine Science and Technology; China University of Geosciences); Vlugt, T.J.H. (TU Delft Engineering Thermodynamics)","","2023","Hydrate dissociation is often accompanied by the formation of nanobubbles. Knowledge of the effects of nanobubbles on hydrate dissociation is essential for understanding the dynamic behavior of the hydrate phase change and improving the gas production efficiency. Here, molecular dynamics simulations were performed to study the methane hydrate dissociation kinetics with and without a pre-existing methane nanobubble. The results show that the hydrate cluster in the liquid phase dissociates layer-by-layer. This process is shown to be independent of the temperature and nanobubble presence at the simulation conditions. Hydrate dissociation does not always lead to nanobubble formation because the supersaturated methane solution can be stable for a long time. A steep methane concentration gradient was observed between the hydrate cluster surface and the methane nanobubble, which can enhance the directional migration of methane and effectively minimize the methane concentration in the liquid phase, thereby increasing the driving force for the hydrate dissociation. Our findings indicate that the presence of a nanobubble near the hydrate surface does not decrease the activation energy of hydrate dissociation, but it can increase the intrinsic decomposition rate. The average hydrate dissociation rate is linearly correlated with the mass flow rate towards the nanobubble. The mass flow rate is determined by the nanobubble size and hydrate-nanobubble distance. Our findings contribute to the fundamental understanding of the dissociation mechanism of gas hydrates in the liquid phase, which is crucial for the design and optimization of efficient gas hydrate production techniques.","","en","journal article","","","","","","","","","","","Engineering Thermodynamics","","",""
"uuid:21e1696e-fcc9-462f-b3bc-4aee1d1c1517","http://resolver.tudelft.nl/uuid:21e1696e-fcc9-462f-b3bc-4aee1d1c1517","Biocatalytic oxidation reactions to create sustainable chemistry for the future","Hollmann, F. (TU Delft BT/Biocatalysis); Woodley, John M. (Technical University of Denmark)","","2023","","","en","contribution to periodical","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-01","","","BT/Biocatalysis","","",""
"uuid:ef628c1d-65ee-4023-96aa-a1a76b4625f8","http://resolver.tudelft.nl/uuid:ef628c1d-65ee-4023-96aa-a1a76b4625f8","A New Framework of 17 Hydrological Ecosystem Services (HESS17) for Supporting River Basin Planning and Environmental Monitoring","Hà, T.L. (TU Delft Water Resources; Institute of Water Resources Planning); Bastiaanssen, W.G.M. (TU Delft Water Resources; IrriWatch); Simons, Gijs W. H. (FutureWater -Wageningen); Poortinga, Ate (SERVIR-Mekong; Spatial Informatics Group)","","2023","Hydrological ecosystem services (HESS) describe the benefits of water for multiple purposes with an emphasis on environmental values. The value of HESS is often not realized because primary benefits (e.g., food production, water withdrawals) get the most attention. Secondary benefits such as water storage, purification or midday temperature cooling are often overlooked. This results in an incorrect evaluation of beneficial water usage in urban and rural resettlements and misunderstandings when land use changes are introduced. The objective of this paper is to propose a standard list of 17 HESS indicators that are in line with the policy and philosophy of the Consultative Group of International Agricultural Research (CGIAR) and that are measurable with earth observation technologies in conjunction with GIS and hydrological models. The HESS17 framework considered indicators that can be directly related to water flows, water fluxes and water stocks; they have a natural characteristic with minimal anthropogenic influence and must be quantifiable by means of earth observation models in combination with GIS and hydrological models. The introduction of a HESS framework is less meaningful without proper quantification procedures in place. Because of the widely diverging management options, the role of water should be categorized as (i) consumptive use (i.e., evapotranspiration and dry matter production) and (ii) non-consumptive use (stream flow, recharge, water storage). Governments and responsible agencies for integrated water management should recognize the need to include HESS17 in water allocation policies, water foot-printing, water accounting, transboundary water management, food security purposes and spatial land-use planning processes. The proposed HESS17 framework and associated methods can be used to evaluate land, soil and water conservation programs. This paper presents a framework that is non-exhaustive but can be realistically computed and applicable across spatial scales.","hydrological ecosystemservices; remote sensing; ecosystem services framework; ecosystem services accounting","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:9c3bf83f-443d-4666-94e9-d9ef5c86c835","http://resolver.tudelft.nl/uuid:9c3bf83f-443d-4666-94e9-d9ef5c86c835","The Potential of Deep Learning for Satellite Rainfall Detection over Data-Scarce Regions, the West African Savanna","Estebanez Camarena, M. (TU Delft Water Resources); Taormina, R. (TU Delft Sanitary Engineering); van de Giesen, N.C. (TU Delft Water Resources); ten Veldhuis, Marie-claire (TU Delft Water Resources)","","2023","Food and economic security in West Africa rely heavily on rainfed agriculture and are threatened by climate change and demographic growth. Accurate rainfall information is therefore crucial to tackling these challenges. Particularly, information about the occurrence and length of droughts as well as the onset date of the rainy season is essential for agricultural planning. However, existing rainfall models fail to accurately represent the highly variable and sparsely monitored West African rainfall patterns. In this paper, we show the potential of deep learning (DL) to model rainfall in the region and propose a methodology to develop DL models in data-scarce areas. We built two DL models for satellite rainfall (rain/no-rain) detection over northern Ghana from Meteosat TIR data based on standard DL architectures: Convolutional neural networks (CNNs) and convolutional long short-term memory neural networks (ConvLSTM). The Integrated Multi-satellitE Retrievals for the Global Precipitation Measurement (GPM) mission (IMERG) and Precipitation Estimation from Remotely Sensed Imagery Using an Artificial Neural Network Cloud Classification System (PERSIANN-CCS) products are used as benchmarks. We use rain gauge data from the Trans-African Hydro-Meteorological Observatory (TAHMO) for model development and performance evaluation. We show that our models compare well against existing products despite being considerably simpler, developed with a small training dataset—i.e., 8 stations covering 2.5 years with 20.4% of the data missing—and using TIR data alone. Concretely, our models consistently outperform PERSIANN-CCS for rain/no-rain detection at a sub-daily timescale. While IMERG is the overall best performer, the DL models perform better in the second half of the rainy season despite their simplicity (i.e., up to 120 k parameters). Our results suggest that DL-based regional models are a promising alternative to state-of-the-art global products for providing regional rainfall information, especially in meteorologically complex regions such as the (sub)tropics, which are poorly covered by ground-based rainfall observations.","deep learning; CNN; ConvLSTM; rainfall detection; satellite rainfall retrieval; West Africa; northern Ghana","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:f1a0875b-dc8c-4d21-902a-269ff4a3010b","http://resolver.tudelft.nl/uuid:f1a0875b-dc8c-4d21-902a-269ff4a3010b","Investigation of compression after impact failure in carbon fiber reinforced polymers using acoustic emission","Biagini, D. (TU Delft Structural Integrity & Composites); Pascoe, J.A. (TU Delft Structural Integrity & Composites); Alderliesten, R.C. (TU Delft Structural Integrity & Composites)","","2023","Although several studies have been performed, the compression after impact (CAI) failure of CFRP is still not entirely understood. It is still unclear what sequence of events determines the onset of failure in CAI tests and how the different damage modes are involved in this process. To experimentally investigate this matter, the present work relies on acoustic emission (AE) monitoring and advanced acoustic signal analysis. A series of preliminary tests was conducted to correlate damage modes with recorded acoustic waveforms. Four types of waveforms were separated and associated to different damage modes. Following the preliminary tests, AE was monitored in actual CAI tests. A damage accumulation study was conducted combining three indicators, namely wavelet packet components, sentry function and energy b-value. The results evidence different phases in the damage accumulation process that were not shown in previous literature. In all specimens, the onset of the unstable damage accumulation appeared to be triggered by an intermediate frequency acoustic event associated to a combination of matrix cracking and fiber-matrix debonding, occurring at 80% of failure displacement.","Barely visible impact damage; damage modes; low velocity impact; wavelets","en","journal article","","","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:d8bb9275-6f3f-400c-a4e2-52f4bfce74f6","http://resolver.tudelft.nl/uuid:d8bb9275-6f3f-400c-a4e2-52f4bfce74f6","A framework for assessing the remaining life of storm surge barriers","Vader, Hidde (Deltares; Royal HaskoningDHV; Student TU Delft); Bakker, A.M.R. (TU Delft Hydraulic Structures and Flood Risk; Rijkswaterstaat); Jonkman, Sebastiaan N. (TU Delft Hydraulic Structures and Flood Risk); van den Boomen, M. (TU Delft Integral Design & Management); van Baaren, Esther (Deltares); Diermanse, Ferdinand L.M. (Deltares)","","2023","Over the course of the last century, storm surge barriers have been built in several countries and proven to be successful in preventing flooding. However, the operation, reliability, and remaining life of these structures have come under increased pressure due to changing demands, intensified utilisation, and climate change. Yet, there is relatively little known about how these factors affect the remaining life of storm surge barriers. To address this issue, a framework is presented to assess the impacts of external drivers on the remaining life in a systematic manner. The framework considers both the technical state and functional performance and uses scenarios to evaluate the impact of external drivers. The application of the framework is demonstrated for the Hollandsche IJssel barrier (the Netherlands). The results indicate that sea level rise (SLR) is the dominant physical driver. Even in moderate SLR scenarios, the lifespan of the barrier may end in the 2040s if the functional performance with respect to flood protection and navigation cannot be improved. Ultimately, the study demonstrates how the remaining life of storm surge barriers could be assessed systematically and the impact of external drivers on the remaining life could be evaluated.","Flood defences; functional life; hydraulic structures; lifespan; remaining life; sea level rise; storm surge barriers","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:2910ea09-5226-4311-9106-31ff7cf6cd14","http://resolver.tudelft.nl/uuid:2910ea09-5226-4311-9106-31ff7cf6cd14","On the use of common random numbers in activity-based travel demand modeling for scenario comparison","Zhou, H. (Universiteit van Amsterdam; TNO); Dorsman, J. L. (Universiteit van Amsterdam); Mandjes, M. (Universiteit van Amsterdam); Snelder, M. (TU Delft Transport and Planning; TNO)","","2023","Activity-based travel demand models provide a high level of detail when modeling complex travel behavior. Since stochastic simulation is used, however, this high level may induce large random fluctuations in the output, necessitating many model reruns to produce reliable output. This may become prohibitive in terms of computation time when comparing travel behavior between multiple scenarios, in which case each scenario requires its own simulation. To alleviate this issue, we study the use of common random numbers, which is a technique that reuses the same random numbers for choices made by travelers between scenarios. This ensures that any observed difference in output across scenarios cannot be attributed to mutual differences in drawn random numbers, eliminating an important source of random fluctuation. We demonstrate by a numerical study that common random numbers can greatly reduce the number of runs needed, and thus also the required computation time, to obtain reliable output.","activity-based modeling; common random numbers; Scenario comparison; travel demand","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-22","","","Transport and Planning","","",""
"uuid:3fb1e828-c2de-462b-b2ca-2cba96ca2568","http://resolver.tudelft.nl/uuid:3fb1e828-c2de-462b-b2ca-2cba96ca2568","Determination of Spatially-Distributed Hydrological Ecosystem Services (HESS) in the Red River Delta Using a Calibrated SWAT Model","Hà, T.L. (TU Delft Water Resources; Institute of Water Resources Planning); Bastiaanssen, W.G.M. (TU Delft Water Resources; IrriWatch)","","2023","The principles of Integrated Water Resources Management (IWRM), conservation of natural capital, and water accounting requires Hydrological Eco-System Services (HESS) to be determined. This paper presents a modeling approach for quantifying the HESS framework using the Soil Water Assessment Tool (SWAT). SWAT was used–after calibration against remote sensing data–to quantify and spatially identify total runoff, natural livestock feed production, fuelwood from natural forests, dry season flow, groundwater recharge, root zone storage for carrying over water from wet to dry season, sustaining rainfall, peak flow attenuation, carbon sequestration, microclimate cooling, and meeting environmental flow requirements. The environmental value of the current land use and vegetation was made explicit by carrying out parallel simulations for bare soil and vegetation conditions and reporting the incremental ecosystem services. Geographical areas with more and fewer HESS are identified. The spatial and temporal variability of annual HESS services is demonstrated for the Day Basin—which is part of the Red River delta (Vietnam)—for the period 2003 to 2013. The result shows that even though the basin is abundant with HESS, e.g., 7482 m3/ha of runoff, 3820 m3/ha of groundwater recharge, the trend for many HESS values, e.g., micro-climate cooling, meeting environmental flow requirements, and rootzone storage, are declining. It is found and proven that quantified HESS indicators highlighted the provisioning and regulating characters of ecosystem services, as well as geographical hotspots across the basin. The SWAT model shows the capability of simulating terrestrial eco-hydrological processes such as climate, soil, and current land use. The methodology illustrates how eco-hydrologists can benchmark ecosystem values and include HESS in exploring river basin management scenarios, climate change studies, and land use planning.","hydrological ecosystem services; hydrological modeling; remote sensing; ecosystem service accounting; SWAT; Red River Basin","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:32b7cd0f-15b1-4519-99d9-3567976b5085","http://resolver.tudelft.nl/uuid:32b7cd0f-15b1-4519-99d9-3567976b5085","Fuzzy logic based active vibration control using novel photostrictive composites","Singh, Diwakar (Indian Institute of Technology Mandi); Sharma, S. (TU Delft Transport Engineering and Logistics); Kumar, Rajeev (Indian Institute of Technology Mandi); Chauhan, Vishal S. (Indian Institute of Technology Mandi); Vaish, Rahul (Indian Institute of Technology Mandi)","","2023","Although conventional actuators like piezoelectric and electrostrictive are efficient, but they required hard wiring, which contaminates the control signal and adds to the weight of the structure. The current study presents a wireless control strategy using photostrictive actuators. Owing to the fortunate combination of photovoltaic effect and converse piezoelectric effect, a photostrictive actuator can generate mechanical strain, when irradiated with light intensity. Limited choices of photostrictive material with high electromechanical coupling coefficient give the motivation to design photostrictive composites. The finite element-based formulation incorporating fuzzy logic controller is employed to study the active vibration control response of cantilever structure when equipped with photostrictive composite actuator. A parametric study has been carried out to study the influence of inclusion's volume fraction on wireless active vibration control of the structure. Control merits have been defined to compare the control performance of different composites. It is found that particulate composites are the better choice for lightweight structure and fiber composites are better if there is no weight constraint.","Actuator; Composite; Finite element method; Fuzzy logic control; Photostriction","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-21","","","Transport Engineering and Logistics","","",""
"uuid:cf24bdba-6144-4fd4-83d1-105d8a823e9e","http://resolver.tudelft.nl/uuid:cf24bdba-6144-4fd4-83d1-105d8a823e9e","A gap-filling algorithm selection strategy for GRACE and GRACE Follow-On time series based on hydrological signal characteristics of the individual river basins","Karimi, Hamed (Technische Universität München); Iran-Pour, Siavash (University of Isfahan); Amiri Simkooei, A. (TU Delft Optical and Laser Remote Sensing); Babadi, Masoud (University of Isfahan)","","2023","Gravity recovery and climate experiment (GRACE) and GRACE Follow-On (GRACE-FO) are Earth's gravity satellite missions with hydrological monitoring applications. However, caused by measuring instrumental problems, there are several temporal missing values in the dataset of the two missions where a long gap between the mission dataset also exists. Recent studies utilized different gap-filling methodologies to fill those data gaps. In this article, we employ a variety of singular spectrum analysis (SSA) algorithms as well as the least squares-harmonic estimation (LS-HE) approach for the data gap-filling. These methods are implemented on six hydrological basins, where the performance of the algorithms is validated for different artificial gap scenarios. Our results indicate that each hydrological basin has its special behaviour. LS-HE outperforms the other algorithms in half of the basins, whereas in the other half, SSA provides a better performance. This highlights the importance of different factors affecting the deterministic signals and stochastic characteristics of climatological time series. To fill the missing values of such time series, it is therefore required to investigate the time series behaviour on their time-invariant and time-varying characteristics before processing the series.","Gap-Filling; GRACE Follow-On; Gravity Recovery And Climate Experiment (GRACE); Least Squares-Harmonic Estimation (LS-HE); Singular Spectrum Analysis (SSA)","en","journal article","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:4617b092-564d-4ec2-b8e2-6328cd50d487","http://resolver.tudelft.nl/uuid:4617b092-564d-4ec2-b8e2-6328cd50d487","On parameter bias in earthquake sequence models using data assimilation","Banerjee, A. (TU Delft Reservoir Engineering); van Dinther, Ylona (Universiteit Utrecht); Vossepoel, F.C. (TU Delft Reservoir Engineering)","","2023","The feasibility of physics-based forecasting of earthquakes depends on how well models can be calibrated to represent earthquake scenarios given uncertainties in both models and data. We investigate whether data assimilation can estimate current and future fault states, i.e., slip rate and shear stress, in the presence of a bias in the friction parameter. We perform state estimation as well as combined state-parameter estimation using a sequential-importance resampling particle filter in a zero-dimensional (0D) generalization of the Burridge–Knopoff spring–block model with rate-and-state friction. Minor changes in the friction parameter ϵ can lead to different state trajectories and earthquake characteristics. The performance of data assimilation with respect to estimating the fault state in the presence of a parameter bias in ϵ depends on the magnitude of the bias. A small parameter bias in ϵ (+3 %) can be compensated for very well using state estimation (R2 = 0.99), whereas an intermediate bias (−14 %) can only be partly compensated for using state estimation (R2 = 0.47). When increasing particle spread by accounting for model error and an additional resampling step, R2 increases to 0.61. However, when there is a large bias (−43 %) in ϵ, only state-parameter estimation can fully account for the parameter bias (R2 = 0.97). Thus, simultaneous state and parameter estimation effectively separates the error contributions from friction and shear stress to correctly estimate the current and future shear stress and slip rate. This illustrates the potential of data assimilation for the estimation of earthquake sequences and provides insight into its application in other nonlinear processes with uncertain parameters.","","en","journal article","","","","","","","","","","","Reservoir Engineering","","",""
"uuid:2edac161-dd7d-485b-9680-ced025033319","http://resolver.tudelft.nl/uuid:2edac161-dd7d-485b-9680-ced025033319","Techno-fixing non-compliance - Geoengineering, ideal theory and residual responsibility","Sand, M. (TU Delft Ethics & Philosophy of Technology); Hofbauer, B. (TU Delft Ethics & Philosophy of Technology); Alleblas, J. (TU Delft Ethics & Philosophy of Technology)","","2023","After years of missing the agreed upon goals for carbon reduction, we might conclude that global climate policies set infeasible standards to halt climate change. The widespread non-compliance of many signees with frameworks such as the Paris Agreement indicates that these frameworks were too optimistic regarding the signees’ motivation to act. One of the suggested ways out of this impasse, is geoengineering, which is seen as a “techno-fix” of the non-compliance problem, relieving signees and other actors of some, or most, of their mitigation duties. This paper scrutinizes different approaches towards climate mitigation that focus on behavioral change or on technological solutions. We argue that these different approaches do not originate from categorically different theories of climate justice. Indeed, seemingly realistic and seemingly idealistic proposals do not disagree on the substance of climate justice, but about what is to be considered feasible. Furthermore, by applying this dialectic lens on ideal vs. non-ideal theorizing in the context of climate justice, we show that (backward-looking) residual responsibility is an overlooked aspect of geoengineering as a (forward-looking) non-ideal approach to achieve climate justice. We will outline three possible consequences of this moral residue: 1) Residual responsibility can provide grounds to demand compensation, 2) it can constitute other forward-looking responsibilities (e.g., the maintenance of geoengineering technologies) and 3) it provides a reason to employ other techno-fixes equal in effectiveness and risks that do not sidestep the problem of non-compliance.","Geoengineering; Responsibility; Techno-fix; Ideal theory; Non-compliance; Climate change","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:5fcc495e-51aa-4037-8414-ae76843b5352","http://resolver.tudelft.nl/uuid:5fcc495e-51aa-4037-8414-ae76843b5352","After a Decade of Teleimpedance: A Survey","Peternel, L. (TU Delft Human-Robot Interaction); Ajoudani, Arash (Istituto Italiano di Tecnologia)","","2023","Despite the significant progress made in making robots more intelligent and autonomous, today, teleoperation remains a dominant robot control paradigm for the execution of complex and highly unpredictable tasks. Attempts have been made to make teleoperation systems stable, easy to use, and efficient in terms of physical interactions between the follower remote robot and the environment. In particular, the emergence of torque-controlled robots has permitted to regulate the interaction forces from a distance through direct force or impedance control, enabling them to engage in complex interaction tasks. Exploiting this feature, the concept of teleimpedance control was introduced as an alternative method to bilateral force-reflecting teleoperation. The aim was to create a feed-froward yet contact-efficient teleoperation by enriching the leader commands with desired impedance profiles while executing a task. Since then, the teleimpedance concept has found its way into a wide range of interface and controller designs, as well as application domains. Accordingly, after a decade of research progress, this survey aims to provide: first, a convenient introduction of the concept to new researchers in the field, second, consolidate the existing state-of-the-art for active researchers, third, and discuss the pros and cons of different methods in terms of interface and force feedback to provide guidelines for different applications and future developments.","Force feedback; impedance control; stiffness command interface; teleimpedance; teleoperation","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-30","","","Human-Robot Interaction","","",""
"uuid:9835fecc-4736-42fc-a08c-26a811089f69","http://resolver.tudelft.nl/uuid:9835fecc-4736-42fc-a08c-26a811089f69","Microbiome, resistome and mobilome of chlorine-free drinking water treatment systems","Calderon Franco, D. (TU Delft BT/Environmental Biotechnology); Corbera Rubio, F. (TU Delft BT/Environmental Biotechnology); Cuesta Sanz, M. (TU Delft BT/Environmental Biotechnology); Pieterse, Brent (Dunea); de Ridder, David (Evides); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology); van Halem, D. (TU Delft Sanitary Engineering); Laureni, M. (TU Delft Sanitary Engineering); Weissbrodt, D.G. (TU Delft BT/Environmental Biotechnology; Norwegian University of Life Sciences (NMBU))","","2023","Drinking water treatment plants (DWTPs) are designed to remove physical, chemical, and biological contaminants. However, until recently, the role of DWTPs in minimizing the cycling of antibiotic resistance determinants has got limited attention. In particular, the risk of selecting antibiotic-resistant bacteria (ARB) is largely overlooked in chlorine-free DWTPs where biological processes are applied. Here, we combined high-throughput quantitative PCR and metagenomics to analyze the abundance and dynamics of microbial communities, antibiotic resistance genes (ARGs), and mobile genetic elements (MGEs) across the treatment trains of two chlorine-free DWTPs involving dune-based and reservoir-based systems. The microbial diversity of the water increased after all biological unit operations, namely rapid and slow sand filtration (SSF), and granular activated carbon filtration. Both DWTPs reduced the concentration of ARGs and MGEs in the water by circa 2.5 log gene copies mL−1, despite their relative increase in the disinfection sub-units (SSF in dune-based and UV treatment in reservoir-based DWTPs). The total microbial concentration was also reduced (2.5 log units), and none of the DWTPs enriched for bacteria containing genes linked to antibiotic resistance. Our findings highlight the effectiveness of chlorine-free DWTPs in supplying safe drinking water while reducing the concentration of antibiotic resistance determinants. To the best of our knowledge, this is the first study that monitors the presence and dynamics of antibiotic resistance determinants in chlorine-free DWTPs.","Drinking water treatment plants; Chlorine-free; Sand filtration; Microbiome; Resistome; Mobilome","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:bd0fd9a7-0387-430d-8fc4-f8cb870299f2","http://resolver.tudelft.nl/uuid:bd0fd9a7-0387-430d-8fc4-f8cb870299f2","Non-Parametric and Robust Sensitivity Analysis of the Weather Research and Forecast (WRF) Model in the Tropical Andes Region","Hinestroza-Ramirez, Jhon E. (Universidad EAFIT); Rengifo-Castro, Juan David (Universidad EAFIT); Quintero, Olga Lucia (Universidad EAFIT); Yarce Botero, A. (TU Delft Atmospheric Remote Sensing; Universidad EAFIT); Rendon-Perez, Angela Maria (Universidad de Antioquia)","","2023","With the aim of understanding the impact of air pollution on human health and ecosystems in the tropical Andes region (TAR), we aim to couple the Weather Research and Forecasting Model (WRF) with the chemical transport models (CTM) Long-Term Ozone Simulation and European Operational Smog (LOTOS–EUROS), at high and regional resolutions, with and without assimilation. The factors set for WRF, are based on the optimized estimates of climate and weather in cities and urban heat islands in the TAR region. It is well known in the weather research and forecasting field, that the uncertainty of non-linear models is a major issue, thus making a sensitivity analysis essential. Consequently, this paper seeks to quantify the performance of the WRF model in the presence of disturbances to the initial conditions (IC), for an arbitrary set of state-space variables (pressure and temperature), simulating a disruption in the inputs of the model. To this aim, we considered three distributions over the error term: a normal standard distribution, a normal distribution, and an exponential distribution. We analyze the sensitivity of the outputs of the WRF model by employing non-parametric and robust statistical techniques, such as kernel distribution estimates, rank tests, and bootstrap. The results show that the WRF model is sensitive in time, space, and vertical levels to changes in the IC. Finally, we demonstrate that the error distribution of the output differs from the error distribution induced over the input data, especially for Gaussian distributions.","sensitivity analysis; initial condition perturbation; ensemble approach; non-parametric statistic","en","journal article","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:6df5fba9-b547-4430-a9e1-a972b461b8f9","http://resolver.tudelft.nl/uuid:6df5fba9-b547-4430-a9e1-a972b461b8f9","Aircraft Engine Bleed Valve Prognostics Using Multiclass Gated Recurrent Unit","Lourenço Baptista, M. (TU Delft Air Transport & Operations); Prendinger, Helmut (National Institute of Informatics)","","2023","Prognostics and health management is an engineering discipline that aims to support system operation while ensuring maximum safety and performance. Prognostics is a key step of this framework, focusing on developing effective maintenance policies based on predictive methods. Traditionally, prognostics models forecast the degradation process using regression techniques that approximate a mapping function from input to continuous remaining useful life estimates. These models are typically of high complexity and low interpretability. Classification approaches are an alternative solution to these types of models. We propose a predictive classification model that translates the input into discrete output variables instead of mapping the input to a single remaining useful life estimate. Each discrete output variable corresponds to a range of remaining useful life values. In other words, each output class variable represents the likelihood or risk of failure within a specific time range. We apply this model to a real-world case study involving the unscheduled and scheduled removals of a set of engine bleed valves from a fleet of Boeing 737 aircraft. The model can reach an area under the (micro-average) receiver operating characteristic curve of 72%. Our results suggest that the proposed multiclass gated recurrent unit network can provide valuable information about the different fault stages (corresponding to intervals of residual lives) of the studied valves.","prognostics; data-driven; multiclassification; degradation stages; gated recurrent unit","en","journal article","","","","","","","","","","","Air Transport & Operations","","",""
"uuid:2904d449-a183-4d30-b6f5-f290c28ed0aa","http://resolver.tudelft.nl/uuid:2904d449-a183-4d30-b6f5-f290c28ed0aa","Operation Approval for Commercial Airborne Wind Energy Systems","Salma, V. (TU Delft Wind Energy; European Space Agency (ESA)); Schmehl, R. (TU Delft Wind Energy)","","2023","Integrating the operation of airborne wind energy systems safely into the airspace requires a systematic qualification process. It seems likely that the European Union Aviation Safety Agency will approve commercial systems as unmanned aircraft systems within the “specific” category, requiring risk-based operational authorization. In this paper, we interpret the risk assessment methodology for airborne wind energy systems, going through the ten required steps of the recommended procedure and discussing the particularities of tethered energy-harvesting systems. Although the described process applies to the entire field of airborne wind energy, we detail it for a commercial flexible-wing airborne wind energy system. We find that the air risk mitigations improve the consolidated specific assurance and integrity level by a factor of two. It is expected that the framework will increase the safety level of commercial airborne wind energy systems and ultimately lead to operation approval.","airborne wind energy; ethered UAS; pecific operations risk assessment; SORA; CONOPS; SAIL; specific category; certification; safety requirements","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:08c2f076-b8d0-4c44-931d-7dc922471367","http://resolver.tudelft.nl/uuid:08c2f076-b8d0-4c44-931d-7dc922471367","Analyzing Sustainability Awareness and Professional Ethics of Civil Engineering Bachelor’s Degree Students","Mares Nasarre, P. (TU Delft Hydraulic Structures and Flood Risk); Martínez-Ibáñez, Víctor (Universitat Politécnica de Valencia); Sanz-Benlloch, Amalia (Universitat Politécnica de Valencia)","","2023","Teaching sustainability and ethics to engineering students is a challenging but necessary task that has been increasingly investigated during the last few years. In this research, a systematic method to identify the level of awareness in students about sustainability and ethics is developed. Here, it is applied to students studying the Civil Engineering bachelor’s degree at the School of Civil Engineering of Universitat Politècnica de València (UPV), though this method is potentially applicable to equivalent ABET and EUR-ACE accredited bachelor’s degrees. A survey was performed, and data was analyzed using Analytical hierarchical process technique; this technique determines the relative importance of each criterion, as well as the consistency of the emitted judgements, in an objective manner. These results allowed to discover that students do not have a robust opinion related to sustainability and ethics, except those students with previous experience in the construction sector. Environmental and social dimensions of sustainability and ethics were identified as the main focuses to emphasize in the civil engineering curriculum. Finally, actions to boot these principles are also proposed; potential courses where sustainability and ethics concepts could be explicitly included were selected and the inclusion of an environmental budget in the bachelor thesis was recommended, among others suggestions.","education; sustainability; professional ethics; civil engineering; sustainable development goals; professional skills","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:fdb6d968-2d3b-4527-9302-8930174d19e7","http://resolver.tudelft.nl/uuid:fdb6d968-2d3b-4527-9302-8930174d19e7","Fatigue behavior evaluation of full-scale OSD-UHPC composite bridge deck system","Shi, Z. (TU Delft Steel & Composite Structures; Tongji University); Su, Qingtian (Tongji University; Shanghai Engineering Research Center of High Performance Composite Bridges); Kavoura, Dr. Florentia (TU Delft Steel & Composite Structures); Veljkovic, M. (TU Delft Steel & Composite Structures)","","2023","To investigate the fatigue performance and fatigue damage process of the Orthotropic Steel Deck (OSD) - Ultra-High Performance Concrete (UHPC) composite bridge deck, a two-span continuous full-scale specimen was designed and tested under cyclic loading. Test results showed that the fatigue cracks firstly initiated near the lower part of the weld toe of the rib-to-cross beam welded joint, and then cracks along the weld length of the U-rib butt-welded joint developed. These observations followed by the OSD-UHPC interface debonding. The U-rib bolted joint exhibited better fatigue resistance than the U-rib butt-welded joint. The S-N curves of the rib-to-cross beam welded joint, the U-rib butt-welded joint and the U-rib bolted joint were established based on existing fatigue test data, and were compared with provisions in design codes. The S-N curves from the beam test for the short-headed stud connectors were compared with that from the push-out test. And the established S-N curves with 95% survival probability from the push-out test could be used to assess the global fatigue performance of the composite deck. Considering the durability-based critical crack width of UHPC, the established tensile S-N curve regarding critical UHPC crack width of 0.05 mm could be used to evaluate the anti-fatigue cracking ability of the UHPC layer in the composite deck system.","OSD-UHPC composite deck; Fatigue damage; S-N curve; Fatigue behavior evaluation; Fatigue strength","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-15","","","Steel & Composite Structures","","",""
"uuid:65284622-f89d-41cb-a3ad-57034d5bb9a5","http://resolver.tudelft.nl/uuid:65284622-f89d-41cb-a3ad-57034d5bb9a5","Adopting BIM to Facilitate Dispute Management in the Construction Industry: A Conceptual Framework Development","Wang, Jinpeng (The University of Manchester); Zhang, Shang (Suzhou University of Science and Technology); Fenn, Peter (The University of Manchester); Luo, Xiaowei (City University of Hong Kong); Liu, Y. (TU Delft Integral Design & Management; Nanjing University); Zhao, Lilin (Loughborough University)","","2023","Previous studies revealed that Building Information Modeling (BIM) has the potential to reduce project uncertainties, design errors, change orders, and delays, which might facilitate achieving effective dispute management in the construction industry. However, research into the adoption of BIM to holistically enhance effective dispute management is limited compared with the plentiful BIM research in the construction management field. This study explored whether and how BIM adoption can help minimize the chronic problem of dispute in the industry. A structured critical literature review method was employed in this study which involved 102 papers in the fields of BIM and construction disputes. Nine main common causes of disputes (e.g., change order, design error, site problem, contractual problem, payment problem, and delay) and eight primary benefits of BIM application (e.g., improved visual management, design optimization, improved information management, and enhanced collaboration) were identified. A conceptual framework was developed illustrating the mechanism of adopting BIM to facilitate dispute management in the overall life cycle of construction projects. The framework indicates that design error, delay, and change order can be reduced most significantly by most of the BIM benefits, whereas improved visual management, improved information management, and enhanced collaboration are three of the most frequently adopted BIM benefits that can settle the majority of dispute causes. This study contributes to dispute management with a more holistic view of adopting BIM in the life cycle of construction projects, as illustrated in the conceptual framework. In addition, the identified common causes of disputes and primary benefits of BIM application are valuable for on future research in these two areas.","BIM benefits; Building Information Modeling (BIM); Conceptual framework; Dispute causes; Literature review","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Integral Design & Management","","",""
"uuid:c588c355-b7f0-41d8-8d0e-1ff4d5b434ad","http://resolver.tudelft.nl/uuid:c588c355-b7f0-41d8-8d0e-1ff4d5b434ad","Plasters with mixed-in crystallization inhibitors: Results of a 4-year monitoring of on-site application","Lubelli, B. (TU Delft Heritage & Technology); des Bouvrie, Ernst (Independent researcher); Nijland, Timo G. (TNO); Kamat, Ameya (TU Delft Heritage & Technology)","","2023","Salt crystallization is a major cause of weathering of mortars, including plasters and renders. In the last decade, the use of mixed-in salt crystallization inhibitors in mortars has been proposed as a solution to improve the durability of this material with respect to salt decay. Laboratory characterization and accelerated weathering tests have shown encouraging results. However, data on the long-term behaviour of these mortars when applied on-site were missing until now .In this research the durability with respect to salt decay of a lime-based plaster and a salt accumulating plaster has been assessed. These plasters, with and without sodium ferrocyanide, a well-known inhibitor of sodium chloride crystallization, have been applied to an interior brick masonry wall with a high salt (sodium chloride) and moisture load and monitored for a period of 4 years. Monitoring included visual and photographic observations of the damage as well as measurements of the moisture and salt content and distribution, both in the wall and in the plaster. Moreover, the content and distribution of the inhibitor in the plaster after 4 year exposure was measured, to gain insight into the dissolution and transport of the inhibitor. The results of the research clearly show that the inhibitor is able to significantly reduce the occurrence of salt-induced decay in the lime-based plaster, in comparison to the plaster without inhibitor. No conclusions can be drawn in the case of the salt accumulating plaster, as no decay has developed yet in this case. Two issues related to leaching of the inhibitor and surface discolouration have emerged. These are discussed and possible solutions are proposed.","Salt crystallization; Crystallization inhibitor; Mortar; Plaster; Sodium ferrocyanide; Sodium chloride","en","journal article","","","","","","","","","","","Heritage & Technology","","",""
"uuid:204279bf-f979-47bd-88dc-e6e6b5287108","http://resolver.tudelft.nl/uuid:204279bf-f979-47bd-88dc-e6e6b5287108","A numerical assessment of variable saturation of the upper layers on the ground borne vibrations from underground trains: A case history","Pontani, Nicola (Politecnico di Milano); Martinelli, Luca (Politecnico di Milano); Acquati, Marco (MM S.p.A.); Jommi, C. (TU Delft Geo-engineering; Politecnico di Milano)","","2023","Ground borne vibrations generated by the passage of underground trains may change over time due to objective causes, such as increasing weight and speed of trains or ageing of the infrastructure components, as well as a variation in the dynamic response of the soil surrounding the tunnel. Among the possible causes of changes in the soil dynamic response, its hydrologic state has been seldom investigated. In this contribution, the role played by the conditions of the soil above the water table is addressed, starting from a case history in the city of Milano. Two-dimensional plane strain numerical models have been developed for the infrastructure. The models were calibrated on the results of two geophysical investigations performed at the same site in the city centre, but at two different times, which allowed distinguishing different dynamic responses. The system was excited by a synthetic load time history, matching a reference dynamic load spectrum included in Italian recommendations. Limitations of using this input on a 2D plane strain model were assessed by comparing the computed vibrations with experimental acceleration records collected on the tunnel. The results of the two numerical models are compared with those of a simulation performed assuming fully dry conditions above the water table. Overall, the set of analyses shows that even small changes in the dynamic response of the soil, interpretated as a consequence of variable saturation, may result in a change of a few decibels in the acceleration levels. Much larger accelerations are predicted on average with the simpler dry model, clearly showing the advantages of a more accurate modelling strategy.","FE numerical analysis; Geophysical investigation; Ground borne vibrations; Partial saturation; Underground trains","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-25","","","Geo-engineering","","",""
"uuid:9db9f1dd-bf55-4350-9737-ee4793ac7fb0","http://resolver.tudelft.nl/uuid:9db9f1dd-bf55-4350-9737-ee4793ac7fb0","Coupling Relationship between Rural Settlement Patterns and Landscape Fragmentation in Woodlands and Biological Reserves: A Case of Nanshan National Park","Li, Bo (Central South University China); Ouyang, Hao (Central South University China); Wang, T. (TU Delft Design & Construction Management); Dong, Tian (Central South University China)","","2023","Exploring the influence of settlement patterns on the landscape fragmentation in woodlands and biological reserves is key to achieving ecologically sustainable development. In this research, we chose the Nanshan National Park in Hunan Province, China, as a case study, to explore the influence mechanisms. First, we identified the biological reserves through the landscape security patterns of biological conservation. Second, we constructed a coupling coordination model to analyze the coupling relationship between the settlement patterns and landscape fragmentation in the woodlands and biological reserves. The analysis showed that, overall, the effect of the settlement area on the landscape fragmentation in the biological reserves was more pronounced, while the effect of the settlement spread and shape on the landscape fragmentation in the woodlands was more obvious. From a type-specific perspective, we analyzed the coupling relationship between the settlement patterns and (1) the landscape fragmentation in different woodlands and (2) the landscape fragmentation in the biological reserves, namely concerning Leiothrix lutea and Emberiza aureola. We found that the effect of the settlement patterns on the landscape fragmentation of the Leiothrix lutea biological reserve was more significant than that of the landscape fragmentation of its main habitat, the evergreen broad-leaved forest. The effect of settlement patterns on the landscape fragmentation of the Emberiza aureola biological reserve was more significant than that of the landscape fragmentation of its other habitats. In addition, the results demonstrated that the habitat protection of the woodlands was not a substitute for the systematic protection of biosecurity patterns. This research could assist in developing more efficient conservation measures for ecologically protected sites with rural settlements.","settlement pattern; woodland ecosystem; landscape security pattern; landscape fragmentation; coupling coordination degree","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:87e1af3f-f159-4783-975d-e1c957f5d74d","http://resolver.tudelft.nl/uuid:87e1af3f-f159-4783-975d-e1c957f5d74d","Time-Domain Modelling of Pulsed Photoconducting Sources - Part I: The Norton Equivalent Circuit","Neto, A. (TU Delft Tera-Hertz Sensing); Llombart, Nuria (TU Delft Tera-Hertz Sensing); Freni, Angelo (University of Florence)","","2023","In the circuit theory, the Norton and Thevenin equivalent generators are tools that simplify the solutions of networks involving passive or active components. They have been extensively used in the frequency domain to describe time-harmonic sources. A time-stepped evolution is instead typically used to include transient sources. As a particular case of the latter, the Norton equivalent circuit is extended here to investigate pulsed photoconducting sources, where a dc bias voltage and a pulsed optical laser are combined to generate terahertz (THz) bursts. The proposed derivation relies on the application of the electromagnetic (EM) equivalence theorem. The main conclusion of this derivation is the understanding that, from the three different spectral regions (dc, THz, and optics), only the THz radiation is to be explicitly included in the equivalent circuit. The theory is validated by a campaign of measurements reported in a connected paper.","Equivalence theorem; Norton equivalent circuit; photoconductive (PC) sources","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-27","","","Tera-Hertz Sensing","","",""
"uuid:7ad5230e-3d16-4fae-87f2-6f82e05031d3","http://resolver.tudelft.nl/uuid:7ad5230e-3d16-4fae-87f2-6f82e05031d3","Hydrogen Storage on Porous Carbon Adsorbents: Rediscovery by Nature-Derived Algorithms in Random Forest Machine Learning Model","Thanh, Hung Vo (Van Lang University); Ebrahimnia Taremsari, Sajad (Payame Noor University (PNU)); Ranjbar, Benyamin (Politecnico di Torino); Mashhadimoslem, Hossein (Iran University of Science and Technology; University of Waterloo); Rahimi, E. (TU Delft Materials Science and Engineering); Rahimi, Mohammad (Ferdowsi University of Mashhad); Elkamel, Ali (University of Waterloo; Khalifa University of Science and Technology)","","2023","Porous carbons as solid adsorbent materials possess effective porosity characteristics that are the most important factors for gas storage. The chemical activating routes facilitate hydrogen storage by adsorbing on the high surface area and microporous features of porous carbon-based adsorbents. The present research proposed to predict H2 storage using four nature-inspired algorithms applied in the random forest (RF) model. Various carbon-based adsorbents, chemical activating agents, ratios, micro-structural features, and operational parameters as input variables are applied in the ML model to predict H2 uptake (wt%). Particle swarm and gray wolf optimizations (PSO and GWO) in the RF model display accuracy in the train and test phases, with an R2 of ~0.98 and 0.91, respectively. Sensitivity analysis demonstrated the ranks for temperature, total pore volume, specific surface area, and micropore volume in first to fourth, with relevancy scores of 1 and 0.48. The feasibility of algorithms in training sizes 80 to 60% evaluated that RMSE and MAE achieved 0.6 to 1, and 0.38 to 0.52. This study contributes to the development of sustainable energy sources by providing a predictive model and insights into the design of porous carbon adsorbents for hydrogen storage. The use of nature-inspired algorithms in the model development process is also a novel approach that could be applied to other areas of materials science and engineering.","hydrogen storage; machine learning; nature-based algorithms; random forest","en","journal article","","","","","","","","","","Materials Science and Engineering","","","",""
"uuid:285ecc18-3457-4d6e-95ce-6d229906184f","http://resolver.tudelft.nl/uuid:285ecc18-3457-4d6e-95ce-6d229906184f","Challenges for Quality Control in Temperature-Controlled Import Supply Chains","van der Klein, Michel (Rotterdam University of Applied Sciences); Verduijn, Thierry (Rotterdam University of Applied Sciences); van Duin, Ron (TU Delft Transport and Logistics; Rotterdam University of Applied Sciences)","","2023","Technology provides offline and real-time visibility on the conditions of the cargo in the transport chain and creates opportunities to intervene in the supply chain execution to safeguard the quality of the products. However, end-to-end supply chain solutions to monitor and guarantee real-time product quality are lagging in implementation. The adoption of new end-to-end supply chain solutions and technologies requires a solid definition and understanding of quality and the impact of the supply chain on the loss of quality and its commercial consequences. In this paper, we present an explorative analysis of three cases in which the drivers for quality is linked to supply chain improvements and control and the selection of solutions and technologies.","Temperature control; Quailty control; Reefers; Supply chain","en","conference paper","","","","","","","","","","","Transport and Logistics","","",""
"uuid:f8c41b98-2ac5-4e0f-a2bd-3558d401c598","http://resolver.tudelft.nl/uuid:f8c41b98-2ac5-4e0f-a2bd-3558d401c598","Fleet planning under demand and fuel price uncertainty using actor–critic reinforcement learning","Geursen, Isaak L. (Ortec B.V.); Santos, Bruno F. (TU Delft Air Transport & Operations); Yorke-Smith, N. (TU Delft Algorithmics)","","2023","Current state-of-the-art airline planning models face computational limitations, restricting the operational applicability to problems of representative sizes. This is particularly the case when considering the uncertainty necessarily associated with the long-term plan of an aircraft fleet. Considering the growing interest in the application of machine learning techniques to operations research problems, this article investigates the applicability of these techniques for airline planning. Specifically, an Advantage Actor–Critic (A2C) reinforcement learning algorithm is developed for the airline fleet planning problem. The increased computational efficiency of using an A2C agent allows us to consider real-world-sized problems and account for highly-volatile uncertainty in demand and fuel price. The result is a multi-stage probabilistic fleet plan describing the evolution of the fleet according to a large set of future scenarios. The A2C algorithm is found to outperform a deterministic model and a deep Q-network algorithm. The relative performance of the A2C increases as more complexity is added to the problem. Further, the A2C algorithm can compute a multi-stage fleet planning solution within a few seconds","Airline fleet planning; Stochastic optimisation; Reinforcement learning; Advantage Actor–Critic; Fuel price uncertainty","en","journal article","","","","","","","","","","","Air Transport & Operations","","",""
"uuid:2ab5994a-8dbd-4d5b-ad0e-30a8eb9bee6c","http://resolver.tudelft.nl/uuid:2ab5994a-8dbd-4d5b-ad0e-30a8eb9bee6c","Asgard/NOTT: L -band nulling interferometry at the VLTI: I. Simulating the expected high-contrast performance","Laugier, Romain (Katholieke Universiteit Leuven); Defrère, Denis (Katholieke Universiteit Leuven); Woillez, Julien (European Southern Observatory); Courtney-Barrer, Benjamin (European Southern Observatory; Australian National University); Dannert, Felix A. (ETH Zürich; National Center of Competence in Research PlanetS); Matter, Alexis (Université Côte d'Azur); Dandumont, Colin (Université de Liège); Gross, Simon (Macquarie University); Loicq, J.J.D. (TU Delft Spaceborne Instrumentation; Université de Liège)","","2023","Context. NOTT (formerly Hi-5) is a new high-contrast L′ band (3.5–4.0 µm) beam combiner for the VLTI designed with an ambitious aim to be sensitive to young giant exoplanets down to 5 mas separation around nearby stars. The performance of nulling interferometers in these wavelengths is affected both by fundamental noise from the background and contributions of instrumental noise. This motivates the development of end-to-end simulations to optimize these instruments.
Aims. The aim of this study is to enable a performance evaluation of NOTT and inform the design of such instruments with current and future infrastructures in mind, taking into account the different sources of noise and their correlation.
Methods. SCIFYsim is an end-to-end simulator for single-mode-filtered beam combiners, with an emphasis on nulling interferometers. We use it to compute a covariance matrix of the errors. We then use statistical detection tests based on likelihood ratios to compute compound detection limits for the instrument.
Results. With the current assumptions as to the performance of the wavefront correction systems, the errors are dominated by correlated instrumental errors down to stars of magnitude 6–7 in the L band, beyond which thermal background from the telescopes and relay system becomes dominant.
Conclusions. SCIFYsim is suited to anticipating some of the challenges of design, tuning, operation, and signal processing for integrated-optics beam combiners. The detection limits found for this early version of NOTT simulation with the unit telescopes are compatible with detections at contrasts up to 105 in the L band at separations of 5–80 mas around bright stars.","techniques: interferometric; techniques: high angular resolution; methods: data analysis; methods: statistical","en","journal article","","","","","","","","","","","Spaceborne Instrumentation","","",""
"uuid:a80547b8-0092-42f9-b75e-bc4e3d78ca85","http://resolver.tudelft.nl/uuid:a80547b8-0092-42f9-b75e-bc4e3d78ca85","Modeling driver steering behavior in restricted-preview boundary-avoidance tasks","van der El, Kasper (TU Delft Control & Simulation); Pool, D.M. (TU Delft Control & Simulation); van Paassen, M.M. (TU Delft Control & Simulation); Mulder, Max (TU Delft Control & Simulation)","","2023","In the design of human-like steering support systems, driver models are essential for matching the supporting automation's behavior to that of the human driver. However, current driver models are very limited in capturing the driver's adaptation to key task variables such as road width and visibility (i.e., 'preview' of the road ahead). This paper uses a recently proposed, novel control-theoretical model for centerline tracking to investigate driver steering in lane-keeping tasks with restricted and unrestricted preview, in an attempt to substantially extend this model's validity. Using data from a tailored driving simulator experiment, three driver control loops (feedforward, heading and position feedback) are separately quantified using system identification techniques. The results show that when preview is restricted, drivers use all of the remaining preview to anticipate the curves of the road ahead, and are no longer able to 'smooth' tight curves in the road trajectory (i.e., corner cutting). When sufficient preview and lane width are available, the time to line crossing increases, and steering behavior is less aggressive and more intermittent, or more 'satisficing'. The novel driver steering model captures these adaptations very well (over 95% of the steering actions) and can thereby be instrumental in realizing human-like steering automation and support systems.","Driver behavior; Driver modeling; Preview; Reduced visibility; Steering","en","journal article","","","","","","","","","","","Control & Simulation","","",""
"uuid:bb86eace-0292-48fb-80e4-e73f8bc667b7","http://resolver.tudelft.nl/uuid:bb86eace-0292-48fb-80e4-e73f8bc667b7","Low- and High-Fidelity Aerodynamic Simulations of Box Wing Kites for Airborne Wind Energy Applications","Eijkelhof, D. (TU Delft Wind Energy); Buendía Vela, Gabriel (Student TU Delft); Schmehl, R. (TU Delft Wind Energy)","","2023","High aerodynamic efficiency is a key design driver for airborne wind energy systems as it strongly affects the achievable energy output. Conventional fixed-wing systems generally use aerofoils with a high thickness-to-chord ratio to achieve high efficiency and wing loading. The box wing concept suits thinner aerofoils as the load distribution can be changed with a lower wing span and structural reinforcements between the upper and lower wings. This paper presents an open-source toolchain for reliable aerodynamic simulations of parameterized box wing configurations, automating the design, meshing, and simulation setup processes. The aerodynamic tools include the steady 3D panel method solver APAME and the CFD-solver OpenFOAM, which use a steady Reynolds-Averaged Navier–Stokes approach with k- (Formula presented.) SST turbulence model. The finite-volume mesh for the CFD-solver is generated automatically with Pointwise using eight physical design parameters, five aerofoil profiles and mesh refinement specifications. The panel method provided accurate and fast results in the linear lift region. For higher angles of attack, CFD simulations with high- to medium-quality meshes were required to obtain good agreement with measured lift and drag coefficients. The CFD simulations showed that the upper wing stall lagged behind the lower wing, increasing the stall angle of attack compared to conventional fixed-wing kites. In addition, the wing tip boundary layer separation was delayed compared to the wing root for the straight rectangular box wing. Choosing the design point and operational envelope wisely can enhance the aerodynamic performance of airborne wind energy kites, which are generally operated at a large angle of attack to maximise the wing loading and tether force, and through that, the power output of the system.","aerodynamics; box wing kites; airborne wind energy; reference model","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:c2c2a09a-5fee-4c76-8d44-0b9999071d16","http://resolver.tudelft.nl/uuid:c2c2a09a-5fee-4c76-8d44-0b9999071d16","Response times in drivers' gap acceptance decisions during overtaking","Sevenster, A.L.A. (TU Delft Cognitive Robotics); Farah, H. (TU Delft Transport and Planning); Abbink, D.A. (TU Delft Cognitive Robotics); Zgonnikov, A. (TU Delft Cognitive Robotics)","","2023","Overtaking on two-lane roads can lead to increased collision risks due to drivers' errors in evaluating whether or not to accept the gap to the vehicle in the opposite lane. Understanding these gap acceptance decisions can help mitigate the risks associated with overtaking. Previous research on overtaking has focused on the factors influencing gap acceptance decisions. However, the cognitive processes underlying gap acceptance decisions remain poorly understood. Previous studies have shown that response time (i.e. the time it takes the driver to evaluate the gap and make a decision) can provide valuable insights into the cognitive processes during gap acceptance decisions, in particular in pedestrian crossing and left turn decisions. However, the more complex nature of the overtaking maneuver renders it difficult to measure response times in overtaking. As a result, response times in overtaking have not been investigated, thereby limiting our understanding of overtaking behavior. To address this gap, in this paper we propose a method to measure response time in drivers' overtaking decisions and demonstrate this method in a driving simulator experiment (N=25). Specifically, we analyzed the effect of distance to the oncoming vehicle and speed of the ego vehicle on response time in accepted and rejected gaps. We found that response times for rejected gaps were on average longer than for accepted gaps. The response times increased with the distance gap and decreased with the initial velocity of the ego vehicle. We conclude that using the proposed method for measuring response time can give insight in the way drivers make gap acceptance decisions during overtaking. These results provide basis for cognitive process models that can help further understand overtaking decisions.","Driver behavior; Driving simulator studies; Gap acceptance; Overtaking; Response times","en","journal article","","","","","","","","","","Cognitive Robotics","","","",""
"uuid:99aef80e-80be-4de2-899f-1c702dc9b264","http://resolver.tudelft.nl/uuid:99aef80e-80be-4de2-899f-1c702dc9b264","“So what if ChatGPT wrote it?” Multidisciplinary perspectives on opportunities, challenges and implications of generative conversational AI for research, practice and policy","Dwivedi, Yogesh K. (Symbiosis Institute of Business Management, Pune); Kshetri, Nir (Bryan School of Business and Economics); Hughes, Laurie; Balakrishnan, Janarthanan (National Institute of Technology - Tiruchirappalli); Buhalis, Dimitrios (Bournemouth University Business School); Dennehy, Denis; Dubey, Rameshwar (Liverpool Business School); Janssen, M.F.W.H.A. (TU Delft Engineering, Systems and Services)","","2023","Transformative artificially intelligent tools, such as ChatGPT, designed to generate sophisticated text indistinguishable from that produced by a human, are applicable across a wide range of contexts. The technology presents opportunities as well as, often ethical and legal, challenges, and has the potential for both positive and negative impacts for organisations, society, and individuals. Offering multi-disciplinary insight into some of these, this article brings together 43 contributions from experts in fields such as computer science, marketing, information systems, education, policy, hospitality and tourism, management, publishing, and nursing. The contributors acknowledge ChatGPT's capabilities to enhance productivity and suggest that it is likely to offer significant gains in the banking, hospitality and tourism, and information technology industries, and enhance business activities, such as management and marketing. Nevertheless, they also consider its limitations, disruptions to practices, threats to privacy and security, and consequences of biases, misuse, and misinformation. However, opinion is split on whether ChatGPT's use should be restricted or legislated. Drawing on these contributions, the article identifies questions requiring further research across three thematic areas: knowledge, transparency, and ethics; digital transformation of organisations and societies; and teaching, learning, and scholarly research. The avenues for further research include: identifying skills, resources, and capabilities needed to handle generative AI; examining biases of generative AI attributable to training datasets and processes; exploring business and societal contexts best suited for generative AI implementation; determining optimal combinations of human and generative AI for various tasks; identifying ways to assess accuracy of text produced by generative AI; and uncovering the ethical and legal issues in using generative AI across different contexts.","ChatGPT; Conversational agent; Generative AI; Generative artificial intelligence; Large language models","en","journal article","","","","","","","","","","Engineering, Systems and Services","","","",""
"uuid:180d19a8-61c6-4652-8331-0f44941625cb","http://resolver.tudelft.nl/uuid:180d19a8-61c6-4652-8331-0f44941625cb","Multiple-axle box acceleration measurements at railway transition zones","Unsiwilai, S. (TU Delft Railway Engineering); Wang, L. (TU Delft Railway Engineering); Nunez, Alfredo (TU Delft Railway Engineering); Li, Z. (TU Delft Railway Engineering)","","2023","This paper presents a methodology for monitoring transition zones using responses of multiple-axle box acceleration (multi-ABA) measurements. The time–frequency characteristics of the vertical ABA signals from four wheelsets are analyzed. The major contributions are as follows. (1) We propose four key performance indicators (KPIs) to quantify local multi-ABA energy differences at different abutments, tracks, entrance and exit sides, and inner and outer rails. (2) The same dominant spatial frequencies are obtained with different measurement speeds, so the proposed method is suitable for multi-ABA systems mounted on operational trains. Transition zones at nine double-track railway bridges are selected as the case study. The KPIs indicate that (1) the energy differences between abutments are above 80% in three bridges; (2) two abutments show that the energy differences between tracks are higher than 100%; (3) three tracks have energy differences above 100% between the entrance and exit sides; and (4) the energy differences between rails are above 80% on three sides. Finally, using measurement with 7 years of difference, the KPIs and track quality index are discussed. These findings suggest that multi-ABA measurement can be used as a health condition monitoring method for railway transition zones to support condition-based maintenance.","Transition zones; Railway infrastructure; Axle box acceleration; Time-frequency analysis; Onboard measurement","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:bca73621-a728-4a15-96a8-4dfc69e17bf2","http://resolver.tudelft.nl/uuid:bca73621-a728-4a15-96a8-4dfc69e17bf2","The Impact of Covid-19 Pandemic on Value Migration Processes in the Real Estate Sector","Kowalski, Michał J. (Wrocław University of Technology); Wang, T. (TU Delft Design & Construction Management); Kazak, Jan K. (Wroclaw University of Environmental and Life Sciences)","","2023","The COVID-19 pandemic period brings huge uncertainty, especially for the real estate sector. On the one hand, restrictions on the mobility of a population, decline in travel demands, popularization of remote work and education models caused doubts among investors questioning the future of the sector and the functions of real estate. On the other hand, the large supply of cash after the first waves of the pandemic and the upcoming increase in inflation resulted in many investors boldly investing cash in real estate, considering them as assets of stable value. This research aims to analyze the processes of value migration among real estate companies listed on the Warsaw Stock Exchange during this turbulent process. We analyzed the changes in the main drivers of value and the migration rates of the real estate sector based on data for 2018, 2019, 2020 and Q3 2021. The first period of the pandemic is the time of the collapse of the main profitability factors, sales and profitability of sales decline in the entire sector. However, the financial pillars of the analyzed companies are stable and we are not seeing signs of liquidity problems or a significant increase in debt. The second year of the pandemic is a significant reflection of most of the drivers of value, often to levels higher than those observed before the pandemic. Investors seem to remain insensitive to turbulent changes in accounting measures of effectiveness. We observe the relative stability of market measures. The processes of the migration of values from and to the sector do not show significant changes when comparing the periods before and during the pandemic. We observe various intensities of the studied phenomena in various groups of the real estate segment.","COVID-19; real estate market; value migration","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:5e41f6de-f695-44ed-889b-024e3a1109d9","http://resolver.tudelft.nl/uuid:5e41f6de-f695-44ed-889b-024e3a1109d9","Aanscherping en vergroening van de regelgeving voor de industrie: Het Voorstel tot wijziging van de Richtlijn industriële emissies","Boeve, M.N. (TU Delft Urban Development Management); van 't Lam, V.M.Y.","","2023","Al langere tijd was bekend dat de Europese Commissie de Richtlijn industriële emissies (RIE) evalueert. De richtlijn is van toepassing op de meest milieubelastende industriële installaties en veehouderijen in de Europese Unie, zo’n 52.000 in totaal. In 2020 zijn de uitkomsten van deze evaluatie gedeeld. Daaruit blijkt dat zaken goed gaan, maar ook dat verbeteringen van de RIE nodig zijn gelet op de ambities die de EU heeft ten aanzien van klimaat en stoffen met name op het gebied van grondstoffenefficiëntie, circulaire economie, innovatie en CO2-reductie.","","nl","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care. Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-01","","","Urban Development Management","","",""
"uuid:d883c231-8331-4fa6-9d70-e52c4aad1e08","http://resolver.tudelft.nl/uuid:d883c231-8331-4fa6-9d70-e52c4aad1e08","Supplementing Haptic Feedback in Flight Envelope Protection Through Visual Display Indications","de Rooij, G. (TU Delft Control & Simulation); van Baelen, D. (TU Delft Control & Simulation); Borst, C. (TU Delft Control & Simulation); van Paassen, M.M. (TU Delft Control & Simulation); Mulder, Max (TU Delft Control & Simulation)","","2023","Haptic cues on the side stick are a promising method to reduce loss of control in-flight incidents. They can be intuitively interpreted and provide immediate support, leading to a shared control system. However, haptic interfaces are limited in providing information, and the reason for cues may not always be clear to pilots. This study presents the results of the conceptual development of visual display symbology that supports haptic feedback on the side stick in communicating flight envelope boundaries to pilots. Novel indications for the limits of airspeed, load factor, angle of attack, and angle of bank, which for the first time simultaneously indicate magnitude and direction of the haptic cues, were integrated in an Airbus primary flight display. The symbology was tested in a pilot-in-the-loop experiment with professional Airbus pilots (N=16) flying several approaches in alternate law with haptic feedback. Objective results do not show clear improvements, although the time spent outside the flight envelope is slightly reduced. Subjective results indicate a preference, however, for the new display and an increased understanding of the haptic feedback. Further research is recommended to improve the interface design, remove unused indications, and test a bank scenario using current operational bank limits.","Flight Envelope Protection; Flight Display Systems; Aircraft Flight Control System; Airbus Aircraft; Primary Flight Display; Flight Deck; Human-In-The-Loop; Angle of Attack; Human-Machine Interaction","en","journal article","","","","","","","","","","","Control & Simulation","","",""
"uuid:13b07120-6a7f-4661-9e31-a8639ff8b3f1","http://resolver.tudelft.nl/uuid:13b07120-6a7f-4661-9e31-a8639ff8b3f1","Improved DQN-Based Computation Offloading Algorithm in MEC Environment","Zhao, Zheyu (University of Science and Technology of China); Cheng, H. (TU Delft Computer Engineering); Xu, Xiaohua (University of Science and Technology of China)","Ceballos, C. (editor)","2023","Massive terminal users have brought explosive need of data residing at edge of overall network. Multiple Mobile Edge Computing (MEC) servers are built in/near base station to meet this need. However, optimal distribution of these servers to multiple users in real time is still a problem. Reinforcement Learning (RL) as a framework to solve interaction problem is a promising solution. In order to apply RL based algorithm into a multi-agent environment, we propose an iterative scheme: select individual users with priorities to interact with the environment iteratively one at a time Furthermore, we tried to optimize the overall system performance based on this scheme. Hence, we construct three objective system performance indicators: average processing cost, delay and energy consumption, improve the existing Deep Q-learning Network (DQN) by using the cost as reward function, changing the fixed exploitation rate into dynamic one that associated with reward and episode time. In order to explore the performance potential of the proposed algorithm, we have simulated the proposed algorithm, DQN algorithm and greedy algorithm under different users and data sizes. The results show that the proposed algorithm had reduced at least 12% of system average processing cost comparing to the greedy algorithm. It also outperform the greedy algorithm and DQN algorithm in delay and energy consumption significantly.","Mobile Edge Computing; Computation Offloading; Reinforcement Learning; Deep Q-Learning Network","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-27","","","Computer Engineering","","",""
"uuid:5a78f0c0-ffa0-49e4-91a5-3c32f86be924","http://resolver.tudelft.nl/uuid:5a78f0c0-ffa0-49e4-91a5-3c32f86be924","Exploring the realities of opportunity management [PPT]","Verbraeck, A. (TU Delft Policy Analysis); Bosch-Rekveldt, M.G.C. (TU Delft Integral Design & Management); Rye, Sara (London South Bank University, London); Simon, Peter (Lucidus Consulting; APM Risk SIG)","","2023","Project Risk Management helps with achieving project objectives, especially those concerning cost, time and quality. In the current APM definition, project risks are uncertain events or circumstances that may or may not happen. Risks are either threats that hurt the project objectives, or opportunities that are positive for the project objectives. Over time, project professionals have expressed concern with the idea that risks can be ‘positive’, since it does not align with the thinking in the non-project world, where risks are always negative. There was also the feeling that opportunities are often not identified, or treated differently from threats.","","en","other","","","","","","","","","","","Policy Analysis","","",""
"uuid:ee852ac6-d3f8-4da7-a112-97fac02d963f","http://resolver.tudelft.nl/uuid:ee852ac6-d3f8-4da7-a112-97fac02d963f","Targeting modular adaptive façade personalization in a shared office space using fuzzy logic and genetic optimization","Tabadkani, Amir; Nikkhah Dehnavi, Arman (Shahid Beheshti University); Mostafavi, F. (TU Delft History, Form & Aesthetics); Naeini, Hoorie Ghorbani (University of Tehran)","","2023","In shared office spaces, occupants' comfort criteria are limited to locally controlled zones while ambient features of the environment and the potential negative impacts of others' behavior require a well-designed control system, especially over adaptive façade elements. This means setting up control strategies for a wider spectrum of varying comfort perceptions from person to person dictates an approach towards personalizing adaptive facades. Thereby, this research coupled a simulation-based methodology with fuzzy logic and a genetic algorithm to personalize façade modules based on the visual discomfort conditions of the occupants. Results confirmed that increasing the control freedom by personalization accounting for multi-objective criteria including glare, daylight, and view could satisfy occupants from 83% to 100%. Moreover, the proposed façade personalization framework could enhance visual comfort compared with two typical automated Venetian blind controls, significantly. This study provides novel insights for designers and operators to decentralize facades' elements by accepting occupants’ feedback as part of their control loops.","Building performance simulation; Fuzzy model; Modular façade; Occupant behavior; Personalized control; Shared space; Visual comfort","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-01","","","History, Form & Aesthetics","","",""
"uuid:7b386549-93d1-499f-97cc-a0f55f41405a","http://resolver.tudelft.nl/uuid:7b386549-93d1-499f-97cc-a0f55f41405a","Machine learning assisted early anomaly detection of LEDs with spectral power distribution modeling","Liu, Minne (Fudan University); Ibrahim, Mesfin S. (New Territories); Wen, Minzhen (Fudan University); Li, Sheng (Shanhai Yaming Lighting Co.Ltd); Wang, An (Shanhai Yaming Lighting Co.Ltd); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University; Chinese Academy of Sciences; Fudan Zhangjiang Institute,)","","2023","Spectral power distribution (SPD) is the radiation power intensity at different wavelengths, containing the most basic photometric and colorimetric performance of the illuminant, which is able to predict the lifetime of LEDs. This paper proposes an SPD model assisted by machine learning algorithms to detect the early failure of white LEDs. The SPD features of 3W high-power white LEDs were firstly extracted by the statistical models of Gaussian, Lorentz, and Asym2sig functions. An unsupervised learning method, principal component analysis (PCA), was then used to reduce the extracted features parameters’ dimensions. Next a K-nearest neighbor (KNN)-based method was used to detect LEDs’ anomalies by dividing the main cluster into groups, and estimating the distance from the center of mass of each cluster to the test point. The results showed the following: (1) for selected white LEDs, the Asym2sig function has a better fitting result than Gaussian and Lorentz functions; (2) machine learning methods can significantly assist in LED anomaly detection and can decrease the amount of anomaly detection time to 789.6 h, compared to the 1311 h when lumen maintenance degradation reaches 70% as required by IES TM21.","White LEDs; Spectral power distribution; Anomaly detection; Principal component analysis; K-nearest neighbor","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-24","","","Electronic Components, Technology and Materials","","",""
"uuid:a8f72df8-7a49-408b-a097-2e3f6d809c89","http://resolver.tudelft.nl/uuid:a8f72df8-7a49-408b-a097-2e3f6d809c89","盐耦合侵蚀下碱矿渣水泥相演变的热力学模拟","Zuo, Yibing (Huazhong University of Science and Technology); Liao, Yishun (Wuhan University of Science and Technology); Ye, G. (TU Delft Materials and Environment)","","2023","Thermodynamic parameters of chloride and sulfate intercalated hydrotalcites were deduced. The phase evolutions in alkali‑activated slag cement upon only sodium chloride or only magnesium sulfate attack and combined attack of those two salts were investigated via thermodynamic modelling. Friedel's salt was predicted to form under sodium chloride attack, while monosulfate, ettringite, gypsum, magnesium silicate hydrate and sulfate intercalated hydrotalcite were predicted to form upon magnesium sulfate attack. The combined attack of sodium chloride and magnesium sulfate exhibited not only characteristics by single attack of those two salts but also coupling effects which promoted the formation of chloride intercalated hydrotalcite and inhibited the formation of Friedel's salt and magnesium silicate hydrate. An increase of the magnesium sulfate proportion led to lower capacity of chemically binding chloride.","alkali‑activated slag cement; combined attack; magnesium sulfate; phase evolution; sodium chloride; thermodynamic modelling","zh","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-03","","","Materials and Environment","","",""
"uuid:c28a2c4a-648b-4d76-b77f-25858c5b8926","http://resolver.tudelft.nl/uuid:c28a2c4a-648b-4d76-b77f-25858c5b8926","Mechanical analysis of a type of wire rope subjected to tension","Ma, Yuanxing (Zhejiang University); Shi, Baobin (Zhejiang University); Ali, Liaqat (Zhejiang University); Bai, Yong (Zhejiang University); Fang, P. (TU Delft Transport Engineering and Logistics)","","2023","Wire ropes are widely observed in many industries such as marine engineering and civil engineering, as a type of structure that can bear huge axial force. There are various kinds of wire ropes in practical engineering, corresponding to different usage scenarios. This paper focuses on 6 × 36SW + 1WR with a diameter of 16 mm. The axial mechanical properties of the wire rope are investigated by experimental, theoretical, and numerical methods. The stress–strain curve and ultimate strength of the steel wire rope obtained in the test are compared with the corresponding results from the finite element simulation. The comparison demonstrates the accuracy and reliability of the finite element model. In addition, a series of parametric studies are conducted to investigate the influence of pitch length and friction coefficient, etc. The purpose of this paper is to propose an accurate and efficient finite element model for the mechanical analysis of wire ropes.","FEM; Parametric studies; tension; Wire Rope","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-16","","","Transport Engineering and Logistics","","",""
"uuid:6c35dcd3-b6e6-4e51-ae21-19c9bef49998","http://resolver.tudelft.nl/uuid:6c35dcd3-b6e6-4e51-ae21-19c9bef49998","Digital twin application in heritage facilities management: systematic literature review and future development directions","Hou, H. (The Hong Kong Polytechnic University); Lai, Joseph H.K. (The Hong Kong Polytechnic University); Wu, Hao (University of Melbourne); Wang, T. (TU Delft Design & Construction Management)","","2023","This paper aims to investigate the theoretical and practical links between digital twin (DT) application in heritage facilities management (HFM) from a life cycle management perspective and to signpost the future development directions of DT in HFM.
This state-of-the-art review was conducted using a systematic literature review method. Inclusive and exclusive criteria were identified and used to retrieve relevant literature from renowned literature databases. Shortlisted publications were analysed using the VOSviewer software and then critically reviewed to reveal the status quo of research in the subject area.
The review results show that DT has been mainly adopted to support decision-making on conservation approach and method selection, performance monitoring and prediction, maintenance strategies design and development, and energy evaluation and management. Although many researchers attempted to develop DT models for part of a heritage building at component or system level and test the models using real-life cases, their works were constrained by availability of empirical data. Furthermore, data capture approaches, data acquisition methods and modelling with multi-source data are found to be the existing challenges of DT application in HFM.
In a broader sense, this study contributes to the field of engineering, construction and architectural management by providing an overview of how DT has been applied to support management activities throughout the building life cycle. For the HFM practice, a DT-cum-heritage building information modelling (HBIM) framework was developed to illustrate how DT can be integrated with HBIM to facilitate future DT application in HFM. The overall implication of this study is that it reveals the potential of heritage DT in facilitating HFM in the urban development context.","Literature review; Digital twin; Built environment; Heritage life cycle; Facilities management","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-28","","","Design & Construction Management","","",""
"uuid:017b2a75-543a-4dff-8c94-e9a1f0fe289b","http://resolver.tudelft.nl/uuid:017b2a75-543a-4dff-8c94-e9a1f0fe289b","The influence of contact relaxation on underwater noise emission and seabed vibrations due to offshore vibratory pile installation","Molenkamp, T. (TU Delft Offshore Engineering); Tsouvalas, A. (TU Delft Dynamics of Structures; TU Delft Offshore Engineering); Metrikine, A. (TU Delft Offshore Engineering; TU Delft Engineering Structures)","","2023","The growing interest in offshore wind leads to an increasing number of wind farms planned to be constructed in the coming years. Installation of these piles often causes high underwater noise levels that harm aquatic life. State-of-the-art models have problems predicting the noise and seabed vibrations from vibratory pile driving. A significant reason for that is the modeling of the sediment and its interaction with the driven pile. In principle, linear vibroacoustic models assume perfect contact between pile and soil, i.e., no pile slip. In this study, this pile-soil interface condition is relaxed, and a slip condition is implemented that allows vertical motion of the pile relative to the soil. First, a model is developed which employs contact spring elements between the pile and the soil, allowing the former to move relative to the latter in the vertical direction. The developed model is then verified against a finite element software. Second, a parametric study is conducted to investigate the effect of the interface conditions on the emitted wave field. The results show that the noise generation mechanism depends strongly on the interface conditions. Third, this study concludes that models developed to predict noise emission from impact pile driving are not directly suitable for vibratory pile driving since the pile-soil interaction becomes essential for noise generation in the latter case.","underwater noise; offshore pile driving; vibratory pile driving; soil-structure interaction; particle motion; seabed vibrations","en","journal article","","","","","","","","","","Engineering Structures","Offshore Engineering","","",""
"uuid:89d9bee9-b433-451e-94d5-3ab96677ac55","http://resolver.tudelft.nl/uuid:89d9bee9-b433-451e-94d5-3ab96677ac55","Pre-demolition concrete waste stream identification: classification framework","Nedeljković, Marija (TU Delft Materials and Environment; Rijkswaterstaat); Tošić, Nikola (Universitat Politecnica de Catalunya); Schlangen, E. (TU Delft Materials and Environment); Fennis, Sonja (Rijkswaterstaat)","","2023","Demand for high quality recycled concrete aggregates (RCA) to offset the use of primary materials is significantly rising due to circular economy goals and high-value reuse of concrete. The quality of RCA significantly affects their availability for new concrete production due to the variability of parent concrete streams. The optimization of recycling procedures is under development to improve the quality of RCA, however, the costs and energy efficiency of such processes are of practical concern. With this in mind, this paper presents a new framework for reducing the variability of RCA quality by identifying concrete members before their demolition. The goal of identifying demolished concrete members from a structure is to provide groups of concrete members with similar mechanical and chemical properties through a systematic classification of the structural members. The quality assessment of concrete structures and their mechanical and chemical (composition, contamination) properties prior to demolition is generally recognized as challenging due to the absence of guidelines and the lack of easy-to-use in situ characterization techniques. This paper proposes experimental approaches that can non-destructively determine the properties of concrete structures, with a major emphasis on the measurement of the chemical composition of concrete before demolition. Characteristic quality indicators to classify concrete members are first proposed and can be instrumental in setting up future studies. A new method is proposed for in situ chemical composition testing of existing concrete structures; assuming that no records about the parent concrete are available. Next, the challenging parameters for in situ, non-destructive measurements are outlined. The practical application of the proposed method and its uptake in industry can potentially unlock a huge potential for optimized material recovery and contribute greatly to a fully circular construction industry.","concrete quality; strength; composition; in situ non-destructive testing; characteristic quality indicators; handheld XRF","en","journal article","","","","","","Special thanks to Wim Ekkelenkamp, Erik Hoven, and Peter Broere for valuable discussions related to concrete demolition and recycling. Student Abdellah Hussein is acknowledged for his insights on the topic of concrete demolition.","","","","","Materials and Environment","","",""
"uuid:64737417-d2c2-4c81-ba6c-c792322ee5b4","http://resolver.tudelft.nl/uuid:64737417-d2c2-4c81-ba6c-c792322ee5b4","Creative AI for HRI Design Explorations","Hoggenmueller, Marius (University of Sydney); Lupetti, M.L. (TU Delft Design Aesthetics); van der Maden, W.L.A. (TU Delft Design Aesthetics); Grace, Kazjon (University of Sydney)","","2023","Design fixation, a phenomenon describing designers' adherence to pre-existing ideas or concepts that constrain design outcomes, is particularly prevalent in human-robot interaction (HRI), for example, due to collectively held and stabilised imaginations of what a robot should look like or behave. In this paper, we explore the contribution of creative AI tools to overcome design fixation and enhance creative processes in HRI design. In a four weeks long design exploration, we used generative text-to-image models to ideate and visualise robotic artefacts and robot sociotechnical imaginaries. We exchanged results along with reflections through a digital postcard format. We demonstrate the usefulness of our approach to imagining novel robot concepts, surfacing existing assumptionsand robot stereotypes, and situating robotic artefacts in context.We discuss the contribution to designerly HRI practices and conclude with lessons learnt for using creative AI tools as an emerging design practice in HRI research and beyond.","creative AI; design research; generative AI; human-robot interaction; ideation; sociotechnical imaginaries; text-to-image models","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-13","","","Design Aesthetics","","",""
"uuid:6a1a0505-c1ee-4058-b369-9f65e3f48160","http://resolver.tudelft.nl/uuid:6a1a0505-c1ee-4058-b369-9f65e3f48160","Experimental Study on Mechanical Properties of Concrete Containing Waste Glass and Its Application on Concrete-Filled Steel Tubular Columns","Diao, Yan (Xihua University); Chen, Long (Chengdu Tianfu International Airport); Huang, Y. (TU Delft Concrete Structures)","","2023","Waste glass (WG), as a nonbiodegradable material, poses a threat to environmental protection. The reuse of WG as a raw material to replace cement or aggregate in concrete production is gaining attention for recycling purposes. However, the optimal proportion of WG in concrete mixtures and its particle size distribution are hard to determine. Large glass particles are prone to leading to the undesirable alkali–silica reaction (ASR) in concrete. Therefore, in this study, cement and aggregate in concrete mixtures are partially replaced by combinations of glass powder (<30 μm) and glass beads (0.2–1.7 mm), respectively. Glass concretes (GCs) containing waste glass at various replacement ratios (0, 10, 15, 20, and 30%) are prepared, and their flowability and compressive strength are evaluated and compared. Finally, steel tubes filled by ordinary concrete (OCFSTs) and steel tubes filled by glass concrete (GCFSTs) are fabricated and tested in axial compression. The test results show that the slump and slump flow increase when the replacement ratio is lower than 20%, and the maximum slump value (250 mm) is achieved for concrete with the use of 20% waste glass. With regard to compressive strength, as the glass replacement percentage is increased, the compressive strength of GC continues to reduce. The maximum decrease of compressive strength (merely 70% of compressive strength for original concrete) is observed in GC mixed with 20% glass, which might be attributed to the smooth surface of glass, consequently weakening the interfacial bond strength between the glass and matrix. In terms of the bearing capacity of GCFSTs, the axial compressive strength of GCFSTs decreases as more GC is used. However, no obvious reduction is observed compared to OCFSTs (less than 10% for GCFSTs containing 30% GP). Moreover, GCFSTs show greater (no less than 25% more) deformational ability at peak strength over OCFST columns, demonstrating that GC is a promising alternative for normal concrete. Finally, the feasibility of existing design codes (AISC, EC4, and GB50936-2014) to assess the bearing capacity of GCFSTs is evaluated by comparing the test and calculated results. The current codes, in general, give a conservative prediction and EC4 provides the closest value (predicted to experimental peak load ratio is 0.9).","waste glass (WG); recycling; glass concrete (GC); replacement ratios; flowability; compressive strength; steel tube filled by glass concrete (GCFST); design codes","en","journal article","","","","","","","","","","","Concrete Structures","","",""
"uuid:6130d13b-00ec-4cae-a9d6-b9a0b1842b85","http://resolver.tudelft.nl/uuid:6130d13b-00ec-4cae-a9d6-b9a0b1842b85","Experiences in a Cyber-Physical Co-Simulation Testbed Development for a Smart-er Distribution Network","Wagle, Raju (The Arctic University of Norway); Pham, Le Nam Hai (University of South-Eastern Norway); Tricarico, Gioacchino (Polytechnic University of Bari); Rueda, José L. (TU Delft Intelligent Electrical Power Grids); Sharma, Pawan (The Arctic University of Norway); Gonzalez-Longatt, Francisco (University of Exeter)","","2023","With the rise of the integration of renewable energy sources, the operating characteristics of existing electric power distribution systems are evolving and changing. As a result, the digitalisation of the distribution network is gaining attention for effective real-time monitoring and control. Cyber-Physical cosimulation is one of the options for implementing and testing novel concepts and ideas before actual implementation on the distribution network. Therefore, this paper presents some experiences on the cyber-physical testbed in the distribution network. Moreover, the methodology, possible challenges and mitigation techniques are also presented for a cyber-physical cosimulation testbed of optimal reactive power control in smarter distribution network (SDN). The cyber-physical co-simulation testbed is analysed using a Typhoon HIL 604 and OpenDSS on a CIGRE MV distribution.","Cyber-physical co-simulation testbed; Real-time control; Real-time simulator; Smart distribution networks","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-28","","","Intelligent Electrical Power Grids","","",""
"uuid:cf41ec52-1cc7-4ba7-9761-12cf7262a6e2","http://resolver.tudelft.nl/uuid:cf41ec52-1cc7-4ba7-9761-12cf7262a6e2","A Modified Version of the IEEE 39-bus Test System for the Day-Ahead Market","Tricarico, Gioacchino (Polytechnic University of Bari); Wagle, Raju (The Arctic University of Norway); Dicorato, Maria (Polytechnic University of Bari); Forte, Giuseppe (Polytechnic University of Bari); Gonzalez-Longatt, Francisco (University of South-Eastern Norway); Rueda, José L. (TU Delft Intelligent Electrical Power Grids)","","2023","Reaching net-zero emissions within the proposed time requires an enormous effort from the energy sector, and it is even more challenging for the electricity infrastructure. This article offers a modified version of the IEEE 39-bus system specifically created to allow zonal day-ahead market (ZDAM) simulations. The system representation is based on the original version of the IEEE 39-bus system but considers the integration of renewable energy resources (RES) in the generation mix: solar and wind. Hourly time series are used to define load profiles and wind and solar power generation. The zonal dayahead energy market information has been created by solving the optimisation problem. Numerical results of the proposed power test system are provided for the yearly ZDAM and steady-state performance, in N and N-l conditions, respectively, through Pyomo and DIgSILENT PowerFactory features.","Day-Ahead Market; IEEE 39-bus system; DIgSILENT PowerFactory; Renewable Energy Resources.","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-28","","","Intelligent Electrical Power Grids","","",""
"uuid:c2a00e65-4fda-4786-ae79-629db33128be","http://resolver.tudelft.nl/uuid:c2a00e65-4fda-4786-ae79-629db33128be","Investigating the role of ESG bonds and loans in financing housing renovation among social housing providers: a comparative approach to six European countries","Fernández Pérez, A. (TU Delft Urban Development Management); Elsinga, M.G. (TU Delft Urban Development Management); Haffner, M.E.A. (TU Delft Urban Development Management)","","2023","The energy transition across Europe’s built environment will probably be one of the main financial challenges of the coming decades. Renovating the social housing stock to attain the built fabric standards introduced in the European Directive on Energy Performance of Building(EPBD) will require the mobilisation of both public and private funding as envisioned by the European Commission in the Renovation Wave. In this landscape of increased investment needs, Environmental, Social and Governance (ESG) standards have risen to a prominent
position as the main indicators of sustainable investment. While ESG-earmarked funds have grown significantly in the last years, there is widespread concern about the real impact of ESG-funded projects and whether these are in fact bringing additional investment into key transitional activities such as the renovation of the social housing stock. This project poses two questions, first, How does ESG funding interlock with the renovation strategies of social housing providers? And second, How do institutional factors affect the uptake of ESG funding? To answer these questions, this project draws from semi-structured interviews with finance officers from housing providers across six European countries with large social housing stocks: Austria, Germany, The Netherlands, France, Sweden, and the UK. The main objective of this paper is to critically assess the contributions of ESG funding to the energy transition and contextualise it within traditional forms of private and public financing of social housing.","ESG; social housing; energy transition; green finance; sustainability","en","abstract","","","","","","","","","","","Urban Development Management","","",""
"uuid:e2f80b9b-a06a-4ac4-abc1-ab7051d7055c","http://resolver.tudelft.nl/uuid:e2f80b9b-a06a-4ac4-abc1-ab7051d7055c","Loneliness and vertical and horizontal collectivism and individualism: A multinational study","Schermer, Julie Aitken (University of Western Ontario); Branković, Marija (Singidunum University); Čekrlija, Đorđe (University of Banja Luka); MacDonald, Kristi Baerg (University of Western Ontario); Park, Joonha (NUCB Business School); Papazova, Eva (Institute for Research in Education); Volkodav, Tatiana (Kuban State University); Iliško, Dzintra (Daugavpils University); Wlodarczyk, Anna (Universidad Catolica de Norte); Kwiatkowska, Maria Magdalena (Cardinal Stefan Wyszyński University in Warsaw); Rogoza, Radosław (Cardinal Stefan Wyszyński University in Warsaw); Oviedo-Trespalacios, O. (TU Delft Safety and Security Science); Ha, Truong Thi Khanh (Vietnam National University Hanoi); Kowalski, Christopher Marcin (University of Western Ontario); Malik, Sadia (University of Sargodha); Lins, Samuel (Universidade do Porto); Navarro-Carrillo, Ginés (Universidad de Jaén); Aquino, Sibele D. (PUC-Rio); Doroszuk, Marta (Jagiellonian University); Riđić, Ognjen (International University of Sarajevo); Pylat, Natalia (Ukrainian Catholic University); Özsoy, Emrah (University of Sakarya); Tan, Chee Seng (Universiti Tunku Abdul Rahman); Mamuti, Agim (University Mother Theresa); Ardi, Rahkman (Airlangga University); Jukić, Tomislav (University Josip Juraj Strossmayer); Uslu, Osman (University of Sakarya); Buelvas, Laura Martinez (Universidad Tecnológica de Bolívar); Liik, Kadi (Tallinn University); Kruger, Gert (University of Johannesburg)","","2023","This paper investigates how horizontal and vertical individualism and collectivism predict self-report loneliness in addition to the variance accounted for by age and sex in 28 countries (N = 8,345). Horizontal and vertical aspects of individualism and collectivism had small but significant contributions to predicting loneliness. Horizontal-collectivism (for 19 country samples) and, to a lesser extent, horizontal-individualism (for seven country samples), significantly predicted lower loneliness scores. Vertical-individualism (for 16 country samples), and to a lesser extent, vertical-collectivism (for six country samples), predicted feeling more loneliness among our participants. Adjusted R2 values suggested that between 0.6% and 27.7% of self-report loneliness was predicted. These results suggest that those who value egalitarian social relations also tend to report being less lonely whereas those who value individuality and competitiveness endorse the loneliness items more. These results are of importance to those investigating and helping lonely individuals by appreciating the influence of perceived culture.","Collectivism; Horizontalism-verticalism; Individualism; Loneliness","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:d62848e5-a818-45b6-b633-b35dc02b4e92","http://resolver.tudelft.nl/uuid:d62848e5-a818-45b6-b633-b35dc02b4e92","A Categorization of Resilience: A Scoping Review","Nieuwborg, A.B.D. (TU Delft Marketing and Consumer Research); Hiemstra-van Mastrigt, S. (TU Delft Marketing and Consumer Research); Melles, M. (TU Delft Applied Ergonomics and Design); Zekveld, Jan (Royal Schiphol Group); Santema, S.C. (TU Delft Marketing and Consumer Research)","","2023","The COVID-19 pandemic exposed the existential public health and economic fragilities of the civil aviation industry. To prevent future public health disruptions, the civil aviation industry is gaining interest in becoming more “resilient” but rarely elaborates on its meaning, hampering decision-making and strategy development. When looking into the academic literature it seems that a proliferation of resilience-related concepts occurred. Although enriching resilience, it also dilutes its meaning and reduces its use for practice. This paper aims to create concept clarity regarding resilience by proposing a categorization of resilience. Based upon a scoping review, this categorization dissects resilience into four reoccurring aspects: fragility, robustness, adaptation, and transformation. This categorization is expected to support sensemaking in disruptive times while assisting decision-making and strategy development on resilience. When applying this categorization in the civil aviation and public health context, the transformative aspect seems underused. Further research will focus on maturing the categorization of resilience and its use as a sensemaking tool.","antifragility; resilience; transformation; disruptions; aviation; COVID-19","en","review","","","","","","","","","","","Marketing and Consumer Research","","",""
"uuid:b19d57c1-21b9-4e50-a40e-872cdd936a6d","http://resolver.tudelft.nl/uuid:b19d57c1-21b9-4e50-a40e-872cdd936a6d","On the use of a rounded sonotrode for the welding of thermoplastic composites","Jongbloed, B.C.P. (TU Delft Intelligent Manufacturing systems; TU Delft Stichting SAM|XL); Teuwen, Julie J.E. (TU Delft Aerospace Manufacturing Technologies); Villegas, I.F. (TU Delft Aerospace Structures & Computational Mechanics)","","2023","Continuous ultrasonic welding is an attractive welding technique for thermoplastic composite structures. In this process, a metallic sonotrode connected to a piezoelectric transducer and to a press moves along the parts to be welded applying ultrasonic vibrations and a static welding force on the welding overlap. Thus far, the research carried out on this topic makes use of sonotrodes featuring a flat contact surface with the parts to be welded, which limits the use of the process to the welding of overlaps with no curvature in the welding direction. With the final aim of assessing whether this process can also be applied to curved structures, this paper explores the feasibility of using a rounded sonotrode for continuous ultrasonic welding of thermoplastic composites. The main conclusions drawn from the results obtained in this research is that it is indeed possible to continuously weld thermoplastic composite panels with a rounded sonotrode and that high-quality welds can be obtained from such a process. Furthermore, the use of a rounded sonotrode has the positive effect of lowering the temperatures at the welding interface as well as the temperatures within the adherends. On the other hand, the use of such sonotrode leads to a decreased, although still competitive, welding speed and, potentially, an increased welding force, thereby setting boundary conditions that need to be considered for each specific application.","Fusion bonding; Continuous ultrasonic welding; Consolidation; Joining; CF/PPS","en","journal article","","","","","","","","","","","Intelligent Manufacturing systems","","",""
"uuid:56f0d7c4-3c27-48e8-9896-a355f440986b","http://resolver.tudelft.nl/uuid:56f0d7c4-3c27-48e8-9896-a355f440986b","A Survey on Machine Learning in Hardware Security","Köylü, T.C. (TU Delft Computer Engineering); Reinbrecht, Cezar; Gebregiorgis, A.B. (TU Delft Computer Engineering); Hamdioui, S. (TU Delft Quantum & Computer Engineering); Taouil, M. (TU Delft Computer Engineering)","","2023","Hardware security is currently a very influential domain, where each year countless works are published concerning attacks against hardware and countermeasures. A significant number of them use machine learning, which is proven to be very effective in other domains. This survey, as one of the early attempts, presents the usage of machine learning in hardware security in a full and organized manner. Our contributions include classification and introduction to the relevant fields of machine learning, a comprehensive and critical overview of machine learning usage in hardware security, and an investigation of the hardware attacks against machine learning (neural network) implementations.","","en","journal article","","","","","","","","","","Quantum & Computer Engineering","Computer Engineering","","",""
"uuid:48ab97e4-6bdd-4a34-a293-379b389efc06","http://resolver.tudelft.nl/uuid:48ab97e4-6bdd-4a34-a293-379b389efc06","Web3 Sybil avoidance using network latency","Stokkink, Q.A. (TU Delft Dataintensive Systems); Ileri, Can Umut (TU Delft Dataintensive Systems); Epema, D.H.J. (TU Delft Dataintensive Systems); Pouwelse, J.A. (TU Delft Dataintensive Systems)","","2023","Web3 is emerging as the new Internet-interaction model that facilitates direct collaboration between strangers without a need for prior trust between network participants and without central authorities. However, one of its shortcomings is the lack of a defense mechanism against the ability of a single user to generate a surplus of identities, known as the Sybil attack. Web3 has a Sybil attack problem because it uses peer sampling to establish connections between users. We evaluate the promising but under-explored direction of Sybil avoidance using network latency measurements, according to which two identities with equal latencies are suspected to be operated from the same node, and thus are likely Sybils. Network latency measurements have two desirable properties: they are only malleable by attackers by adding latency, and they do not require any trust between network participants. Our basic SybilSys mechanism avoids Sybil attackers using only network latency measurements if attackers do not actively exploit their malleability. We present an enhanced version of SybilSys that protects against targeted attacks using a variant of the flow correlation attack, which we name TrafficJamTrigger. We show how the message flows of Round-Trip Time measurements can be used to expose attack patterns and we propose and evaluate six classifiers to recognize these patterns. Our experiments show, through both emulation and real-world deployment, that enhanced SybilSys can serve a fundamental role for Web3, effectively establishing connections to real users even in the face of networks consisting of 99% Sybils.","Sybil attack; Latency; Network; Round-trip time; Identity","en","journal article","","","","","","","","","","","Dataintensive Systems","","",""
"uuid:1e2b4de9-e97f-4db7-ab71-5be29e6a5991","http://resolver.tudelft.nl/uuid:1e2b4de9-e97f-4db7-ab71-5be29e6a5991","Efficient waveguide power combiners at mm-wave frequencies","van Schelven, R.M. (TU Delft Tera-Hertz Sensing); Spirito, M. (TU Delft Electronics); Cavallo, D. (TU Delft Tera-Hertz Sensing)","","2023","In this study, an efficient power combiner for mm-wave frequency transmitters is investigated. The combiner is based on a parallel plate waveguide (PPW) excited with multiple parallel feeds. The Doherty power combiner scheme is also integrated in the proposed concept, to increase the efficiency of the amplifiers when implementing amplitude modulation. The advantage of the proposed PPW combiner with respect to other concepts, for example, the ones based on substrate-integrated waveguide, is the wider bandwidth and the scalability to an arbitrary number of inputs. Measured results from a demonstrator realised in standard printed circuit board technology are presented. Two variations of the combiner are implemented, one terminated with a 50 Ω coaxial output, and another integrated with an antenna. In the latter case, the waveguide is folded so that both the power combiner and the antenna fit within a half wavelength size, and thus would be compatible with a dense antenna array implementation.","millimetre waves; parallel plate waveguides; power combiners","en","journal article","","","","","","","","","","","Tera-Hertz Sensing","","",""
"uuid:5940fc1f-4548-48fb-9c7e-a4c7fa944c76","http://resolver.tudelft.nl/uuid:5940fc1f-4548-48fb-9c7e-a4c7fa944c76","A Conservative Cut-Cell Immersed Boundary Method for Accurate Simulation of Hypersonic Flows with Gas-Surface Interactions","Başkaya, A.O. (TU Delft Aerodynamics); Hickel, S. (TU Delft Aerodynamics)","","2023","A conservative cut-cell immersed boundary (IB) method including gas-surface interactions (GSI) for the simulation of atmospheric entry flows under thermochemical nonequilibrium (TCNE) conditions is presented. The performance
of the method is demonstrated for three test cases: a compression ramp, a cylinder, and a plasma wind tunnel ablator sample. The computational predictions are in excellent agreement with reference simulations and experimental data for translational and vibrational temperature variations in the flow field, pressure and heat flux distributions over the geometries, and the mass blowing rates over a surface undergoing ablation.","Hypersonics; immersed boundary methods; Gas-surface interactions; thermochemical nonequilibrium; CFD","en","conference paper","","","","","","","","","","","Aerodynamics","","",""
"uuid:1f0c99bc-8cca-47ae-ae3d-abe9d906308f","http://resolver.tudelft.nl/uuid:1f0c99bc-8cca-47ae-ae3d-abe9d906308f","The Need for Speed: A Fast Guessing Entropy Calculation for Deep Learning-Based SCA","Perin, G. (Universiteit Leiden); Wu, L. (TU Delft Cyber Security); Picek, S. (Radboud Universiteit Nijmegen)","","2023","The adoption of deep neural networks for profiling side-channel attacks opened new perspectives for leakage detection. Recent publications showed that cryptographic implementations featuring different countermeasures could be broken without feature selection or trace preprocessing. This success comes with a high price: an extensive hyperparameter search to find optimal deep learning models. As deep learning models usually suffer from overfitting due to their high fitting capacity, it is crucial to avoid over-training regimes, which require a correct number of epochs. For that, early stopping is employed as an efficient regularization method that requires a consistent validation metric. Although guessing entropy is a highly informative metric for profiling side-channel attacks, it is time-consuming, especially if computed for all epochs during training, and the number of validation traces is significantly large. This paper shows that guessing entropy can be efficiently computed during training by reducing the number of validation traces without affecting the efficiency of early stopping decisions. Our solution significantly speeds up the process, impacting the performance of the hyperparameter search and overall profiling attack. Our fast guessing entropy calculation is up to 16× faster, resulting in more hyperparameter tuning experiments and allowing security evaluators to find more efficient deep learning models.","deep learning; fast guessing entropy; guessing entropy; side-channel attacks; validation phase","en","journal article","","","","","","","","","","","Cyber Security","","",""
"uuid:38720e27-3f13-449f-af27-a710631145e5","http://resolver.tudelft.nl/uuid:38720e27-3f13-449f-af27-a710631145e5","Contactless Size Reference in Forensic Photography: Design and Verification of the Novel FreeRef-1 System","Schiks, L.A.H. (TU Delft Medical Instruments & Bio-Inspired Technology); Cook, M.S. (TU Delft Medical Instruments & Bio-Inspired Technology; Universiteit van Amsterdam); Lipman, L.R. (Student TU Delft); van Dijke, A.P. (TU Delft Medical Instruments & Bio-Inspired Technology; Netherlands Forensic Institute - NFI); Hutchinson, K. (TU Delft Medical Instruments & Bio-Inspired Technology); van den Hoven, Paul (Netherlands Forensic Institute - NFI); Loeve, A.J. (TU Delft Medical Instruments & Bio-Inspired Technology)","","2023","In photographs of evidence in forensic investigations, physical size references (e.g., rulers or stickers) are often placed next to a trace to allow us to take measurements from photos. However, this is laborious and introduces contamination risks. The FreeRef-1 system is a contactless size reference system that allows us to take forensic photographs without having to be close to the evidence, and allows photographing under large angles without losing accuracy. The FreeRef-1 system performance was assessed using technical verification tests, inter-observer checks and user tests with forensic professionals. The results show that the measurements taken with photos using the FreeRef-1 system were at least as accurate as those taken using conventional techniques. Furthermore, with the FreeRef-1 system, even photographs taken under strongly oblique angles provided accurate measurements. The results suggest that the FreeRef-1 system will facilitate photographing evidence even in hard-to-reach places, such as under tables and on walls and ceilings, while increasing the accuracy and speed.","measurements; crime scene investigations; forensic research; forensic photography","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:7b77fbea-e890-4750-9d03-39967a7cb61c","http://resolver.tudelft.nl/uuid:7b77fbea-e890-4750-9d03-39967a7cb61c","Micro 3D Printing Elastomeric IP-PDMS Using Two-Photon Polymerisation: A Comparative Analysis of Mechanical and Feature Resolution Properties","van Altena, P.F.J. (TU Delft Micro and Nano Engineering); Accardo, A. (TU Delft Micro and Nano Engineering)","","2023","The mechanical properties of two-photon-polymerised (2PP) polymers are highly dependent on the employed printing parameters. In particular, the mechanical features of elastomeric polymers, such as IP-PDMS, are important for cell culture studies as they can influence cell mechanobiological responses. Herein, we employed optical-interferometer-based nanoindentation to characterise two-photon-polymerised structures manufactured with varying laser powers, scan speeds, slicing distances, and hatching distances. The minimum reported effective Young’s modulus (YM) was 350 kPa, while the maximum one was 17.8 MPa. In addition, we showed that, on average, immersion in water lowered the YM by 5.4%, a very important point as in the context of cell biology applications, the material must be employed within an aqueous environment. We also developed a printing strategy and performed a scanning electron microscopy morphological characterisation to find the smallest achievable feature size and the maximum length of a double-clamped freestanding beam. The maximum reported length of a printed beam was 70 µm with a minimum width of 1.46 ± 0.11 µm and a thickness of 4.49 ± 0.05 µm. The minimum beam width of 1.03 ± 0.02 µm was achieved for a beam length of 50 µm with a height of 3.00 ± 0.06 µm. In conclusion, the reported investigation of micron-scale two-photon-polymerized 3D IP-PDMS structures featuring tuneable mechanical properties paves the way for the use of this material in several cell biology applications, ranging from fundamental mechanobiology to in vitro disease modelling to tissue engineering.","IP-PDMS; two-photon polymerisation; nanoindentation; Young’s modulus; elastomer","en","journal article","","","","","","","","","","","Micro and Nano Engineering","","",""
"uuid:8e1a39cd-3ea7-49d9-95be-c8d3754f6e2a","http://resolver.tudelft.nl/uuid:8e1a39cd-3ea7-49d9-95be-c8d3754f6e2a","A scanning focus nuclear microscope with multi-pinhole collimation","Nguyen, M.P. (TU Delft RST/Radiation, Science and Technology; TU Delft RST/Biomedical Imaging; MILabs B.V.); Arif, M. (TU Delft RST/Biomedical Imaging); Oostenrijk, Bart (MILabs B.V.); Goorden, M.C. (TU Delft RST/Medical Physics & Technology); Beekman, F.J. (TU Delft RST/Biomedical Imaging; MILabs B.V.)","","2023","Microscopic nuclear imaging down to spatial resolutions of a few hundred microns can already be achieved using low-energy gamma emitters (e.g. 125I, ∼30 keV) and a basic single micro-pinhole gamma camera. This has been applied to in vivo mouse thyroid imaging, for example. For clinically used radionuclides such as 99mTc, this approach fails due to penetration of the higher-energy gamma photons through the pinhole edges. To overcome these resolution degradation effects, we propose a new imaging approach: scanning focus nuclear microscopy (SFNM). We assess SFNM using Monte Carlo simulations for clinically used isotopes. SFNM is based on the use of a 2D scanning stage with a focused multi-pinhole collimator containing 42 pinholes with narrow pinhole aperture opening angles to reduce photon penetration. All projections of different positions are used to iteratively reconstruct a three-dimensional image from which synthetic planar images are generated. SFNM imaging was tested using a digital Derenzo resolution phantom and a mouse ankle joint phantom containing 99mTc (140 keV). The planar images were compared with those obtained using a single-pinhole collimator, either with matched pinhole diameter or with matched sensitivity. The simulation results showed an achievable 99mTc image resolution of 0.04 mm and detailed 99mTc bone images of a mouse ankle with SFNM. SFNM has strong advantages over single-pinhole imaging in terms of spatial resolution.","collimator; high resolution; nuclear microscope; pinhole; SPECT","en","journal article","","","","","","","","","","RST/Radiation, Science and Technology","RST/Biomedical Imaging","","",""
"uuid:f3fd271f-2a2c-4407-b742-7e3dfb355a1b","http://resolver.tudelft.nl/uuid:f3fd271f-2a2c-4407-b742-7e3dfb355a1b","Design of Child-robot Interactions for Comfort and Distraction from Post-operative Pain and Distress","Ferrari, Oriana Isabella (Eindhoven University of Technology); Zhang, Feiran (Norwegian University of Science and Technology (NTNU)); Braam, Ayrton A. (Student TU Delft); Van Gurp, Jules A.M. (Eindhoven University of Technology); Broz, F. (TU Delft Interactive Intelligence); Barakova, Emilia I. (Eindhoven University of Technology)","","2023","There are numerous strategies for reducing the stress and anxiety associated with pain that children experience before and after surgery. There is a potential communication barrier between hospital staff and the child which may result in inadequate pain management. Social robots may reduce the gap between the support that personnel can provide and what the children's emotional needs are. This study qualitatively evaluates the interactions between children and their parents who interact with the social robot MiRo-E. In the overall interaction, the robot would act like a pet and show different behaviours based on the estimated pain level of the children. However, in the current study, only the quality of the robot interaction behaviours was tested with healthy children and no pain was measured. During this study, two usability tests were done. Each usability test evaluated a different robot interaction. In both tests, children and their parents evaluated the designed interactions. Results indicate that children initially have different responses to the robot. They can either be held back from immediately interacting or they are not afraid of the robot at all and start touching it and interacting immediately. Although the intended behaviours could be more elaborate and personalized, both children and their parents appeared to like the different emotions shown by the robot and how it responded to their touch. The parents also offered some ideas to enhance the interaction between a child and a robot in a medical context, such as by including more sounds, making some behaviours more distinct, and allowing kids to customize the robot's look.","Child-robot interaction; pain management for children; robots in healthcare","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Interactive Intelligence","","",""
"uuid:46e5884c-772a-4bef-b243-203a05fa15c2","http://resolver.tudelft.nl/uuid:46e5884c-772a-4bef-b243-203a05fa15c2","A Gradient-Descent Optimization Assisted Gray-Box Impedance Modeling of EV chargers","Wang, L. (TU Delft DC systems, Energy conversion & Storage); Qin, Z. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2023","Extracting an electric vehicle (EV) charger's input impedance with the analytical model (white-box approach) or the frequency sweep (black-box approach) is limited by the parameter confidentiality or the measurement noise, respectively. To overcome these challenges, a gradient-descent (GD) optimization-based gray-box modeling approach is proposed. To start with, a sensitivity study on the analytical impedance model of an EV charger with a typical controller is carried out to identify the influential frequency range per controller and circuit parameter. On top of that, given an EV charger with unknown control and circuit information, a GD optimization-based algorithm for multiple parameter estimation is designed to identify the unknown controller and circuit parameters based on the measured impedance, by assuming the EV charger is using the typical controller. Then, an analytical input impedance of the black-box EV charger can be obtained. Moreover, the low-accuracy issue commonly encountered when estimating multiple parameters with GD optimization is mitigated with the proposed algorithm. Compared to pure frequency sweep, the proposed approach achieves a higher accuracy for the coupling impedance and a comparable accuracy for the diagonal impedance. The effectiveness of the proposed approach is validated by experimental results.","EV Charging; Power Quality; impedance modeling; Parameter Estimation; Gray Box Model; gradient-based optimization; Voltage Source Converter (VSC)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-17","","","DC systems, Energy conversion & Storage","","",""
"uuid:b10a86f6-14f1-4117-9a3e-4828cc06d855","http://resolver.tudelft.nl/uuid:b10a86f6-14f1-4117-9a3e-4828cc06d855","Human- or Machine-like Music Assistive Robots Effects on Fluency and Memory Recall","Li, Y. (TU Delft Interactive Intelligence); Broz, F. (TU Delft Interactive Intelligence); Neerincx, M.A. (TU Delft Interactive Intelligence)","","2023","Assistive robots are expected to contribute to the solution of major societal problems in healthcare, such as the increasing number of elderly who need informal and professional care over a long period of time. Most of the research focuses on the development of humanlike robots to facilitate human-robot interaction and strengthen the social, cognitive and affective processes. However, there are some possible downsides of this type of ""robot humanizing"", like raising high expectations and causing incorrect mental models of the robots. Machine-like robots, on the other hand, may help to build more realistic mental models and expectations but might bring about less fluent interactions and less pronounced experiences (i.e., less to remember). To test if a human-like robot indeed brings about better interaction fluency and memory recall, we designed two types of robots for a joint human-robot music listening activity: A human-like and a machine-like robot (Pepper). Thirty students participated in the experiment managed by a Wizard-of-Oz set-up. As expected, the human-like robot proved to perform better in terms of fluency and memory recall. Currently, we are preparing a follow-up experiment, consisting of longer sessions with the elderly to see whether this effect persists for this age group and how far the human- or machine-likeness influences the elderly's understanding and expectations of the robot's capabilities.","Fluency; Human-like robot; Machine-like robot; Memory recall; Music; Robot","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Interactive Intelligence","","",""
"uuid:fd2ee507-60a2-4f49-8350-0f644265c53b","http://resolver.tudelft.nl/uuid:fd2ee507-60a2-4f49-8350-0f644265c53b","Renamingless Capture-Avoiding Substitution for Definitional Interpreters","Poulsen, C.B. (TU Delft Programming Languages)","Lammel, Ralf (editor); Mosses, Peter D. (editor); Steimann, Friedrich (editor)","2023","Substitution is a common and popular approach to implementing name binding in definitional interpreters. A common pitfall of implementing substitution functions is variable capture. The traditional approach to avoiding variable capture is to rename variables. However, traditional renaming makes for an inefficient interpretation strategy. Furthermore, for applications where partially-interpreted terms are user facing it can be confusing if names in uninterpreted parts of the program have been changed. In this paper we explore two techniques for implementing capture avoiding substitution in definitional interpreters to avoid renaming.","Capture-avoiding substitution; definitional interpreter; lambda calculus","en","conference paper","Schloss Dagstuhl- Leibniz-Zentrum fur Informatik GmbH, Dagstuhl Publishing","","","","","","","","","","Programming Languages","","",""
"uuid:173c2590-22ac-483b-bad3-d22546429e1c","http://resolver.tudelft.nl/uuid:173c2590-22ac-483b-bad3-d22546429e1c","Preloading of four-legged jack-ups in clay: Geotechnical time effects and fulfilment of preloading criteria","Sonnema, Wouter (Heerema Marine Contractors); Brinkman, Sanne (Van Oord); Brinkgreve, R.B.J. (TU Delft Geo-engineering); Pisano, F. (TU Delft Geo-engineering)","","2023","Presented here is a numerical study on the preloading of four-legged jack-ups, such as those commonly employed in the construction of offshore wind farms. The need for reducing jack-up installation time is particularly felt within the offshore industry, especially when multiple preloading cycles are necessary in clayey soils to fulfil given preloading criteria. This is due to clays experiencing delayed deformations, causing load redistribution among all legs while the ideal situation of steady preload on all spudcans is pursued. This work employs three-dimensional finite element (3D FE) modelling to analyse the preloading performance of a reference jack-up vessel in clayey soils using a wished-in-place (WIP) approach. Detailed modelling of time effects due to soil consolidation and viscosity is introduced, with some emphasis on how to derive material parameters from typical site investigation and laboratory soil data. The results of specific parametric studies are presented to support the suitability of the adopted analysis approach, also with regard to the adoption of alternative preloading procedures. The constitutive modelling of time-dependent clay’s behaviour is shown to play a crucial role in the considered framework, and will require further research for 3D FE modelling to provide reliable quantitative support to real wind farm installation projects.","Jack-up; Spudcan; Preloading; Clay; Finite elements; Offshore engineering","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:3024d587-7c5d-44bd-8471-27b7c2e59160","http://resolver.tudelft.nl/uuid:3024d587-7c5d-44bd-8471-27b7c2e59160","Scope Graphs: The Story so Far","Zwaan, A.S. (TU Delft Programming Languages); van Antwerpen, H. (GitHub, Amsterdam)","Lammel, Ralf (editor); Mosses, Peter D. (editor); Steimann, Friedrich (editor)","2023","Static name binding (i.e., associating references with appropriate declarations) is an essential aspect of programming languages. However, it is usually treated in an unprincipled manner, often leaving a gap between formalization and implementation. The scope graph formalism mitigates these deficiencies by providing a well-defined, first-class, language-parametric representation of name binding. Scope graphs serve as a foundation for deriving type checkers from declarative type system specifications, reasoning about type soundness, and implementing editor services and refactorings. In this paper we present an overview of scope graphs, and, using examples, show how the ideas and notation of the formalism have evolved. We also briefly discuss follow-up research beyond type checking, and evaluate the formalism.","name binding; reference resolution; scope graph; static semantics; type system","en","conference paper","Schloss Dagstuhl- Leibniz-Zentrum fur Informatik GmbH, Dagstuhl Publishing","","","","","","","","","","Programming Languages","","",""
"uuid:8af0030b-3c93-4b2b-8baa-b83faa49e1b9","http://resolver.tudelft.nl/uuid:8af0030b-3c93-4b2b-8baa-b83faa49e1b9","Black-Box Online Aerodynamic Performance Optimization for a Seamless Wing with Distributed Morphing","Ruland, Oscar (Student TU Delft); Mkhoyan, T. (TU Delft Arts & Crafts; TU Delft Aerospace Structures & Computational Mechanics); De Breuker, R. (TU Delft Aerospace Structures & Computational Mechanics); Wang, Xuerui (TU Delft Aerospace Structures & Computational Mechanics)","","2023","","Aerodynamic Performance; Aircraft Wing Design; Artificial Neural Network; Wind Tunnels; Optimization Algorithm; Newton Raphson Method; Zero Lift Drag Coefficient; Evolutionary Algorithm","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-20","","","Arts & Crafts","","",""
"uuid:b8db7ae2-9a74-4b42-8d4c-1503961b5ed0","http://resolver.tudelft.nl/uuid:b8db7ae2-9a74-4b42-8d4c-1503961b5ed0","Conf Researchr: A Domain-Specific Content Management System for Managing Large Conference Websites","Groenewegen, D.M. (TU Delft Programming Languages); van Chastelet, E. (TU Delft Programming Languages); de Krieger, M.M. (TU Delft Programming Languages); Pelsmaeker, D.A.A. (TU Delft Programming Languages); Anslow, Craig (Victoria University of Wellington)","Lammel, Ralf (editor); Mosses, Peter D. (editor); Steimann, Friedrich (editor)","2023","Conferences are great opportunities for sharing research, debating solutions, and networking. For the organizing committee there is a considerable deal of complexity and effort required to provide attendees and organizers with ways to find and manage programs, sessions, papers, tracks, talks, and authors. Eelco Visser found an opportunity to provide an integrated solution to these problems by designing the Conf Researchr conference management system in 2014 using our own domain-specific web programming language WebDSL. In this paper, we highlight the impact Eelco had on conference management, and how Conf Researchr evolved to become the platform of choice for hosting over 900 conference and workshop editions in SIGPLAN and SIGSOFT, among other areas of computer science research.","Conf Researchr; conferences; Eelco Visser; WebDSL","en","conference paper","Schloss Dagstuhl- Leibniz-Zentrum fur Informatik GmbH, Dagstuhl Publishing","","","","","","","","","","Programming Languages","","",""
"uuid:cfc94691-11ec-4e0f-85b8-08172bf56e98","http://resolver.tudelft.nl/uuid:cfc94691-11ec-4e0f-85b8-08172bf56e98","Toward an Ethical Framework for Smart Cities and the Internet of Things","Singh, Munindar P. (University of North Carolina); Murukannaiah, P.K. (TU Delft Interactive Intelligence)","","2023","As smart cities increasingly become real, an ethical framework for them becomes increasingly necessary. Surprisingly, current approaches largely disregard such a framework and concentrate primarily on challenges pertaining to the data lifecycle. However, a smart city involves much more than data gathering: it involves the interactions of residents, businesses, and government agencies with respect to public and private resources subject to potentially subtle regulations and other norms. This article introduces a sociotechnical view of smart cities and shows how it may be profitably mapped to the moral foundation theory to provide a comprehensive ethical framework.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-22","","","Interactive Intelligence","","",""
"uuid:3594d89d-cfb8-463c-83bb-a15f25c08dc8","http://resolver.tudelft.nl/uuid:3594d89d-cfb8-463c-83bb-a15f25c08dc8","Nabehandeling essentieel voor kwaliteit beton: Opnieuw aandacht aan nabehandeling in Stutech-studierapport","Ottele, M. (TU Delft Materials and Environment); van der Wolf, Mark (Ballast Nedam Infra Projects)","","2023","De essentie van het goed nabehandelen van beton is al vaak besproken. Nabehandeling is noodzakelijk voor beton met een lange levensduur en een mooi uiterlijk. Een CROW-onderzoek naar betonaantasting in combinatie met mosgroei was aanleiding het thema nogmaals te bestuderen. Resultaat is een nieuw studierapport van Stutech-studiegroep 68 ‘Nabehandeling’.","","nl","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-30","","","Materials and Environment","","",""
"uuid:54353c5b-61ba-4137-8133-12bbf38fa6dd","http://resolver.tudelft.nl/uuid:54353c5b-61ba-4137-8133-12bbf38fa6dd","Eating Your Own Dog Food: WebDSL Case Studies to Improve Academic Workflows","Groenewegen, D.M. (TU Delft Programming Languages); van Chastelet, E. (TU Delft Programming Languages); de Krieger, M.M. (TU Delft Programming Languages); Pelsmaeker, D.A.A. (TU Delft Programming Languages)","Lammel, Ralf (editor); Mosses, Peter D. (editor); Steimann, Friedrich (editor)","2023","SDF, Stratego and Spoofax provide a platform for development of domain-specific programming languages. On this platform, the WebDSL project started out as a case study in language engineering, and grew into a reliable tool for rapid prototyping and continuous development of web applications. Our team led by Eelco Visser develops and operates several web applications to support academic workflows. EvaTool governs the process of course quality control, importing questionnaire data, and providing lecturers and education directors with a platform to discuss and agree on improvements. WebLab is an online learning management system with a focus on programming education, with support for lab work and digital exams, used by over 40 courses. Conf Researchr is a domain-specific content management system for creating and hosting integrated websites for conferences with multiple co-located events, used by all ACM SIGPLAN and SIGSOFT conferences. MyStudyPlanning is an application for composition of individual study programs by students and verification of those programs by the exam board, used by multiple faculties at the Delft University of Technology. These tools served as practical case studies for applying the research, and ensure the continued development of the underlying platform.","education; Eelco Visser; Spoofax; WebDSL; WebLab","en","conference paper","Schloss Dagstuhl- Leibniz-Zentrum fur Informatik GmbH, Dagstuhl Publishing","","","","","","","","","","Programming Languages","","",""
"uuid:604a22c3-1212-487f-8c96-35f63920b679","http://resolver.tudelft.nl/uuid:604a22c3-1212-487f-8c96-35f63920b679","Balancing water rights in metropolitan water conservation areas: The case of Chengdu, China","Chen, Gao (Leibniz Institute for Research on Society and Space (IRS)); Bracken, G. (TU Delft Spatial Planning and Strategy); Herdt, T. (TU Delft Urban Design)","","2023","Water justice can be a delicate balancing act. Conservation regulations ensure urban areas’ water demands are met, but these may conflict with the water rights of local people living in catchment areas. No metropolis wants to face water shortages, yet prioritising municipalities’ rights over those of local people can result in water injustice. This paper explores water rights and water justice, defining both to show how the latter is achieved by balancing the former. It examines Chengdu’s water catchment area using field observation, interviews, and document analysis and investigates the causes of water conflict through the lens of local culture. The study then outlines a water justice framework involving domestic habits and lifestyle, production of water, and management principles with the aim of providing parity of rights that highlight local cultural factors. The justice criteria outlined here could also be used in other places where power structures disregard local people’s water rights.","water justice; water rights; water conservation; local culture; Chengdu (China)","en","journal article","","","","","","","","","","","Spatial Planning and Strategy","","",""
"uuid:cfe5ad16-3938-4ab5-b438-a8220a15f068","http://resolver.tudelft.nl/uuid:cfe5ad16-3938-4ab5-b438-a8220a15f068","Dependently Typed Languages in Statix","Brouwer, Jonathan (Student TU Delft); Cockx, J.G.H. (TU Delft Programming Languages); Zwaan, A.S. (TU Delft Programming Languages)","Lammel, Ralf (editor); Mosses, Peter D. (editor); Steimann, Friedrich (editor)","2023","Static type systems can greatly enhance the quality of programs, but implementing a type checker that is both expressive and user-friendly is challenging and error-prone. The Statix meta-language (part of the Spoofax language workbench) aims to make this task easier by automatically deriving a type checker from a declarative specification of a type system. However, so far Statix has not been used to implement dependent types, which is a class of type systems which require evaluation of terms during type checking. In this paper, we present an implementation of a simple dependently typed language in Statix, and discuss how to extend it with several common features such as inductive data types, universes, and inference of implicit arguments. While we encountered some challenges in the implementation, our conclusion is that Statix is already usable as a tool for implementing dependent types.","Calculus of Constructions; Dependent Types; Scope Graphs; Spoofax; Statix","en","conference paper","Schloss Dagstuhl- Leibniz-Zentrum fur Informatik GmbH, Dagstuhl Publishing","","","","","","","","","","Programming Languages","","",""
"uuid:a20ccc22-633e-4693-b482-ae7bd448ed80","http://resolver.tudelft.nl/uuid:a20ccc22-633e-4693-b482-ae7bd448ed80","Towards Modular Compilation Using Higher-Order Effects","Reinders, J.S. (TU Delft Programming Languages)","Lammel, Ralf (editor); Mosses, Peter D. (editor); Steimann, Friedrich (editor)","2023","Compilers transform a human readable source language into machine readable target language. Nanopass compilers simplify this approach by breaking up this transformation into small steps that are more understandable, maintainable, and extensible. We propose a semantics-driven variant of the nanopass compiler architecture exploring the use a effects and handlers to model the intermediate languages and the transformation passes, respectively. Our approach is fully typed and ensures that all cases in the compiler are covered. Additionally, by using an effect system we abstract over the control flow of the intermediate language making the compiler even more flexible. We apply this approach to a minimal compiler from a language with arithmetic and let-bound variables to a string of pretty printed X86 instructions. In the future, we hope to extend this work to compile a larger and more complicated language and we envision a formal verification framework from compilers written in this style.","algebraic effects and handlers; compilation; higher-order effects; modularity; monadic semantics; nanopass","en","conference paper","Schloss Dagstuhl- Leibniz-Zentrum fur Informatik GmbH, Dagstuhl Publishing","","","","","","","","","","Programming Languages","","",""
"uuid:96eb56a4-bca2-4a42-abad-f644171f1ca1","http://resolver.tudelft.nl/uuid:96eb56a4-bca2-4a42-abad-f644171f1ca1","Getting Things Done: The Eelco Way","van Deursen, A. (TU Delft Software Technology)","Lammel, Ralf (editor); Mosses, Peter D. (editor); Steimann, Friedrich (editor)","2023","Eelco Visser (1966–2022) was a leading member of the department of Software Technology (ST) of the faculty of Electrical Engineering Mathematics, and Computer Science (EEMCS) of Delft University of Technology. He had a profound influence on the educational programs in computer science at TU Delft, built a highly successful Programming Languages Group from the ground up, and used his research results to develop widely used tools and services that have been used by thousands of students and researchers for more than a decade. He realized all these successes not just alone, but in close collaboration with a range of people, who he convinced to follow his lead. In this short reflection, I look back at his achievements, and at the way in which he worked with others to bring ambitious ideas to successful reality.","Leadership","en","conference paper","Schloss Dagstuhl- Leibniz-Zentrum fur Informatik GmbH, Dagstuhl Publishing","","","","","","","","","Software Technology","","","",""
"uuid:6c1bd3fd-8961-4eb8-9777-397e4c466e94","http://resolver.tudelft.nl/uuid:6c1bd3fd-8961-4eb8-9777-397e4c466e94","ImECGnet: Cardiovascular Disease Classification from Image-Based ECG Data Using a Multi-branch Convolutional Neural Network","Ghahremani, A. (TU Delft Web Information Systems); Lofi, C. (TU Delft Web Information Systems)","","2023","Reliable Cardiovascular Disease (CVD) classification performed by a smart system can assist medical doctors in recognizing heart illnesses in patients more efficiently and effectively. Electrocardiogram (ECG) signals are an important diagnostic tool as they are already available early in the patients’ health diagnosis process and contain valuable indicators for various CVDs. Most ECG processing methods represent ECG data as a time series, often as a matrix with each row containing the measurements of a sensor lead; and/or the transforms of such time series like wavelet power spectrums. While methods processing such time-series data have been shown to work well in benchmarks, they are still highly dependent on factors like input noise and sequence length, and cannot always correlate lead data from different sensors well. In this paper, we propose to represent ECG signals incorporating all lead data plotted as a single image, an approach not yet explored by literature. We will show that such an image representation combined with our newly proposed convolutional neural network specifically designed for CVD classification can overcome the aforementioned shortcomings. The proposed (Convolutional Neural Network) CNN is designed to extract features representing both the proportional relationships of different leads to each other and the characteristics of each lead separately. Empirical validation on the publicly available PTB, MIT-BIH, and St.-Petersburg benchmark databases shows that the proposed method outperforms time series-based state-of-the-art approaches, yielding classification accuracy of 97.91%, 99.62%, and 98.70%, respectively.","classification; Convolutional Neural Network (CNN); Electrocardiogram (ECG)","en","journal article","","","","","","","","","","","Web Information Systems","","",""
"uuid:cf5f6e05-9cd3-4ad5-a494-fe8968d73c34","http://resolver.tudelft.nl/uuid:cf5f6e05-9cd3-4ad5-a494-fe8968d73c34","Time-Domain Modelling of Pulsed Photoconducting Sources - Part II: Characterization of an LT GaAs Bow-Tie Antenna","Fiorellini Bernardis, A. (TU Delft Tera-Hertz Sensing); Sberna, P.M. (TU Delft EKL Processing); Bueno Lopez, J. (TU Delft Electronics); Zhang, H. (TU Delft Tera-Hertz Sensing); Llombart, Nuria (TU Delft Tera-Hertz Sensing); Neto, A. (TU Delft Tera-Hertz Sensing)","","2023","Drude's description of the response of low-temperature gallium arsenide to optical pulse excitation is used to evaluate the components of a time-domain Norton equivalent circuit of a photoconductive antenna (PCA) source. The saturation of the terahertz (THz) radiated power occurring at large optical excitation levels was previously associated by the scientific community to radiation and charge screening of the bias. With the present circuit, we are able to model accurately the measured saturation as only due to the EM feedback from the antenna to the bias. The predicted THz radiated power is shown to match very accurately the measurements when the circuit is combined with an accurate description of the experimental conditions and the modeling of the THz quasi-optical (QO) channel.","Equivalent circuit; photoconductive antennas (PCAs); terahertz (THz); THz radiated power; THz sources; THz technology","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-19","","","Tera-Hertz Sensing","","",""
"uuid:5d06d62c-f54f-4a64-b07e-1c81ce6d6534","http://resolver.tudelft.nl/uuid:5d06d62c-f54f-4a64-b07e-1c81ce6d6534","Dependence and risk spillovers among clean cryptocurrencies prices and media environmental attention","Ndubuisi, G.O. (TU Delft Economics of Technology and Innovation); Urom, Christian (Paris School of Business)","","2023","This paper examines the relationships among cryptocurrency environmental attention and clean cryptocurrencies prices using Time-Varying Parameter Vector Auto-Regression (TVP-VAR) and wavelets techniques. Results show strong connectedness among these variables, implying that the prices of clean cryptocurrencies are influenced by attention on cryptocurrency sustainability. Connectedness is stronger with positive shocks on environmental attention than negative shocks. Also, in the short-term, clean cryptocurrencies prices lead environmental attention, especially after 2021. However, there are notable periods when environmental attention led clean cryptocurrency prices before 2021. In the long-term, clean cryptocurrencies such as Hedera, Polygon, Cosmos, IOTA, TRON, Stellar, Tezos and Ripple lead environmental attention. In the presence of bitcoin, the degrees of connectedness increased across both shocks on cryptocurrency environmental attention. In all cases, the bitcoin market is the main destination of shocks from the system. We highlight some crucial implications of these results.","Asymmetric connectedness; Clean cryptocurrency; Cryptocurrency environmental attention; Environmental sustainability; Risk spillovers; Wavelets coherence","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-05","","","Economics of Technology and Innovation","","",""
"uuid:19c911ff-c39c-40c2-8f92-5f8ac8bd1f73","http://resolver.tudelft.nl/uuid:19c911ff-c39c-40c2-8f92-5f8ac8bd1f73","Deterministic bibliometric disambiguation challenges in company names","Belz, Andrea (University of Southern California); Graddy-Reed, Alexandra (University of Southern California); Shweta, F. N.U. (University of Southern California); Giga, A. (TU Delft Delft Centre for Entrepreneurship); Murali, Shivesh Meenakshi (University of Southern California)","","2023","Peer-reviewed publications and patents serve as important signatures of knowledge generation, and therefore the authors and their organizations can represent agents of intellectual transformation. Accurate tracking of these players enables scholars to follow knowledge evolution. However, while author name disambiguation has been discussed extensively, less is known about the impact of organization name on bibliometric studies. We expand here on the recently defined phenomenon of onomastic profusion, high-frequency words used in organization names for semantic reasons, and thus contributing a non-random source of error to bibliographic studies. We use the Small Business Innovation Research (SBIR) Phase I awardees of the National Aeronautics and Space Administration (NASA) as a use case in the field of engineering innovation. We find that firms in California or Massachusetts experience a six percent decrease in the likelihood of using the word Technologies in their names. Furthermore, use of the words Research and Science is linked to doubling the number of awards. We illustrate that, in aggregate, firms executing rational strategic naming decisions can create deterministic bibliometric challenges.","bibliometric; disambiguation; names; NASA; NLP; patents; SBIR","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-20","","Delft Centre for Entrepreneurship","","","",""
"uuid:a8cdf4b9-a0e5-498c-98ed-afa25a63eb8d","http://resolver.tudelft.nl/uuid:a8cdf4b9-a0e5-498c-98ed-afa25a63eb8d","An OmpW-dependent T4-like phage infects Serratia sp. ATCC 39006","Mahler, M.S. (TU Delft BN/Stan Brouns Lab; University of Otago); Malone Portu, L.M. (TU Delft BN/Stan Brouns Lab; University of Otago; Kavli institute of nanoscience Delft); van den Berg, D.F. (TU Delft BN/Stan Brouns Lab; Kavli institute of nanoscience Delft); Smith, Leah M. (University of Otago); Brouns, S.J.J. (TU Delft BN/Stan Brouns Lab; Kavli institute of nanoscience Delft); Fineran, Peter C. (University of Otago)","","2023","Serratia sp. ATCC 39006 is a Gram-negative bacterium that has been used to study the function of phage defences, such as CRISPR-Cas, and phage counter-defence mechanisms. To expand our phage collection to study the phage-host interaction with Serratia sp. ATCC 39006, we isolated the T4-like myovirus LC53 in Ōtepoti Dunedin, Aotearoa New Zealand. Morphological, phenotypic and genomic characterization revealed that LC53 is virulent and similar to other Serratia, Erwinia and Kosakonia phages belonging to the genus Winklervirus. Using a transposon mutant library, we identified the host ompW gene as essential for phage infection, suggesting that it encodes the phage receptor. The genome of LC53 encodes all the characteristic T4-like core proteins involved in phage DNA replication and generation of viral particles. Furthermore, our bioinformatic analysis suggests that the transcriptional organization of LC53 is similar to that of Escherichia coli phage T4. Importantly, LC53 encodes 18 tRNAs, which likely compensate for differences in GC content between phage and host genomes. Overall, this study describes a newly isolated phage infecting Serratia sp. ATCC 39006 that expands the diversity of phages available to study phage-host interactions.","phage genome; Serratia sp. ATCC39006 phage; T4-like; Winklervirus","en","journal article","","","","","","","","","","","BN/Stan Brouns Lab","","",""
"uuid:8faec508-1dbc-489b-8929-e6594f0a577c","http://resolver.tudelft.nl/uuid:8faec508-1dbc-489b-8929-e6594f0a577c","Defining “k f-factors” for threshold reactions","van Sluijs, R. (k0-Ware, Heerlen); Blaauw, Menno (TU Delft RID/KEWO/Kwaliteitszorg-IMS)","","2023","The k0-method (De Corte in The k0-standardization method: move to the optimization of neutron activation analysis. Habil. Thesis, Ghent University, Belgium, 1987) was developed solely for the use of (n, γ) nuclear reactions in neutron activation analysis. For this, a definition of only the thermal and epi-thermal flux was needed. The fast flux of the fission neutrons was not taken into account although it was considered for primary interferences by De Corte0. The energy distribution of the fission neutrons can be rather well described by a Watt distribution but is reactor dependent. To complicate things, the activation cross-section behaviour is nuclide dependent. In order to incorporate threshold reactions in the k0-method we propose to use predefined kf-factors, measuring the fast flux using a Ni-58 monitor, and to introduce an h-factor that accounts for all deviations for a specific reaction and irradiation facility. It is shown, based on data from Verheijke, that there are useful correlations for Ni-58, Ti-47 and Ti-48. Activation cross section functions indicate that there are possible more relations that might allow h-factors to be predicted.","ENDF/B-VIII.0; Fast neutron spectrum; k-method; Neutron activation analysis; Threshold reactions","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-30","","","","","",""
"uuid:d5f73623-b10e-46d9-81d5-8c0fb401cc3f","http://resolver.tudelft.nl/uuid:d5f73623-b10e-46d9-81d5-8c0fb401cc3f","Graph Neural Networks for Intelligent Transportation Systems: A Survey","Rahmani, S. (TU Delft Transport and Planning); Baghbani, Asiye (Concordia University); Bouguila, Nizar (Concordia University); Patterson, Zachary (Concordia University)","","2023","Graph neural networks (GNNs) have been extensively used in a wide variety of domains in recent years. Owing to their power in analyzing graph-structured data, they have become broadly popular in intelligent transportation systems (ITS) applications as well. Despite their widespread applications in different transportation domains, there is no comprehensive review of recent advancements and future research directions that covers all transportation areas. Accordingly, in this survey, for the first time, we provide an overview of GNN studies in the general domain of ITS. Unlike previous surveys, which have been limited to traffic forecasting problems, we explore how GNN frameworks have evolved for different ITS applications, including traffic forecasting, demand prediction, autonomous vehicles, intersection management, parking management, urban planning, and transportation safety. Also, we micro-categorize the studies based on their transportation application to identify domain-specific research directions, opportunities, and challenges, which have been missing in previous surveys. Moreover, we identify unique and undiscussed research opportunities and directions, which is the result of reviewing a wide range of transportation applications. The neglected role of edge and graph learning in ITS applications, developing multi-modal models, and exploiting the power of unsupervised and reinforcement learning methods for developing more powerful GNNs are some examples of such new discussions in this survey. Finally, we have identified popular baseline models and datasets in each transportation domain, which facilitate the development and evaluation of future GNN-based frameworks.","Deep learning; deep learning; Forecasting; GNN; Graph neural networks; intelligent transportation systems; ITS; Laplace equations; Safety; Search problems; Transportation","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:8f804348-8be1-4c0e-98b4-2e11a6f351c0","http://resolver.tudelft.nl/uuid:8f804348-8be1-4c0e-98b4-2e11a6f351c0","Predictive Control of a Human–in–the–Loop Network System Considering OperatorComfort Requirements","Sadowska, Anna D. (Schlumberger Cambridge Research); Maestre, José María (University of Seville; Kyoto University); Kassking, Ruud (Royal HaskoningDHV); van Overloop, P.J.A.T.M. (TU Delft Water Resources); De Schutter, B.H.K. (TU Delft Delft Center for Systems and Control)","","2023","We propose a model-predictive control (MPC)-based approach to solve a human-in-the-loop control problem for a network system lacking sensors and actuators to allow for a fully automatic operation. The humans in the loop are, therefore, essential; they travel between the network nodes to provide the remote controller with measurements and to actuate the system according to the controller’s commands. Time instant optimization MPC is utilized to compute when the measurement and actuation actions are to take place to coordinate them with the network dynamics. The time instants also minimize the burden of human operators by tracking their energy levels and scheduling the necessary breaks. Fuel consumption related to the operators’ travel is also minimized. The results in a digital twin of the Dez Main Canal illustrate that the new algorithm outperforms previous methods in terms of meeting operational objectives and taking care of human well-being, but at the cost of higher computational requirements.","Human-in-the-loop; Irrigation; model-predictive control (MPC); Network systems; network systems; Predictive control; Schedules; Sensors; Stress; Time measurement","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-24","","Delft Center for Systems and Control","Water Resources","","",""
"uuid:2c28cc89-d36a-4ec6-bd99-789c6088c8f1","http://resolver.tudelft.nl/uuid:2c28cc89-d36a-4ec6-bd99-789c6088c8f1","A state-dependent multilaminate constitutive model for anisotropic sands","Bayraktaroglu, H. (TU Delft Geo-engineering); Hicks, M.A. (TU Delft Geo-engineering); Korff, M. (TU Delft Geo-engineering); Galavi, Vahid (DIANA FEA)","","2023","Experimental studies show that initial fabric and its evolution under different stress paths highly influence soil behaviour. Even though different sample preparation methods create different inherent anisotropies and cause different material responses, the same initial fabric structure under different stress paths also results in different material behaviours. In this paper, a simple state-dependent, bounding surface-based elastoplastic constitutive model, that can simulate the anisotropic nature of sands including the effect of principal stress rotation, is described. The model is developed based on a semi-micromechanical concept within the multilaminate framework and, to include the inherent anisotropy of sand, a deviatoric fabric tensor describing the initial microstructure is introduced. In addition, a fabric evolution rule compatible with anisotropic critical state theory (ACST) is employed to describe the evolving fabric structure and induced anisotropy towards the critical state (CS). In contrast to the classical strain-driven formulation for fabric evolution, a micro-level evolution rule is proposed. This paper presents concise theoretical aspects of the multilaminate framework and the anisotropic elastoplastic constitutive formulation. The model's capability under drained and undrained monotonic loading conditions at different stress states, relative densities and principal stress orientations is demonstrated by simulating experimental data for Toyoura sand.","anisotropy; constitutive relations; fabric/structure of soils; plasticity; sands","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:9088d7d3-287f-48f6-a049-d24c2b33f6d4","http://resolver.tudelft.nl/uuid:9088d7d3-287f-48f6-a049-d24c2b33f6d4","Dynamic Shrinkage Estimation of the High-Dimensional Minimum-Variance Portfolio","Bodnar, Taras (Stockholm University); Parolya, N. (TU Delft Statistics); Thorsén, Erik (Stockholm University)","","2023","In this paper, new results in random matrix theory are derived, which allow us to construct a shrinkage estimator of the global minimum variance (GMV) portfolio when the shrinkage target is a random object. More specifically, the shrinkage target is determined as the holding portfolio estimated from previous data. The theoretical findings are applied to develop theory for dynamic estimation of the GMV portfolio, where the new estimator of its weights is shrunk to the holding portfolio at each time of reconstruction. Both cases with and without overlapping samples are considered in the paper. The non-overlapping samples corresponds to the case when different data of the asset returns are used to construct the traditional estimator of the GMV portfolio weights and to determine the target portfolio, while the overlapping case allows intersections between the samples. The theoretical results are derived under weak assumptions imposed on the data-generating process. No specific distribution is assumed for the asset returns except from the assumption of finite 4+ɛ, ɛ >0, moments. Also, the population covariance matrix with unbounded largest eigenvalue can be considered. The performance of new trading strategies is investigated via an extensive simulation. Finally, the theoretical findings are implemented in an empirical illustration based on the returns on stocks included in the S&P 500 index.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-23","","","Statistics","","",""
"uuid:d44c4d8e-adc3-4391-a444-e3818ecc6551","http://resolver.tudelft.nl/uuid:d44c4d8e-adc3-4391-a444-e3818ecc6551","Large-scale bank restoration in the Overijsselse Vecht River","Schippers, Melanie (Witteveen+Bos); Duró, Gonzalo (Witteveen+Bos); Mosselman, E. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Deltares); de Jongste, Leon (Witteveen+Bos)","","2023","","","en","poster","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-13","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:b7b74b8e-19fe-4d47-b919-fd2df521e03c","http://resolver.tudelft.nl/uuid:b7b74b8e-19fe-4d47-b919-fd2df521e03c","Assessment of 2D hydro-morphological processes to support river restoration at Isola Serafini in the Po River (Italy)","Bossinia, Francesco (Politecnico di Torino); Mosselman, E. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Deltares); Penning, Ellis (Deltares); Camporeale, Carlo (Politecnico di Torino); Latella, Melissa (Politecnico di Torino)","","2023","","","en","abstract","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-13","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:3bdb867e-4b4b-4a7c-a55e-461a1569d7f2","http://resolver.tudelft.nl/uuid:3bdb867e-4b4b-4a7c-a55e-461a1569d7f2","Literature review papers: the search and selection process","van Wee, G.P. (TU Delft Transport and Logistics); Banister, David (University of Oxford)","","2023","In an earlier publication (Van Wee & Banister, 2016), we explained that literature review papers (LRP) should explicitly report on the search and selection process of documents included. In this paper, we present two approaches for reporting the methodology for doing this: a basic approach and a reasoned approach. The basic approach includes reporting databases(s), keywords, search strings, snowballing, the selection of documents, presenting an overview of documents included and reporting additional selection criteria (if applicable). The reasoned approach adds to the basic approach (what was done) by explicitly explaining the motivation for choices and showing the selection process graphically (why it was done). The two approaches should be seen as options, and not the only alternatives. We recommend that authors of LRPs depart from these approaches and modify them where appropriate. The important lesson is that authors should be explicit about the approach adopted, as this enables the reader to understand the thinking behind the LRP and the conclusions drawn.","basic and reasoned approaches; Literature review; methodology","en","journal article","","","","","","","","","","","Transport and Logistics","","",""
"uuid:085b263c-1880-49e9-9022-c4e156990da2","http://resolver.tudelft.nl/uuid:085b263c-1880-49e9-9022-c4e156990da2","Searching for Quality: Genetic Algorithms and Metamorphic Testing for Software Engineering ML","Applis, L.H. (TU Delft Software Engineering); Panichella, A. (TU Delft Software Engineering); Marang, R.J. (Student TU Delft)","","2023","More machine learning (ML) models are introduced to the field of Software Engineering (SE) and reached a stage of maturity to be considered for real-world use; But the real world is complex, and testing these models lacks often in explainability, feasibility and computational capacities. Existing research introduced meta-morphic testing to gain additional insights and certainty about the model, by applying semantic-preserving changes to input-data while observing model-output. As this is currently done at random places, it can lead to potentially unrealistic datapoints and high computational costs. With this work, we introduce genetic search as an aid for metamorphic testing in SE ML. Exploiting the delta in output as a fitness function, the evolutionary intelligence optimizes the transformations to produce higher deltas with less changes. We perform a case study minimizing F1 and MRR for Code2Vec on a representative sample from java-small with both genetic and random search. Our results show that within the same amount of time, genetic search was able to achieve a decrease of 10% in F1 while random search produced 3% drop.","Search-based software engineering; Evolutionary algorithms; Metamorphic testing; Machine Learning","en","conference paper","ACM/IEEE","","","","","","","","","","Software Engineering","","",""
"uuid:f980f23b-8083-47eb-99e2-8b4a0c571aff","http://resolver.tudelft.nl/uuid:f980f23b-8083-47eb-99e2-8b4a0c571aff","Effects of vegetation on gravel-bed river channel formation","Munir, Yasir (IHE Delft Institute for Water Education; Punjab Irrigation Department); Crosato, A. (TU Delft Environmental Fluid Mechanics; IHE Delft Institute for Water Education); Bregolia, Francesco (IHE Delft Institute for Water Education; Radboud Universiteit Nijmegen); Paudel, Sandesh (Ministry of Agriculture, Energy and Water resources Gandaki Province); Liu, J. (TU Delft Water Resources; IHE Delft Institute for Water Education)","","2023","","","en","abstract","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-13","","","Environmental Fluid Mechanics","","",""
"uuid:52e1f943-2507-4ee5-a623-6c03660f52f3","http://resolver.tudelft.nl/uuid:52e1f943-2507-4ee5-a623-6c03660f52f3","Response of the Upper Dutch Rhine Bifurcation Region to Peak flows","Chowdhury, M. Kifayath (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Blom, A. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Ylla Arbos, C. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Verbeek, Merel C. (Rijkswaterstaat); Schropp, Max H.I. (Rijkswaterstaat); Schielen, R.M.J. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Rijkswaterstaat)","","2023","","","en","abstract","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-13","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:22f1006d-e4a0-494c-8149-fd9aece9e257","http://resolver.tudelft.nl/uuid:22f1006d-e4a0-494c-8149-fd9aece9e257","Assessment of vegetation modelling approaches in simulating suspended sediment transport in Delft3D","Liu, J. (TU Delft Water Resources; IHE Delft Institute for Water Education); Crosato, A. (TU Delft Environmental Fluid Mechanics; IHE Delft Institute for Water Education); Bregolia, Francesco (IHE Delft Institute for Water Education; Radboud Universiteit Nijmegen); Calvani, Giulio (Swiss Federal Institute of Technology)","","2023","","","en","poster","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-13","","","Water Resources","","",""
"uuid:ccbd4dfa-79e1-41e0-9eb0-835d60ec5797","http://resolver.tudelft.nl/uuid:ccbd4dfa-79e1-41e0-9eb0-835d60ec5797","On the relationship between flow-field and bank erosion in rivers: insights from large-eddy simulations","Chakrabortya, Pratik (IHE Delft Institute for Water Education); Valero, Daniel (IHE Delft Institute for Water Education; Karlsruhe Institut für Technologie); Vargas-Luna, Andrés (Pontificia Universidad Javeriana); Bregoli, Francesco (IHE Delft Institute for Water Education); Crosato, A. (TU Delft Environmental Fluid Mechanics; IHE Delft Institute for Water Education)","","2023","","","en","poster","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-13","","","Environmental Fluid Mechanics","","",""
"uuid:7442c6b4-b65f-4f26-8009-9a6a43eac5cf","http://resolver.tudelft.nl/uuid:7442c6b4-b65f-4f26-8009-9a6a43eac5cf","Genetic-based biomonitoring in an annular flume","Dercksen, J.A. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Stancanelli, L.M. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Blom, A. (TU Delft Rivers, Ports, Waterways and Dredging Engineering)","","2023","","","en","poster","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-13","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:8319e8ba-37b0-46d6-a2e0-affe4e6a9c13","http://resolver.tudelft.nl/uuid:8319e8ba-37b0-46d6-a2e0-affe4e6a9c13","3D modelling of Saltwater Intrusion into the Haringvliet to support Evidencebased Policy Development","van Leeuwen, B. (Svašek Hydraulics); Bom, S. (Svašek Hydraulics); Kranenburg, W.M. (TU Delft Environmental Fluid Mechanics; Deltares); Coonen, M. (Hydrologic); Muurman, S. (Hydrologic)","","2023","","","en","abstract","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-13","","","Environmental Fluid Mechanics","","",""
"uuid:a1c7a00a-5cec-4682-988a-8e1a9d534b73","http://resolver.tudelft.nl/uuid:a1c7a00a-5cec-4682-988a-8e1a9d534b73","To what extent is morphodynamics of Terai Arc Landscape rivers altered by human actions?","Gautam, K. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Roebroeck, M.E. (TU Delft Support Hydraulic Engineering); Bogaard, T.A. (TU Delft Water Resources); Blom, A. (TU Delft Rivers, Ports, Waterways and Dredging Engineering)","","2023","","","en","abstract","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-13","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:a126d5ad-87a6-4369-9dcb-a273468f9a6b","http://resolver.tudelft.nl/uuid:a126d5ad-87a6-4369-9dcb-a273468f9a6b","Comparing hierarchical and inductive methods to characterize social vulnerability. – A Burkina Faso case study","Savelberg, Lotte (Netherlands Red Cross); Homberg, Marc van den (Netherlands Red Cross); Zatarain Salazar, J. (TU Delft Policy Analysis); Casali, Y. (TU Delft Transport and Logistics); Comes, M. (TU Delft Transport and Logistics)","","2023","","","en","abstract","","","","","","","","","","","Policy Analysis","","",""
"uuid:e8138f57-432c-4467-b797-c639119952b3","http://resolver.tudelft.nl/uuid:e8138f57-432c-4467-b797-c639119952b3","Climate change adaptation through integrated management of water reuse technologies","Sangiorgio, Matteo (Politecnico di Milano); Weber, Enrico (Politecnico di Milano); Cananzi, Davide (Politecnico di Milano); Zatarain Salazar, J. (TU Delft Policy Analysis); Micotti, Marco (Politecnico di Milano); Castelletti, Andrea (Politecnico di Milano)","","2023","","","en","abstract","","","","","","","","","","","Policy Analysis","","",""
"uuid:f61dc1cf-776b-42e0-838a-bd128d344fcc","http://resolver.tudelft.nl/uuid:f61dc1cf-776b-42e0-838a-bd128d344fcc","Understanding the Housing Pathways and Migration Plans of Young Talents in Metropolises–A Case Study of Shenzhen","Jin, C. (TU Delft Real Estate Management); Li, B. (TU Delft Real Estate Management); Jansen, S.J.T. (TU Delft Real Estate Management); Boumeester, H.J.F.M. (TU Delft Real Estate Management); Boelhouwer, P.J. (TU Delft Real Estate Management)","","2023","In the context of skyrocketing house prices and fierce competition for talents between cities, this study explores the housing pathways of young talents and their future migration plans in Shenzhen, China. Using the housing pathways approach and Bourdieu’s theory of practice with three concepts, this study uncovers how structural factors and the often-overlooked agency factors together influence the formation of different housing pathways. Drawing on 18 semi-structured interviews with young talents, four different housing pathways were identified: staying at parents’ home, private renting to owning, talented renting, and progressive private renting. We found that the interaction of habitus and different forms of capital shapes different housing pathways. In addition, young talents following different housing pathways have various future migration plans. This paper sheds new light on the use of the housing pathways approach and Bourdieu’s theory of practice in providing a nuanced understanding of housing and migration behaviour.","capital; field; habitus; housing pathways; migration plans; Young talents","en","journal article","","","","","","","","","","","Real Estate Management","","",""
"uuid:630778e8-9778-49a1-8d79-70cf5d338175","http://resolver.tudelft.nl/uuid:630778e8-9778-49a1-8d79-70cf5d338175","Thermomechanical Oriented Reliability Enhancement of Si MOSFET Panel-Level Packaging Fusing Ant Colony Optimization With Backpropagation Neural Network","Jiang, Jing (Fudan University); Chen, Wei (Fudan University); Qian, Yichen (Hohai University); Meda, Abdulmelik H. (The Hong Kong Polytechnic University); Fan, X. (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Fan, J. (Fudan University)","","2023","Considerable advancements in power semiconductor devices have resulted in such devices being increasingly adopted in applications of energy generation, conversion, and transmission. Hence, we proposed a fan-out panel-level packaging (FOPLP) design for 30-V Si-based metal-oxide-semiconductor field-effect transistor (MOSFET). To achieve superior reliability of packaging, we applied the nondominated sorting genetic algorithm with elitist strategy (NSGA-II) and ant colony optimization-backpropagation neural network (ACO-BPNN) to optimize the design of redistribution layer (RDL) in FOPLP. We first quantified the thermal resistance and thermomechanical coupling stress of the designed package under thermal cycling loading. Next, NSGA-II and ACO-BPNN were used to optimize the size of the RDL blind via. Finally, the effectiveness of the proposed reliability optimization methods was verified by performing thermal shock reliability aging tests on the prepared devices.","Ant Colony Neural Network; Fan-out panel-level packaging; Genetic Algorithm; MOSFET; Packaging; Power device; Reliability; Reliability optimization; Stress; Thermal resistance; Thermal stresses; Thermomechanical processes","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-09","","","Electronic Components, Technology and Materials","","",""
"uuid:5ad94538-e490-4982-8315-97f04cd53641","http://resolver.tudelft.nl/uuid:5ad94538-e490-4982-8315-97f04cd53641","Ergodic Theory of Multi-layer Interacting Particle Systems","Redig, F.H.J. (TU Delft Applied Probability); van Wiechen, H. (TU Delft Applied Probability)","","2023","We consider a class of multi-layer interacting particle systems and characterize the set of ergodic probability measures with finite moments. The main technical tool is duality combined with successful coupling.","Coupling; Duality; Interacting particle systems; Multi-layer random walks","en","journal article","","","","","","","","","","","Applied Probability","","",""
"uuid:6f2e94f5-7261-4ef3-9afa-6fd3d7e5f3ed","http://resolver.tudelft.nl/uuid:6f2e94f5-7261-4ef3-9afa-6fd3d7e5f3ed","Operations Eclipse Sequencing in Multipurpose Dam Planning","Giuliani, Matteo (Politecnico di Milano); Arnold, Wyatt (Politecnico di Milano); Zatarain Salazar, J. (TU Delft Policy Analysis); Carlino, Angelo (Politecnico di Milano); Castelletti, Andrea (Politecnico di Milano)","","2023","","","en","abstract","","","","","","","","","","","Policy Analysis","","",""
"uuid:ede25e1d-fa1e-4091-8f8d-3874c783d2a9","http://resolver.tudelft.nl/uuid:ede25e1d-fa1e-4091-8f8d-3874c783d2a9","Extending Source Code Pre-Trained Language Models to Summarise Decompiled Binaries","Al-Kaswan, A. (TU Delft Software Engineering); Ahmed, Toufique (University of California); Izadi, M. (TU Delft Software Engineering); Sawant, Anand Ashok (University of California); Devanbu, Premkumar (University of California); van Deursen, A. (TU Delft Software Technology)","Ceballos, Cristina (editor)","2023","Binary reverse engineering is used to understand and analyse programs for which the source code is unavailable. Decompilers can help, transforming opaque binaries into a more readable source code-like representation. Still, reverse engineering is difficult and costly, involving considering effort in labelling code with helpful summaries. While the automated summarisation of decompiled code can help reverse engineers understand and analyse binaries, current work mainly focuses on summarising source code, and no suitable dataset exists for this task. In this work, we extend large pre-trained language models of source code to summarise de-compiled binary functions. Further-more, we investigate the impact of input and data properties on the performance of such models. Our approach consists of two main components; the data and the model. We first build CAPYBARA, a dataset of 214K decompiled function-documentation pairs across various compiler optimisations. We extend CAPYBARA further by removing identifiers, and deduplicating the data. Next, we fine-tune the CodeT5 base model with CAPYBARA to create BinT5. BinT5 achieves the state-of-the-art BLEU-4 score of 60.83, 58.82 and, 44.21 for summarising source, decompiled, and obfuscated decompiled code, respectively. This indicates that these models can be extended to decompiled binaries successfully. Finally, we found that the performance of BinT5 is not heavily dependent on the dataset size and compiler optimisation level. We recommend future research to further investigate transferring knowledge when working with less expressive input formats such as stripped binaries.","Decompilation; Binary; Reverse Engineering; Summarization; Deep Learning; Pre-trained Language Models; CodeT5; Transformers","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-15","","Software Technology","Software Engineering","","",""
"uuid:1e99a7f8-035f-4682-a028-ec250a9aa5a4","http://resolver.tudelft.nl/uuid:1e99a7f8-035f-4682-a028-ec250a9aa5a4","Realistic μPMU Data Generation for Different Real-Time Events in an Unbalanced Distribution Network","Haleem Medattil Ibrahim, M.I. (TU Delft Intelligent Electrical Power Grids; University of Petroleum and Energy Studies); Sharma, Madhu (University of Petroleum and Energy Studies); Subramaniam Rajkumar, Vetrivel (TU Delft Intelligent Electrical Power Grids)","","2023","Monitoring, protection, and control processes are becoming more complex as distributed energy resources (DERs) penetrate distribution networks (DNs). This is due to the inherent nature of power DNs and the bi-directional flow of current from various sources to the loads. To improve the system’s situational awareness, the grid dynamics of the entire DER integration processes must be carefully monitored using synchronized high-resolution real-time measurement data from physical devices installed in the DN. μPMUs have been introduced into the DN to help with this. In comparison to traditional measurement devices, μPMUs can measure voltage, current, and their phasors, in addition to frequency and rate of frequency change (ROCOF). In this study, an approach to generating realistic event data for a real utility DN utilizing strategically installed μPMUs is proposed. The method employs an IEEE 34 test feeder with 12 μPMUs installed in strategic locations to generate real-time events-based realistic μPMU data for various situational awareness applications in an unbalanced DN. The node voltages and line currents were used to analyze the various no-fault and fault events. The author generated the data as part of his PhD research project, utilizing his real-time utility grid operation experience to be used for various situational awareness and fault location studies in a real unbalanced DN. The DN was modeled in DIgSILENT PowerFactory (DP) software. The generated realistic μPMU data can be utilized for developing data-driven algorithms for different event-detection, classification and section-identification research works.","μPMUs; real-time events; data generation; distribution network; fault events; situational awareness; modeling and simulation; load flow analysis; RMS simulation","en","journal article","","","","","","","","","","","Intelligent Electrical Power Grids","","",""
"uuid:879b0219-f7c4-402c-9cc2-2487ace820c4","http://resolver.tudelft.nl/uuid:879b0219-f7c4-402c-9cc2-2487ace820c4","What does heritability of Alzheimer’s disease represent?","Baker, Emily (Cardiff University); Leonenko, Ganna (Cardiff University); Schmidt, Karl Michael (Cardiff University); Hill, Matthew (Cardiff University); Myers, Amanda J. (University of Miami); Shoai, Maryam (University College London (UCL)); de Rojas, Itziar (Universitat Internacional de Catalunya; Instituto de Salud Carlos III); Tesi, N. (TU Delft Pattern Recognition and Bioinformatics; Amsterdam UMC; Amsterdam Neuroscience, Neurodegeneration); Holstege, H. (TU Delft Intelligent Systems; Amsterdam UMC; Amsterdam Neuroscience, Neurodegeneration)","","2023","Introduction Both late-onset Alzheimer’s disease (AD) and ageing have a strong genetic component. In each case, many associated variants have been discovered, but how much missing heritability remains to be discovered is debated. Variability in the estimation of SNP-based heritability could explain the differences in reported heritability. Methods We compute heritability in five large independent cohorts (N = 7,396, 1,566, 803, 12,528 and 3,963) to determine whether a consensus for the AD heritability estimate can be reached. These cohorts vary by sample size, age of cases and controls and phenotype definition. We compute heritability a) for all SNPs, b) excluding APOE region, c) excluding both APOE and genome-wide association study hit regions, and d) SNPs overlapping a microglia gene-set. Results SNP-based heritability of late onset Alzheimer’s disease is between 38 and 66% when age and genetic disease architecture are correctly accounted for. The heritability estimates decrease by 12% [SD = 8%] on average when the APOE region is excluded and an additional 1% [SD = 3%] when genome-wide significant regions were removed. A microglia gene-set explains 69–84% of our estimates of SNP-based heritability using only 3% of total SNPs in all cohorts. Conclusion The heritability of neurodegenerative disorders cannot be represented as a single number, because it is dependent on the ages of cases and controls. Genome-wide association studies pick up a large proportion of total AD heritability when age and genetic architecture are correctly accounted for. Around 13% of SNP-based heritability can be explained by known genetic loci and the remaining heritability likely resides around microglial related genes.","","en","journal article","","","","","","","","","","Intelligent Systems","Pattern Recognition and Bioinformatics","","",""
"uuid:27c7adb2-3bd1-48c1-bc53-87337e91ca8b","http://resolver.tudelft.nl/uuid:27c7adb2-3bd1-48c1-bc53-87337e91ca8b","Effect of microstructure heterogeneity shapes on constitutive behaviour of encapsulated self-healing cementitious materials","Sayadi, Sina (Cardiff University); Ricketts, Evan (Cardiff University); Schlangen, E. (TU Delft Materials and Environment); Cleall, Peter (Cardiff University); Mihai, Iulia (Cardiff University); Jefferson, Anthony (Cardiff University)","","2023","Self-healing cementitious materials with microcapsules are complex multiscale and multiphase materials. The random microstructure of these materials governs their mechanical and transport behaviour. The actual microstructure can be represented accurately with a discrete lattice model, but computational restrictions mean that the size of domain that can be considered with this approach is limited. By contrast, a smeared approach, based on a micromechanical formulation, provides an approximate representation of the material microstructure with low computational costs. The aim of this paper is to compare simulations of a microcapsule-based self-healing cementitious system with discrete-lattice and smeared-micromechanical models, and to assess the relative strengths and weaknesses of these models for simulating distributed fracture and healing in this type of self-healing material. A novel random field generation technique is used to represent the microstructure of a cementitious mortar specimen. The meshes and elements are created by the triangulation method and used to determine the input required for the lattice model. The paper also describes the enhancement of the TUDelft lattice model to include self-healing behaviour. The extended micromechanical model considers both microcracking and healing. The findings from the study provide insight into the relative merits of these two modelling approaches.","","en","conference paper","EDP Sciences","","","","","","","","","","Materials and Environment","","",""
"uuid:cf5523ff-8a50-498d-90b7-4e265659b356","http://resolver.tudelft.nl/uuid:cf5523ff-8a50-498d-90b7-4e265659b356","Influence of printing direction on 3D-printed vascular based self-healing cementitious composites","Wan, Z. (TU Delft Materials and Environment); Xu, Y. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment)","","2023","Compared with other self-healing mechanisms, embedding vascular networks in cementitious matrix enables repairing wider cracks and performing multiple healing cycles. For vascular-based self-healing cementitious composites, additive manufacturing (AM) allows fabricating vascular structures with complex geometry. For Fused Filament Fabrication (FFF), printing direction has great influences on the properties of the 3D-printed vascular network. To timely release the healing agent when cracks occur, selecting the proper printing direction for the vascular network in self-healing concretes is necessary. In this work, two commercial printing filaments, i.e., polylactic acid (PLA) and polyvinyl alcohol (PVA), are used to create the vascular structures. The influence of printing direction on the dissolution of 3D-printed PVA structure is investigated. Besides, the flexural strength and water tightness of samples with PLA vascular printed in different printing directions are compared. After the 4-point bending tests, epoxy resin is manually injected into the vascular networks to seal the cracks. It is found that the strength recovery and the permeability of the cracked specimens are influenced by the printing direction due to the different crack closure after unloading.","","en","book chapter","EDP Sciences","","","","","","","","","","Materials and Environment","","",""
"uuid:8debef0b-8f19-401b-9447-b3ae3578ebc8","http://resolver.tudelft.nl/uuid:8debef0b-8f19-401b-9447-b3ae3578ebc8","Tunable chitosan-alginate capsules for a controlled release of crystallisation inhibitors in mortars","Kamat, Ameya (TU Delft Heritage & Architecture; TU Delft Materials and Environment); Palin, D. (University of Cambridge); Lubelli, B. (TU Delft Heritage & Architecture); Schlangen, E. (TU Delft Materials and Environment)","Van Mullem, T. (editor); De Belie, N. (editor); Ferrara, L. (editor); Gruyaert, E. (editor); Van Tittelboom, K. (editor)","2023","Plasters and renders used in historic monuments are vulnerable to degradation caused by salt weathering. Crystallisation inhibitors (molecules/ions that alter salt crystallisation) mixed into mortars have shown promising results in mitigating salt damage by inhibiting salt crystallisation, promoting salt transport to the evaporating surface, and modifying crystal habit. However, past research suggests that inhibitors easily leach out from mortars, meaning their long-term positive effect is lost. Encapsulation of an inhibitor within a mortar is a potential solution to minimise leaching. Herein, capsules composed of a polyelectrolyte complex of calcium alginate coated in chitosan are investigated for the controlled diffusive release of sodium ferrocyanide, a known NaCl crystallisation inhibitor. Capsules with varying chitosan-calcium alginate ratios are prepared using the extrusion dripping technique. The release of the inhibitor from capsules in solutions of various pH values ranging from 7–13 is investigated. Results show that increasing the capsule’s chitosan to calcium alginate ratio reduces the inhibitor release for all studied solution pH values compared to pure calcium-alginate capsules. Therefore, a controlled inhibitor release can be obtained by tuning the chitosan-alginate ratio. In future, additional tests will be performed to find suitable capsule compositions for optimising their performance when mixed in mortars.","","en","conference paper","EDP Sciences","","","","","","","","","","Heritage & Architecture","","",""
"uuid:43e8a057-7c03-412b-a8a7-e51781d6dbde","http://resolver.tudelft.nl/uuid:43e8a057-7c03-412b-a8a7-e51781d6dbde","3D printing and self-healing concrete: a good match?","Šavija, B. (TU Delft Materials and Environment)","","2023","Self-healing concrete has shown excellent potential in improving the durability of (reinforced) concrete structures and reducing the need for their repair and maintenance. This has been further substantiated by several successful full-scale demonstrator projects. Nevertheless, industrial uptake of the technology is lagging behind, mainly due to the higher initial cost compared to traditional concrete. In addition, it is well known that some self-healing mechanisms can have detrimental effects on properties of concrete, such as e.g., the compressive strength, making some engineers sceptical about practical applicability. With these two issues in mind, one might wonder: shouldn’t we simply apply self-healing concrete only where it is needed? This has been done in the past in so-called hybrid structures, in which self-healing concrete was used in the cover zone as a stay-in-place mold, while traditional concrete was used as infill. Additive manufacturing (3D printing) techniques offer additional possibilities in selective placement and optimization of self-healing concrete composites. Additive manufacturing provides unprecedented freedom in design and optimization of structures at virtually no additional cost. This could allow customizing the placement of self-healing agents based on structural design and loading considerations of a given structure. In this talk, recent developments and potential applications of different additive manufacturing techniques for design and fabrication of self-healing concrete will be discussed.","","en","conference paper","EDP Sciences","","","","","","","","","","Materials and Environment","","",""
"uuid:6f12027f-6eda-44ba-870c-e5a9240c7160","http://resolver.tudelft.nl/uuid:6f12027f-6eda-44ba-870c-e5a9240c7160","Structural performance of reinforced concrete beams with self-healing cover zone","He, S. (TU Delft Materials and Environment); Lukovic, M. (TU Delft Concrete Structures); Jonkers, H.M. (TU Delft Materials and Environment); Schlangen, E. (TU Delft Materials and Environment)","","2023","In the current study, experiments were carried out to investigate the structural performance of reinforced concrete (RC) beams with a self-healing cover zone. The cover zone consists of a 1.5-cm-thick layer of bacteria-embedded strain hardening cementitious composite (SHCC) for a combination of crack width control and crack healing. The aim is to bring together two emerging technologies (i.e., self-healing and strain-hardening) that show great potential for realizing highly efficient concrete structures. RC beam without the self-healing cover was also prepared as the control specimen for comparison purposes. The experimental program includes loading the beams to failure in four-point bending configuration and sawing the beams to segments for crack pattern analysis and crack healing. Results show that the beams with self-healing cover exhibited a 45-60% improvement in structural capacity. The crack patterns of the hybrid beams were also largely modified. While the reference beam formed only a few major cracks, the hybrid beams formed around 40 fine cracks in the constant bending moment region with an average crack width smaller than 0.2 mm even at maximum load. By having an improved cracking behavior and an enhanced self-healing capacity, it is expected that the beams with a self-healing cover will possess an extended service life at the expense of minimal additional cost.","","en","conference paper","EDP Sciences","","","","","","","","","","Materials and Environment","","",""
"uuid:95ea6576-69d7-4ecc-a0d7-35e616562253","http://resolver.tudelft.nl/uuid:95ea6576-69d7-4ecc-a0d7-35e616562253","Unprotected Left-Turn Behavior Model Capturing Path Variations at Intersections","Zhao, Jing (University of Shanghai for Science and Technology); Knoop, V.L. (TU Delft Transport and Planning); Sun, Jian (Tongji University); Ma, Zian (Tongji University); Wang, M. (TU Delft Transport and Planning; Technische Universität Dresden)","","2023","Path dispersion (the spatial distribution of vehicular paths) is an important feature of traffic flow inside intersections and differs from traffic flow running along traffic lanes at road segment, especially under conflicting movements. The path dispersion reflects the operational features of traffic flow and is related to driving behaviour, arrival flow patterns, layout design, and the traffic control and management scheme. This study aims to improve the understanding of the overall path dispersion of unprotected left-turns and the opposing through movement. A behavioural simulation model was established to represent the overall path dispersion. Human behaviours regarding vehicle trajectory planning with and without conflicting vehicles were modelled based on optimal control and integrated into the proposed discrete event simulation framework. The descriptive power and accuracy of the proposed simulation model were validated using empirical data. The effects of the spatial size of the intersection, crossing angle, and traffic volume on the path dispersion of the left-turn and through movement were explored based on numerical experiments. The results show that the proposed simulation model can represent the path dispersion of left-turn and opposing through movement well for both the calibrated intersections and newly added intersections without model parameter recalibration with an average error of 8.92%.","Path dispersion; driving behaviors; conflicting movements; intersections","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-03","","Transport and Planning","Transport and Planning","","",""
"uuid:c3681afd-1202-4963-bbf3-7b065abe771c","http://resolver.tudelft.nl/uuid:c3681afd-1202-4963-bbf3-7b065abe771c","Targeted Attack on GPT-Neo for the SATML Language Model Data Extraction Challenge [PRESENTATION]","Al-Kaswan, A. (TU Delft Software Engineering); Izadi, M. (TU Delft Software Engineering); van Deursen, A. (TU Delft Software Technology)","","2023","Previous work has shown that Large Language Models are susceptible to so-called data extraction attacks. This allows an attacker to extract a sample that was contained in the training data, which has massive privacy implications. The construction of data extraction attacks is challenging, current attacks are quite inefficient, and there exists a significant gap in the extraction capabilities of untargeted attacks and memorization. Thus, targeted attacks are proposed, which identify if a given sample from the training data, is extractable from a model. In this work, we apply a targeted data extraction attack to the SATML2023 Language Model Training Data Extraction Challenge. We apply a two-step approach. In the first step, we maximise the recall of the model and are able to extract the suffix for 69% of the samples. In the second step, we use a classifier-based Membership Inference Attack on the generations. Our AutoSklearn classifier achieves a precision of 0.841. The full approach reaches a score of 0.405 recall at a 10% false positive rate, which is an improvement of 34% over the baseline of 0.301.","","en","other","","","","","","","","","","Software Technology","Software Engineering","","",""
"uuid:631ddc7f-e8c3-4616-b433-1cc0a1cf2ce4","http://resolver.tudelft.nl/uuid:631ddc7f-e8c3-4616-b433-1cc0a1cf2ce4","Mass balance of the Greenland and Antarctic ice sheets from 1992 to 2020","Otosaka, I.N. (University of Leeds); Shepherd, Andrew (University of Leeds); Ivins, Erik R. (California Institute of Technology); Schlegel, Nicole-Jeanne (California Institute of Technology); Amory, Charles (Université Grenoble Alpes); Simon, K.M. (TU Delft Physical and Space Geodesy); Schrama, Ernst (TU Delft Astrodynamics & Space Missions); van der Wal, W. (TU Delft Astrodynamics & Space Missions); Wouters, B. (TU Delft Physical and Space Geodesy; Universiteit Utrecht)","","2023","Ice losses from the Greenland and Antarctic ice sheets have accelerated since the 1990s, accounting for a significant increase in the global mean sea level. Here, we present a new 29-year record of ice sheet mass balance from 1992 to 2020 from the Ice Sheet Mass Balance Inter-comparison Exercise (IMBIE). We compare and combine 50 independent estimates of ice sheet mass balance derived from satellite observations of temporal changes in ice sheet flow, in ice sheet volume, and in Earth's gravity field. Between 1992 and 2020, the ice sheets contributed 21.0±1.9g€¯mm to global mean sea level, with the rate of mass loss rising from 105g€¯Gtg€¯yr-1 between 1992 and 1996 to 372g€¯Gtg€¯yr-1 between 2016 and 2020. In Greenland, the rate of mass loss is 169±9g€¯Gtg€¯yr-1 between 1992 and 2020, but there are large inter-annual variations in mass balance, with mass loss ranging from 86g€¯Gtg€¯yr-1 in 2017 to 444g€¯Gtg€¯yr-1 in 2019 due to large variability in surface mass balance. In Antarctica, ice losses continue to be dominated by mass loss from West Antarctica (82±9g€¯Gtg€¯yr-1) and, to a lesser extent, from the Antarctic Peninsula (13±5g€¯Gtg€¯yr-1). East Antarctica remains close to a state of balance, with a small gain of 3±15g€¯Gtg€¯yr-1, but is the most uncertain component of Antarctica's mass balance. The dataset is publicly available at 10.5285/77B64C55-7166-4A06-9DEF-2E400398E452 (IMBIE Team, 2021).","Antarctica; Greenland; ice sheet; remote sensing; sea level","en","journal article","","","","","","","","","","","Physical and Space Geodesy","","",""
"uuid:6a6ee478-6ad7-4715-8628-77717a6244ed","http://resolver.tudelft.nl/uuid:6a6ee478-6ad7-4715-8628-77717a6244ed","Aerosol first indirect effect of African smoke at the cloud base of marine cumulus clouds over Ascension Island, southern Atlantic Ocean","De Graaf, Martin (Royal Netherlands Meteorological Institute (KNMI)); Sarna, K. (TU Delft Atmospheric Remote Sensing); Brown, Jessica (Wageningen University & Research); Tenner, Elma V. (Student TU Delft); Schenkels, Manon (Universiteit Utrecht); Donovan, D.P. (Royal Netherlands Meteorological Institute (KNMI))","","2023","The interactions between aerosols and clouds are among the least understood climatic processes and were studied over Ascension Island. A ground-based UV polarization lidar was deployed on Ascension Island, which is located in the stratocumulus-to-cumulus transition zone of the southeastern Atlantic Ocean, to infer cloud droplet sizes and droplet number density near the cloud base of marine boundary layer cumulus clouds. The aerosol–cloud interaction (ACI) due to the presence of smoke from the African continent was determined during the monsoonal dry season. In September 2016, a cloud droplet number density ACIN of 0.3 ± 0.21 and a cloud effective radius ACIr of 0.18 ± 0.06 were found, due to the presence of smoke in and under the clouds. Smaller droplets near the cloud base makes them more susceptible to evaporation, and smoke in the marine boundary layer over the southeastern Atlantic Ocean will likely accelerate the stratocumulus-to-cumulus transition. The lidar retrievals were tested against more traditional radar–radiometer measurements and shown to be robust and at least as accurate as the lidar–radiometer measurements. The lidar estimates of the cloud effective radius are consistent with previous studies of cloud base droplet sizes. The lidar has the large advantage of retrieving both cloud and aerosol properties using a single instrument.","","en","journal article","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:9fe719f4-0856-458c-a27c-226acacb73c6","http://resolver.tudelft.nl/uuid:9fe719f4-0856-458c-a27c-226acacb73c6","Employing Deep Ensemble Learning for Improving the Security of Computer Networks against Adversarial Attacks","Nowroozi, Ehsan (Bahçeşehir Üniversitesi); Mohammadi, Mohammadreza (Università degli Studi di Padova); Savas, Erkay (Sabanci University); Mekdad, Yassine (Florida International University); Conti, M. (TU Delft Cyber Security; University of Padua; University of Washington)","","2023","In the past few years, Convolutional Neural Networks (CNN) have demonstrated promising performance in various real-world cybersecurity applications, such as network and multimedia security. However, the underlying fragility of CNN structures poses major security problems, making them inappropriate for use in security-oriented applications, including computer networks. Protecting these architectures from adversarial attacks necessitates using security-wise architectures that are challenging to attack. In this study, we present a novel architecture based on an ensemble classifier that combines the enhanced security of 1-Class classification (known as 1C) with the high performance of conventional 2-Class classification (known as 2C) in the absence of attacks. Our architecture is referred to as the 1.5-Class (cmb-classifier) classifier and is constructed using a final dense classifier, one 2C classifier (i.e., CNNs), and two parallel 1C classifiers (i.e., auto-encoders). In our experiments, we evaluated the robustness of our proposed architecture by considering eight possible adversarial attacks in various scenarios. We performed these attacks on the 2C and cmb-classifier architectures separately. The experimental results of our study showed that the Attack Success Rate (ASR) of the I-FGSM attack against a 2C classifier trained with the N-BaIoT dataset is 0.9900. In contrast, the ASR is 0.0000 for the cmb-classifier.","Adversarial Attacks; Adversarial Examples; Adversarial Machine Learning; Computer architecture; Computer networks; Computer security; Convolutional neural networks; Counter-Forensics; Cybersecurity; Deep-Learning Security; Ensemble Classifiers; Forensics; Secure Classification; Support vector machines; Training","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-23","","","Cyber Security","","",""
"uuid:f417b2b8-ab43-457d-aa8a-22cbd08dbf50","http://resolver.tudelft.nl/uuid:f417b2b8-ab43-457d-aa8a-22cbd08dbf50","Creating spaces and cultivating mindset for transdisciplinary learning and experimentation: Pathways beyond the International Transdisicplinarity Conference 2021","Pearce, B.J. (TU Delft Policy Analysis); Vienni-Baptista, Bianca (ETH Zürich); Stauffacher, Michael (ETH Zürich); Paulsen, Theres (Swiss Academies of Arts and Sciences); Krütli, Pius (ETH Zürich); Buser, Tobias (Global Alliance for Inter- and Transdisciplinarity); Salsabila, Nabila Putri (ETH Zürich); Christl, Celine","","2023","This Special Focus highlights the potential of transdisciplinary research and learning to confront the complexity of the challenges facing society today. By serving as a bridge between science, practice and society, transdisciplinarity can be a means to help foster collaboration between diverse actors, question existing paradigms of knowledge co-production and build pathways towards transformation","learning; online conference; science-society interface; td-net; transdisciplinarity","en","journal article","","","","","","","","","","","Policy Analysis","","",""
"uuid:e37b9578-3731-4060-9e69-6c4744608920","http://resolver.tudelft.nl/uuid:e37b9578-3731-4060-9e69-6c4744608920","Exact closed-form expressions for the complete RTM correction","Klees, R. (TU Delft Physical and Space Geodesy); Seitz, Kurt (Karlsruhe Institut für Technologie); Slobbe, D.C. (TU Delft Physical and Space Geodesy)","","2023","We present exact, closed-form expressions for the complete RTM correction and the harmonic correction to disturbing potential, gravity disturbance, gravity anomaly, and height anomaly. They need to be applied in quasi-geoid modelling whenever data points are buried inside the masses after residual terrain model (RTM) reduction and analytically downward-continued functionals of the disturbing potential at the original locations of the data points are required. Compared to recent work of the authors published in this journal, no Taylor series enter the expressions and numerical instabilities of the harmonic downward continuation from the RTM surface to the Earth’s surface are avoided as are inaccuracies in the free-air upward continuation from the Earth’s surface to the RTM surface caused by a lack of precise information about higher-order derivatives of the disturbing potential. The new expressions can easily be implemented in any existing RTM software package and do not require additional computational resources. For two test areas located in western Norway and the Auvergne in France, we compute the complete RTM correction and the harmonic correction to the afore-mentioned functionals of the disturbing potential. Overall, all harmonic corrections are non-negative with maximum values of 1.54 m 2/ s 2, 263.0 μ Gal, 263.9 μ Gal, and 15.7 m (Norway) and 1.55 m 2/ s 2, 263.3 μ Gal, 263.3 μ Gal, and 15.8 cm (Auvergne) for disturbing potential, gravity disturbance, gravity anomaly, and height anomaly, respectively. The medians are 0.02 m 2/ s 2, 33.6 μ Gal, 33.7 μ Gal, and 0.3 cm (Norway) and 0.01 m 2/ s 2, 19.2 μ Gal, 19.2 μ Gal, and 0.1 cm (Auvergne). We also show that the nth Taylor polynomials used in the recent work of the authors published in this journal may have large remainders depending on the topography in the vicinity of the evaluation point no matter how n is chosen. Finally, we show that the commonly used expression for the harmonic correction to gravity anomaly introduced in 1981 is almost exact, though it was derived along a completely different line of reasoning. The errors do not exceed 49 μ Gal in both test areas. Moreover, the errors have a negligible impact on the computed height anomalies in one-centimetre quasi-geoid modelling, as the mean error does not exceed a few μ Gal in both test areas.","Auasi-geoid modelling; Complete RTM correction; Harmonic correction; RTM reduction","en","journal article","","","","","","","","","","","Physical and Space Geodesy","","",""
"uuid:710ccabb-542e-409c-a0dd-658fcbdc3a48","http://resolver.tudelft.nl/uuid:710ccabb-542e-409c-a0dd-658fcbdc3a48","Compliant manipulator design method (COMAD) for the type synthesis of all serial and parallel multi-DoF compliant mechanisms, with example of a Schönflies motion generator","Huisjes, A.E. (TU Delft Mechatronic Systems Design); van der Wijk, V. (TU Delft Mechatronic Systems Design)","","2023","This article presents the compliant manipulator design method (COMAD) for the synthesis of serial and parallel multi-DoF compliant mechanisms. Currently, the freedom and constraint topology (FACT)-method results in flexure systems being a serial kinematic solution for multi-DoF motions. In the COMAD method parallel solutions are included too through 3 steps: (1) obtaining the serial and parallel kinematic solutions for an intended set of end-effector DoFs with the type synthesis of legs-method; (2) transforming each legtype into a flexure leg by using the FACT method; (3) combining legs in parallel to obtain complete compliant mechanism designs. It was applied for a compliant Schönflies motion generator – having three translations and one rotation – resulting in 5 different 4-DoF flexure legs. 4 designs were new compared to the result obtained using the FACT method. Then, a set of legs was combined in parallel resulting in a compliant Schönflies mechanism, which was manufactured. Its mobility was experimentally evaluated by modal analysis. The intended motions separately became visible during its first four eigenmodes. They are the mechanism's DoFs as their stiffness is relatively low.","Multi-DoF flexure systems; Parallel compliant mechanisms; Spatial motion; Synthesis method","en","journal article","","","","","","","","","","","Mechatronic Systems Design","","",""
"uuid:6f7d5d8a-e834-4245-9673-622a69f54965","http://resolver.tudelft.nl/uuid:6f7d5d8a-e834-4245-9673-622a69f54965","23.3 A 51A Hybrid Magnetic Current Sensor with a Dual Differential DC Servo Loop and 43mArmsResolution in a 5MHz Bandwidth","Jouvaeian, Amirhossein; Fan, Q. (TU Delft Microelectronics); Motz, Mario (Infineon Technologies); Ausserlechner, Udo (Infineon Technologies); Makinwa, K.A.A. (TU Delft Microelectronics)","","2023","Magnetic current sensors are widely used in applications where galvanic isolation and wide bandwidth (BW) are desired, such as in switched-mode power supplies and motor drivers. By using Hall plates for low frequencies and pick-up coils for high frequencies, hybrid magnetic sensors can achieve high resolution (tens of textmA) over a wide frequency range (up to 15MHz) [1]-[3]. However, previous designs exhibit either poor gain flatness over frequency or limited energy efficiency. This work presents a hybrid magnetic current sensor that textachievespm 1. 1% gain flatness, which is 3times better than prior art [1]-[3]. Its energy efficiency is also 11times better than the state-of-the-art [1], [4], [5].","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-23","","Microelectronics","","","",""
"uuid:9a827b32-b243-4b08-aed8-c17dfcd99eac","http://resolver.tudelft.nl/uuid:9a827b32-b243-4b08-aed8-c17dfcd99eac","23.5 A Sub-1V 810nW Capacitively-Biased BJT-Based Temperature Sensor with an Inaccuracy of ±0.15°C (3σ) from -55°C to 125°C","Tang, Z. (TU Delft Electronic Instrumentation); Pan, S. (TU Delft Electronic Instrumentation; Tsinghua University); Makinwa, K.A.A. (TU Delft Microelectronics)","","2023","BJT-based temperature sensors are widely used because they can achieve excellent accuracy after 1-point calibration. However, they typically dissipate mu textWs of power and require supply voltages above 1V [1]. Although sensors based on DTMOSTs [2], [3], capacitively biased (CB) diodes and BJTs [4,5] have demonstrated sub-1V operation, this comes at the expense of accuracy. This paper presents a sub-1V CB BJT-based temperature sensor that achieves a 1-point-trimmed inaccuracy of 0.15°C (3σ) from -55 circC to 125 circC, which is 4times better than the CB BJT state-of-the-art [4]. It also achieves a resolution FoM of 0.34pJ.K2, which is 6.8 times better than that of state-of-the-art BJT-based sensors with a similar accuracy [1], [6], (Fig. 23.5.6).","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-23","","Microelectronics","Electronic Instrumentation","","",""
"uuid:3448500f-f87f-4875-b546-107f051d41f3","http://resolver.tudelft.nl/uuid:3448500f-f87f-4875-b546-107f051d41f3","Relevant Research Questions For Decentralised (Personal) Data Governance","Kurteva, A.K. (TU Delft Design for Sustainability); Pandit, Harshvardhan J. (Dublin City University)","","2023","Protecting and preserving individuals’ personal data is a legal obligation set out by the European Union’s General Data Protection Regulation (GDPR). However, the process of implementing data governance to support that, in a decentralised ecosystem, is still vague. Motivated by the need for lawful decentralised data processing, this paper outlines several relevant questions from legal, privacy and technology standpoints that need to be considered","Data governance; Privacy; Decentralisation; Semantic web; Ontology; User Interfaces and Human Computer Interaction; GDPR","en","conference paper","CEUR-WS","","","","","","","","","","Design for Sustainability","","",""
"uuid:0411a8ad-a483-4537-8a0e-ab5bc1f4f382","http://resolver.tudelft.nl/uuid:0411a8ad-a483-4537-8a0e-ab5bc1f4f382","Gedragsinzichten bieden meer beleidskansen dan er nu worden benut","van de Riet, O. (Ministerie van Financiën); Pietersma, S. (Ministerie van Financiën); Enserink, B. (TU Delft Policy Analysis)","","2023","Gedragsinzichten zijn onontbeerlijk om te komen tot effectief beleid, de mens is immers geen homo economicus. Dat geldt echter niet alleen voor uitvoeringsvraagstukken, maar ook voor het ontwerpen van beleid. Hier ligt er nog een groot onbenut potentieel.","","nl","journal article","","","","","","","","","","","Policy Analysis","","",""
"uuid:aae8b45a-91bb-4556-8513-ea339e6dff2f","http://resolver.tudelft.nl/uuid:aae8b45a-91bb-4556-8513-ea339e6dff2f","Sedimentary cover and structural trends affecting the groundwater flow in the Nubian Sandstone Aquifer System: Inferences from geophysical, field and geochemical data","Mohamed, Ahmed (Assiut University); Alarifi, Saad S. (King Saud University); Abdelrady, Ahmed (TU Delft Water Resources)","","2023","This study combined gravity data from the Earth Gravitational Model (EGM2008) with other data to better understand the spatial variations of the sedimentary cover and the structural trends that affect groundwater flow in the Nubian Sandstone Aquifer System. Our findings were verified and evidenced by geological, geochronological, geochemical data, and earthquake records: 1) The Uweinat-Aswan basement uplift, which runs east-west, partially isolates the Dakhla subbasin from the shallower northern Sudan subbasin, and thereby impeding the south-to-north groundwater flow from northern Sudan platform to the Dakhla subbasin; 2) A thickening of the sedimentary cover in the NE-SW direction from the southern Kufra through the northern Kufra to the Dakhla subbasin; 3) The sedimentary cover was found to increase from less than 500 m in the south (Northern Sudan and Uweinat region) to more than 6 km in the north (Mediterranean coast); 4) A number of structural trends (NE-SW, N-S, E-W, and NW-SE) affecting the region; 5) A large Pelusium megashear system that runs northeast to southwest makes it easier for groundwater to flow from the Kufra subbasin to the Dakhla subbasin; 6) Along the paths that groundwater takes, like from Siwa to Qattara and from northwest Farafra to north Bahariya, and along structures that run in the same direction as the flow, a progressive increase in 36Cl groundwater ages were observed; 7) It is a better way to learn about the hydrogeological context of large aquifers and figure out how to best manage these underground water sources.","","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:3d23a34b-92a3-4b99-879b-8dd689537f2b","http://resolver.tudelft.nl/uuid:3d23a34b-92a3-4b99-879b-8dd689537f2b","Two-stage risk-constrained stochastic optimal bidding strategy of virtual power plant considering distributed generation outage","Ghasemi-Olanlari, Farzin (K.N. Toosi University of Technology); Moradi Sepahvand, M. (TU Delft Intelligent Electrical Power Grids); Amraee, Turaj (K.N. Toosi University of Technology)","","2023","This paper presents an optimal bidding strategy for a technical and commercial virtual power plant (VPP) in medium-term time horizon. A VPP includes various distributed energy resources (DERs) that can participate in the Pool and Futures markets. Although medium/long-term scheduling provides the opportunity to participate in the futures market, it also raises the possibility of unit failure. In this regard, the impact of distributed generation (DG) units’ failure, as an important challenge in VPP, is incorporated in the proposed model. The model is formulated as a risk-constrained two-stage stochastic problem. The VPP signs futures market contracts in the first stage, and in the second stage, it participates in the day-ahead (DA) market and manages its DERs. Long short-term memory neural network and scenario generation and reduction methods are used to capture the uncertainty parameters of electrical load, DA market prices, wind speed, and solar radiation in the proposed model. The performance of proposed model is investigated in different cases. The obtained results show that the VPP can compensate the losses caused by the DG units’ failure through taking advantage of the arbitrage opportunity.","distributed power generation; power markets; power system economics; power system management; recurrent neural nets","en","journal article","","","","","","","","","","","Intelligent Electrical Power Grids","","",""
"uuid:6fa093e9-3f38-4ac5-b7cf-fd8557505447","http://resolver.tudelft.nl/uuid:6fa093e9-3f38-4ac5-b7cf-fd8557505447","Barriers and facilitators for the provision of radiology services in Zimbabwe: A qualitative study based on staff experiences and observations","Hinrichs-Krapels, S. (TU Delft Policy Analysis); Tombo, Lazarus (University Hospitals Birmingham); Boulding, Harriet (King’s College London); Majonga, Edith D. (University of Zimbabwe); Cummins, Carole (University of Birmingham); Manaseki-Holland, Semira (University of Birmingham)","","2023","Despite its fundamental role in diagnostic and curative care, radiology has been described as a neglected essential service in many low and middle-income countries (LMICs). Previous studies have demonstrated basic equipment and infrastructure shortages in LMIC settings, but no studies to date have gone further in understanding the perceptions and experiences of staff delivering radiology services, as a way of identifying their perspectives on barriers and facilitators for delivering services, and the potential for where improvements can be made. Our qualitative study aimed to: (a) identify barriers for delivering radiology services, and (b) suggest potential facilitators for improvement of radiology service delivery in the Zimbabwean context; from the perspective of radiology staff. We conducted semi-structured interviews (n = 13) and three focus groups (n = 24 radiographers), followed by four half- to full- days of field observations to validate insights from the interviews and focus groups in all three public hospitals and one private hospital in the Harare metropolitan area. Our study identified four main barriers for delivering radiology services: (i) poor basic infrastructure, equipment, and consumables; (ii) suboptimal equipment maintenance; (iii) shortage of radiology staff and skills development; and (iv) lack of wider integration and support for radiology services. We also identified a strong sense of motivation among staff to keep radiology services, pointing to what may be an enabler and facilitator for improving radiology services. These findings point to potential risks to patient safety and quality of delivering radiology services. More importantly, we found a strong sense of personal motivation displayed by the staff, suggesting there is the potential to maintain and improve existing practices, but this would require investments to train and remunerate more radiology staff, as well as investing in continuing professional development.","","en","journal article","","","","","","","","","","","Policy Analysis","","",""
"uuid:6b0abf48-27db-465d-a7c2-af286c27a711","http://resolver.tudelft.nl/uuid:6b0abf48-27db-465d-a7c2-af286c27a711","30.3 A Bias-Flip Rectifier with a Duty-Cycle-Based MPPT Algorithm for Piezoelectric Energy Harvesting with 98% Peak MPPT Efficiency and 738% Energy-Extraction Enhancement","Yue, X. (TU Delft Electronic Instrumentation); Javvaji, L.S. (TU Delft Electronic Instrumentation); Tang, Z. (TU Delft Electronic Instrumentation); Makinwa, K.A.A. (TU Delft Microelectronics); Du, S. (TU Delft Electronic Instrumentation)","","2023","Synchronized bias-flip rectifiers, such as synchronized switch harvesting on inductor (SSHI) rectifiers, are widely used for piezoelectric energy harvesting (PEH) [1], which can replace the use of batteries in many loT applications, thus reducing both system volume and maintenance cost. However, the output power extracted by such rectifiers strongly depends on the impedance matching between the piezoelectric transducer (PT) and the circuit. To maximize this, two maximum power point tracking (MPPT) algorithms are often used. As shown in Fig. 30.3.1 (left), the Perturb & Observe (P&O) (a.k.a. hill-climbing) algorithm adjusts the rectified output power in a stepwise manner towards the maximum power point (MPP), thus establishing robust and continuous MPPT. However, accurately sensing the rectified output power often requires complex and power-hungry hardware [1], [2]. Another simpler algorithm is based on the fractional open-circuit voltage (FOCV) and involves periodically measuring the PT's open-circuit voltage amplitude (VOC) and regulating the rectified voltage (VREC) to a level (VMPP), which corresponds to the MPP [3-6]. However, the PT must be periodically disconnected from the rectifier to measure VOC, resulting in wasted energy, while the inherent delay in sensing VOC variations reduces the overall tracking efficiency. Furthermore, a calibration step is usually necessary to determine VMPP, since this depends on the actual PT voltage flip efficiency (etaF) of the bias-flip rectifier.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-23","","Microelectronics","Electronic Instrumentation","","",""
"uuid:27cb21c7-2fcb-4257-ab2d-230cce7e7392","http://resolver.tudelft.nl/uuid:27cb21c7-2fcb-4257-ab2d-230cce7e7392","A Federated Platform Enabling a Systematic Collaboration Among Devices, Data and Functions for Smart Mobility","You, Linlin (Sun Yat-sen University; Massachusetts Institute of Technology); Danaf, Mazen (Massachusetts Institute of Technology); Zhao, Fang (MIT Alliance for Research and Technology (SMART)); Guan, Jinping (Harbin Institute of Technology); Azevedo, Carlos Lima (Massachusetts Institute of Technology; Technical University of Denmark); Atasoy, B. (TU Delft Transport Engineering and Logistics; Massachusetts Institute of Technology); Ben-Akiva, Moshe (Massachusetts Institute of Technology)","","2023","Through the vast adoption and application of emerging technologies, the intelligence and autonomy of smart mobility can be substantially elevated to address more diversified demands and supplies. Along with this trend, a systematic collaboration among three essential elements of smart mobility services, namely devices, data and functions, is being studied to comprehensively break down the intrinsic barriers that existed in current solutions, to support the integration of connectable devices, the fusion of heterogeneous data, the composability of reusable functions, and the flexibility in their cooperations. To enable such a collaboration, this paper proposes a federated platform, called Future Mobility Sensing Advisor (FMSA), which can 1) manage the three elements through standardized interfaces separately and uniformly; 2) create a fully connected knowledge graph to orchestrate the three elements efficiently and effectively; 3) support the client-server interaction in centralized and federated modes to handle service requests and edge resources with various availability and accessibilities jointly and adaptively; and 4) accommodate various mobility services to foster harmonious and sustainable mobility tenderly and invisibly. Moreover, the efficiency and effectiveness of the platform are also tested through a performance evaluation, and a pilot supported at the Great Boston Area, respectively. As a result, it shows that FMSA can 1) achieve high performance by using the two interaction modes selectively, and 2) renovate smart mobility towards sustainability through personalized services that can measure user preferences and system objectives mutually.","federated computing; federated platform; service orchestration; Smart mobility; systematic collaboration","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-23","","","Transport Engineering and Logistics","","",""
"uuid:8cefc53f-4228-4380-a703-a1ca89aa44df","http://resolver.tudelft.nl/uuid:8cefc53f-4228-4380-a703-a1ca89aa44df","Estimates of disease burden caused by foodborne pathogens in contaminated dairy products in Rwanda","Sapp, Amanda C. (University of Florida; Abrams Public Health Center, Tucson); Nane, G.F. (TU Delft Applied Probability); Amaya, Mirna P. (University of Florida); Niyonzima, Eugène (University of Rwanda); Hategekimana, Jean Paul (University of Rwanda); VanSickle, John J. (University of Florida); Gordon, Ronald M. (University of Florida); Havelaar, Arie H. (University of Florida)","","2023","BACKGROUND: The Girinka program in Rwanda has contributed to an increase in milk production, as well as to reduced malnutrition and increased incomes. But dairy products can be hazardous to health, potentially transmitting diseases such as bovine brucellosis, tuberculosis, and cause diarrhea. We analyzed the burden of foodborne disease due to consumption of raw milk and other dairy products in Rwanda to support the development of policy options for the improvement of the quality and safety of milk. METHODS: Disease burden data for five pathogens (Campylobacter spp., nontyphoidal Salmonella enterica, Cryptosporidium spp., Brucella spp., and Mycobacterium bovis) were extracted from the 2010 WHO Foodborne Disease Burden Epidemiology Reference Group (FERG) database and merged with data of the proportion of foodborne disease attributable to consuming dairy products from FERG and a separately published Structured Expert Elicitation study to generate estimates of the uncertainty distributions of the disease burden by Monte Carlo simulation. RESULTS: According to WHO, the foodborne disease burden (all foods) of these five pathogens in Rwanda in 2010 was like or lower than in the Africa E subregion as defined by FERG. There were 57,500 illnesses occurring in Rwanda owing to consumption of dairy products, 55 deaths and 3,870 Disability Adjusted Life Years (DALYs) causing a cost-of-illness of $3.2 million. 44% of the burden (in DALYs) was attributed to drinking raw milk and sizeable proportions were also attributed to traditionally (16-23%) or industrially (6-22%) fermented milk. More recent data are not available, but the burden (in DALYs) of tuberculosis and diarrheal disease by all causes in Rwanda has declined between 2010 and 2019 by 33% and 46%, respectively. CONCLUSION: This is the first study examining the WHO estimates of the burden of foodborne disease on a national level in Rwanda. Transitioning from consuming raw to processed milk (fermented, heat treated or otherwise) may prevent a considerable disease burden and cost-of-illness, but the full benefits will only be achieved if there is a simultaneous improvement of pathogen inactivation during processing, and prevention of recontamination of processed products.","Brucella; Campylobacter; Cryptosporidium; Dairy; Disability-adjusted life years; Disease burden; Incidence; Mortality; Mycobacterium bovis; Risk assessment; Rwanda; Salmonella","en","journal article","","","","","","","","","","","Applied Probability","","",""
"uuid:eb122028-53cf-4199-8b5f-4b97238d3636","http://resolver.tudelft.nl/uuid:eb122028-53cf-4199-8b5f-4b97238d3636","3.1 A 120.9dB DR, -111.2dB THD+N Digital-Input Capacitively-Coupled Chopper Class-D Audio Amplifier","Zhang, H. (TU Delft Electronic Components, Technology and Materials; TU Delft Electronic Instrumentation); Berkhout, Marco (Goodix Technologies); Makinwa, K.A.A. (TU Delft Microelectronics); Fan, Q. (TU Delft Microelectronics)","","2023","Class-D amplifiers (CDAs) are widely used in audio applications where a high power efficiency is required. As most audio sources are digital nowadays, implementing digital-input CDAs results in higher levels of integration and lower cost. However, prior open-loop digital-input CDAs suffer from high jitter sensitivity and output-stage distortion. In [1], jitter sensitivity at small signal levels is mitigated using a buck-boost converter that adaptively lowers the supply at the expense of extra external components and reduced power efficiency. Prior closed-loop digital-input CDAs employing multi-bit current-steering [2] or resistive [3] DACs are less sensitive to jitter, but their DR is limited to about 115dB. DAC non-idealities and intermodulation distortion are also challenges, and prior works only achieved a peak textTHD+N of about -98textdB [2], [3]. This paper presents a digital-input CDA that achieves high DR by combining a low-noise capacitive DAC (CDAC) with dedicated techniques to mitigate DAC mismatch, lSI, and intermodulation distortion. A prototype implemented in a 0.18mum BCD process achieves 120.9dB DR and -111.2textdB peak textTHD+N. Furthermore, it can deliver 13W/23W at 10% THD into an 8Omega/4Omega load with a 90%/86% efficiency.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-23","","Microelectronics","Electronic Components, Technology and Materials","","",""
"uuid:d1917891-e416-42af-9deb-230fe2f003d7","http://resolver.tudelft.nl/uuid:d1917891-e416-42af-9deb-230fe2f003d7","Understanding Perceptions of Cycling Infrastructure Provision and its Role in Cycling Equity","Jahanshahi, Danial (The University of Auckland); Costello, Seósamh B. (The University of Auckland); Dirks, Kim Natasha (The University of Auckland); Chowdhury, Subeh (The University of Auckland); van Wee, G.P. (TU Delft Transport and Logistics)","","2023","Ensuring equity is considered in all types of decision making, including with respect to cycling provision, is important. Studies have investigated equity in relation to provision of cycling infrastructure and facilities. However, identifying other factors that need consideration in cycling equity is important. This study explored the impact of cycling infrastructure provision on individual perceptions of cycling infrastructure in relation to sociodemographic characteristics in Auckland, New Zealand. The results indicated that bicycle lane availability did not significantly influence perceptions of cycling infrastructure; however, ethnicity and whether a person was a regular cyclist did. Among noncyclists and potential cyclists, ethnicity was the only factor found to significantly influence perceptions of cycling infrastructure. Maori, the indigenous people of New Zealand, and Pacific Islanders rated the provision of cycling infrastructure higher than others for the same level of bicycle infrastructure in their community. Whereas Maori had the highest percentage of potential cyclists among all ethnicities, Pacific Islanders had the highest percentage of noncyclists (64.9%), the lowest percentage of potential cyclists, and one of the lowest percentages of regular cyclists. The study showed that cycling provision perceptions were more affected by factors like ethnicity, education, and bicycle user type than objective measures of bicycle infrastructure. Following the capabilities approach of justice, this study suggests that equitable provision of cycling infrastructure may not lead to an equitable cycling environment. To achieve this, interpersonal and intrapersonal indicators such as ethnicity and community-related factors must also be considered to encourage and empower all population groups to cycle.","bicycles; equity (justice); equity in transportation; perception; transportation and society","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-02-26","","","Transport and Logistics","","",""
"uuid:34060a21-4df9-4159-bc38-4a8c36527595","http://resolver.tudelft.nl/uuid:34060a21-4df9-4159-bc38-4a8c36527595","An analytical model for the velocity and gas fraction profiles near gas-evolving electrodes","Rajora, A. (TU Delft Energy Technology); Haverkort, J.W. (TU Delft Energy Technology)","","2023","Understanding multiphase flow close to the electrode surface is crucial to the design of electrolyzers, such as alkaline water electrolyzers for the production of green hydrogen. Vertical electrodes develop a narrow gas plume near their surface. We apply the integral method to the mixture model. Considering both exponentially varying and step-function gas fraction profiles, we derive analytical relations for plume thickness, velocity profile, and gas fraction near the electrode as a function of height and current density. We verify these analytical relations with the numerical solutions obtained using two-dimensional mixture model simulations. We find that for low gas fractions, the plume thickness decreases with an increase in current density for an exponentially varying gas fraction profile. In contrast, the plume thickness increases with increasing current density at high gas fractions for an approximately step-function-shaped gas fraction profile, in agreement with experiments from the literature.","Bubbles; Multiphase flow; Natural convection; Scaling analysis; Water electrolysis","en","journal article","","","","","","","","","","","Energy Technology","","",""
"uuid:ee656097-d033-4b6b-8eb8-720d7f9878d1","http://resolver.tudelft.nl/uuid:ee656097-d033-4b6b-8eb8-720d7f9878d1","Integrated modelling of coastal landforms","van Westen, B. (TU Delft Coastal Engineering; Deltares); Leijnse, Tim (Deltares; Vrije Universiteit Amsterdam); de Schipper, M.A. (TU Delft Coastal Engineering); Cohn, Nicholas (Deltares); Luijendijk, Arjen (TU Delft Coastal Engineering; Deltares)","","2023","Traditionally, independent tools have been used to simulate wave- or wind-driven processes to simulate coastal morphology change. Coupled models that cross the land-sea division and integrate these collective processes can increase our knowledge on complex morphodynamic interactions and improve predictions of the foreshore, beach, and dune evolution. In this paper we present the initial development of a coupled modelling framework capable of numerically predicting the integrated development of coastal landforms, including both marine and aeolian processes, by using a generic model coupling approach that leverages the Basic Model Interface. The aim of this tool is to support the interdisciplinary design of Nature-based Solutions on varying spatiotemporal scales. As shown for the Marker Wadden case, the implemented model functionalities allow for the numerical description of the coast in an integrated manner and thus create opportunities for modeling coastal landform of the nearshore, beach, and dune that would not be possible with a discrete model approach. Specifically, by coupling two discrete numerical models, AeoLiS and XBeach, the aeolian and marine interaction resulted in a more realistic behavior of processes in the intertidal area. After coupling, bed levels compared better to the observations compared to the superpositioned results of both separate model components, which showed the added value and potential of coupled modelling. These findings have implications on the ability to predict spatio-temporal integrated coastal development – including these interacting aerodynamic, hydrodynamic, and ecological processes, which are essential in the interdisciplinary design of NbS.","","en","conference paper","World Scientific Publishing","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-11","","","Coastal Engineering","","",""
"uuid:9320ac11-1d5d-4691-b721-d8e21e2798c9","http://resolver.tudelft.nl/uuid:9320ac11-1d5d-4691-b721-d8e21e2798c9","2023 Spring/Summer ARFTG Microwave Measurement Conference [IMS2023]","Spirito, M. (TU Delft Electronics); Jargon, Jeffrey (National Institute of Standards and Technology); Martens, Jon (Anritsu Corporation, Morgan Hill); Lewis, Dennis (Boeing)","","2023","","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-11","","","Electronics","","",""
"uuid:17e40185-f18e-44b5-bb2b-93fa2f55807f","http://resolver.tudelft.nl/uuid:17e40185-f18e-44b5-bb2b-93fa2f55807f","Comparisons as a discursive tool: shaping megaproject narratives in the United Kingdom","Sergeeva, Natalya (University College London (UCL)); Ninan, Dr Johan (TU Delft Integral Design & Management)","","2023","The mobilization of narratives is essential in integrating people and constructing identities that help in navigating complexity, uncertainty, and conflictuality. This paper explores how comparisons are used as a discursive tool to shape narratives and bring about changes in policy and society, using the High Speed Two megaproject in the UK as a case study. We examine the comparisons that promoters and protesters employ in an organizational setting. In particular, we explore how the narratives that result from these comparisons—on questions including the need for the megaproject, the benefits of the megaproject, alternatives to the megaproject, and issues of noise, sustainability, compensation, and branding—help their efforts to organize. The research highlights how comparisons serve as an important cue in discourse and how different forms of comparison can help to create narratives and shape policy outcomes.","narratives,; comparisons; organizing; megaprojects; policy outcomes","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:adbb9b90-64aa-4c22-abd8-abeb79ff6426","http://resolver.tudelft.nl/uuid:adbb9b90-64aa-4c22-abd8-abeb79ff6426","Risk Quantification and Visualization Method for Loss-of-Control Scenarios in Flight","Wang, Guozhi (Air Force Engineering University); Pei, Binbin (Air Force Engineering University); Xu, Haojun (Air Force Engineering University); Lv, Maolong (Air Force Engineering University); Zhao, Z. (TU Delft Dataintensive Systems); Bu, Xiangwei (Air Force Engineering University)","","2023","This paper proposes a flight risk analysis method that combines risk assessment and visual deduction to study the causes of flight accidents, specifically the loss of control caused by failure factors. The goal is to explore the impact of these failure factors on loss-of-control events and illustrate the risk evolution under different scenarios in a clear and intuitive manner. To achieve this, the paper develops a failure scenario tree to guide flight simulations under different loss-of-control scenarios. The next step involves developing a multi-parameters risk assessment method that can quantify flight risk at each time step of the flight simulation. This assessment method uses entropy weight and a grey correlation algorithm to assign variable weights to the different parameters. Finally, the paper presents the visual deduction of the risk evolution process under different loss-of-control scenarios using a risk tree that concisely represents the time-series risk assessment results and failure logical chains. Taking three common failure factors (actuator failure, engine failure, and wing icing) as cases, the paper designs 25 different loss-of-control scenarios to demonstrate the flight risk analysis method. By comparing the risk evolution process under different loss-of-control scenarios, the paper explores the impact of the failure factors on flight safety. The analysis results indicate that this method combines risk analysis from both individual and global perspectives, enabling effective analysis of risk evolution in loss-of-control events.","loss of control; failure factors; risk quantitative assessment; risk visual deduction; failure scenario tree; risk tree","en","journal article","","","","","","","","","","","Dataintensive Systems","","",""
"uuid:7e53175c-60e4-4296-b3c0-1246930f06bc","http://resolver.tudelft.nl/uuid:7e53175c-60e4-4296-b3c0-1246930f06bc","Designing for Urban Health and Well-being by Revisiting Proximity, Walkability, and Accessibility","Psyllidis, A. (TU Delft Internet of Things)","Veddeler, Christian (editor); Kuijper, Joran (editor); Gath-Morad, Michal (editor); van der Wal, Iris (editor)","2023","Can planning and design influence health and well-being in urban settings? Even though it was the public health issues faced by industrial cities that originally gave rise to the field of city planning, their paths have diverged over the years.However, how human settlements are planned, designed, and built can drastically improve or harm human health and well-being through factors that either promote or obstruct healthier lifestyles. Global organizations currently advocate city designs that enhance access to a wide range of resources and experiences for all. But how do we evaluate the successful translation of these goals into healthy, resilient, and socially cohesive human settlements and communities? This chapter revisits fundamental concepts of proximity, walkability, and accessibility that are omnipresent in planning and design directives for healthier communities. It critically examines prevailing conceptualizations and measures and offers alternative directions for operationalizations that accommodate the variety of human behaviours and the complex linkages between factors in the urban environment.","Urban health; Well-being; Proximity; Walkability; Accessibility; Environment","en","book chapter","TU Delft OPEN Publishing","","","","","","","","","","Internet of Things","","",""
"uuid:38286b9a-d4ee-45cb-b4c6-b70b78c6d819","http://resolver.tudelft.nl/uuid:38286b9a-d4ee-45cb-b4c6-b70b78c6d819","Detecting moments of distraction during meditation practice based on changes in the EEG signal","Pandey, Pankaj (IIT Gandhinagar); Rodriguez-Larios, Julio (Columbia University); Miyapuram, Krishna Prasad (IIT Gandhinagar); Lomas, J.D. (TU Delft Design Aesthetics)","","2023","Electroencephalography (EEG) enables online monitoring brain activity, which can be used for neurofeedback. One of the growing applications of EEG neurofeedback is to facilitate meditation practice. Specifically, EEG neurofeedback can be used to alert participants whenever they get distracted during meditation practice based on changes in their brain activity. In this study, we develop machine learning models to detect moments of distraction (due to mind wandering or drowsiness) during meditation practice using EEG signals. We use EEG data of 24 participants while performing a breath focus meditation with experience sampling and extract twelve linear and nonlinear EEG features. Features are fed to ten supervised machine learning models to classify (i) Breath Focus Awake (BFA) vs Breath Focus Sleepy (BFS), and (ii) BFA vs Mind Wandering (MW). We observe that the linear features achieve a maximum accuracy of 86% for classifying awake (BFA) and sleepy (BFS), whereas non-linear features have more predictive ability for classifying between BFA and MW with a maximum accuracy of nearly 78%. In addition, visualization of unsupervised t-SNE lower embeddings supports the evidence of distinct clusters for each condition. Overall our results show that machine learning algorithms can successfully identify periods of distraction during meditation practice in novice meditators based on linear and non-linear features of the EEG signal. Consequently, our results have important implications for the development of mobile EEG neurofeedback protocols aimed at facilitating meditation practice.","Protocols; Machine learning algorithms; Machine learning; Feature extraction; Brain modeling; Electroencephalography; Sensors","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-17","","","Design Aesthetics","","",""
"uuid:48031305-24cb-4f82-8197-b01bee15c44e","http://resolver.tudelft.nl/uuid:48031305-24cb-4f82-8197-b01bee15c44e","Overview - Emerging Urban Perspectives","Veddeler, C.B. (TU Delft Theory, Territories & Transitions; ETH Zürich); Kuijper, J.A. (TU Delft Theory, Territories & Transitions); Gath-Morad, Michal (ETH Zürich; University of Cambridge; University College London (UCL)); van der Wal, I.A. (TU Delft Support Urbanism)","Veddeler, Christian (editor); Kuijper, Joran (editor); Gath-Morad, Michal (editor); van der Wal, Iris (editor)","2023","","City; Future; Urban; Building; Architecture; Environment","en","book chapter","TU Delft OPEN Publishing","","","","","","","","","","Theory, Territories & Transitions","","",""
"uuid:00283b67-c3ad-4845-8e9b-f233be7fcd79","http://resolver.tudelft.nl/uuid:00283b67-c3ad-4845-8e9b-f233be7fcd79","Glancing into Future Cities—City Futures","Cavallo, R. (TU Delft Theory, Territories & Transitions)","Veddeler, Christian (editor); Kuijper, Joran (editor); Gath-Morad, Michal (editor); van der Wal, Iris (editor)","2023","","City; Future; Urban; Building; Architecture; Environment","en","book chapter","TU Delft OPEN Publishing","","","","","","","","","","Theory, Territories & Transitions","","",""
"uuid:83aab0a9-e16a-45fe-8c13-48f15eed4951","http://resolver.tudelft.nl/uuid:83aab0a9-e16a-45fe-8c13-48f15eed4951","Modifying the Environment or Human Nature?: What is the Right Choice for Space Travel and Mars Colonisation?","Balistreri, Maurizio (University of Turin); Umbrello, S. (TU Delft Ethics & Philosophy of Technology)","","2023","As space travel and intentions to colonise other planets are becoming the norm in public debate and scholarship, we must also confront the technical and survival challenges that emerge from these hostile environments. This paper aims to evaluate the various arguments proposed to meet the challenges of human space travel and extraterrestrial planetary colonisation. In particular, two primary solutions have been present in the literature as the most straightforward solutions to the rigours of extraterrestrial survival and flourishing: (1) geoengineering, where the environment is modified to become hospitable to its inhabitants, and (2) human (bio)enhancement where the genetic heritage of humans is modified to make them more resilient to the difficulties they may encounter as well as to permit them to thrive in non-terrestrial environments. Both positions have strong arguments supporting them but also severe philosophical and practical drawbacks when exposed to different circumstances. This paper aims to show that a principled stance where one position is accepted wholesale necessarily comes at the opportunity cost of the other where the other might be better suited, practically and morally. This paper concludes that case-by-case evaluations of the solutions to space travel and extraterrestrial colonisation are necessary to ensure moral congruency and the survival and flourishing of astronauts now and into the future.
1. Expanding the geographical coverage of iRF (training data) by running EMAC simulations in more regions (North & South America, Eurasia, Africa and Australasia) at multiple cruise flight altitudes,
2. Following an objective approach to selecting atmospheric variables (feature selection) and considering the importance of local as well as non-local effects,
3. Regressing the iRF against selected atmospheric variables using supervised machine learning techniques such as homoscedastic and heteroscedastic Gaussian process regression.
We present a new surrogate model that predicts iRF of aviation NOx-O3 effects on a regular basis with confidence levels, which not only improves our scientific understanding of NOx-O3 effects, but also increases the potential of global climate-optimised flight planning.","","en","conference paper","","","","","","","","","","","Aircraft Noise and Climate Effects","","",""
"uuid:77e03ef0-e006-4ef8-a382-5921143bccc1","http://resolver.tudelft.nl/uuid:77e03ef0-e006-4ef8-a382-5921143bccc1","Inclusive stakeholder engagement for equitable knowledge co-production: Insights from the EU’s Horizon 2020 programme in climate change research","Lieu, J. (TU Delft Organisation & Governance); Pearce, B.J. (TU Delft Policy Analysis); Martinez Reyes, A. (TU Delft Organisation & Governance); Groome, Phillippa (University of Sussex); Mangalagiu, Diana (NEOMA Business school; University of Oxford); Witajewska-Baltvilka, Baiba (Global Climate Forum); Møller, Regine-Ellen D. (Aalborg University)","","2023","We develop and apply the concept of equitable knowledge co-production (EKC) by proposing a reflexive framework to support inclusive stakeholder engagement with diverse knowledge-holders. This framework is built on the authors’ experiences of leading three ongoing Horizon 2020 projects, and its goal is to contribute to the realisation of epistemic and recognition justice in the context of large-scale research and innovation projects by raising awareness of how knowledge co-production is carried out during the project proposal and implementation phases.","epistemic justice; equitable knowledge co-production; inclusive stakeholder engagement; just transition; recognition justice","en","journal article","","","","","","","","","","","Organisation & Governance","","",""
"uuid:7b77f123-c21d-4a50-9894-03bd3d1e397f","http://resolver.tudelft.nl/uuid:7b77f123-c21d-4a50-9894-03bd3d1e397f","Evaluation of AR visualization approaches for catheter insertion into the ventricle cavity","Benmahdjoub, Mohamed (Erasmus MC); Thabit, Abdullah (Erasmus MC); Van Veelen, Marie Lise C. (Erasmus MC); Niessen, W.J. (TU Delft ImPhys/Vos group; TU Delft ImPhys/Computational Imaging; Erasmus MC); Wolvius, Eppo B. (Erasmus MC); Van Walsum, Theo","","2023","Augmented reality (AR) has shown potential in computer-aided surgery. It allows for the visualization of hidden anatomical structures as well as assists in navigating and locating surgical instruments at the surgical site. Various modalities (devices and/or visualizations) have been used in the literature, but few studies investigated the adequacy/superiority of one modality over the other. For instance, the use of optical see-through (OST) HMDs has not always been scientifically justified. Our goal is to compare various visualization modalities for catheter insertion in external ventricular drain and ventricular shunt procedures. We investigate two AR approaches: (1) 2D approaches consisting of a smartphone and a 2D window visualized through an OST (Microsoft HoloLens 2), and (2) 3D approaches consisting of a fully aligned patient model and a model that is adjacent to the patient and is rotationally aligned using an OST. 32 participants joined this study. For each visualization approach, participants were asked to perform five insertions after which they filled NASA-TLX and SUS forms. Moreover, the position and orientation of the needle with respect to the planning during the insertion task were collected. The results show that participants achieved a better insertion performance significantly under 3D visualizations, and the NASA-TLX and SUS forms reflected the preference of participants for these approaches compared to 2D approaches.","Augmented reality; Augmented reality visualization; Computer-assisted surgery; External ventricular drain; Needle guidance; Surgical navigation systems; User study; Ventricular shunt","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-22","","","ImPhys/Vos group","","",""
"uuid:b689adc3-c6cf-44f8-82ef-80e6e74f028b","http://resolver.tudelft.nl/uuid:b689adc3-c6cf-44f8-82ef-80e6e74f028b","Do driver’s characteristics, system performance, perceived safety, and trust influence how drivers use partial automation?: A structural equation modelling analysis","Nordhoff, S. (TU Delft Transport and Planning); Stapel, J.C.J. (TU Delft Intelligent Vehicles); He, X. (TU Delft Intelligent Vehicles); Gentner, Alexandre (Toyota Motor Europe); Happee, R. (TU Delft Intelligent Vehicles)","","2023","The present study surveyed actual extensive users of SAE Level 2 partially automated cars to investigate how driver’s characteristics (i.e., socio-demographics, driving experience, personality), system performance, perceived safety, and trust in partial automation influence use of partial automation. 81% of respondents stated that they use their automated car with speed (ACC) and steering assist (LKA) at least 1–2 times a week, and 84 and 92% activate LKA and ACC at least occasionally. Respondents positively rated the performance of Adaptive Cruise Control (ACC) and Lane Keeping Assistance (LKA). ACC was rated higher than LKA and detection of lead vehicles and lane markings was rated higher than smooth control for ACC and LKA, respectively. Respondents reported to primarily disengage (i.e., turn off) partial automation due to a lack of trust in the system and when driving is fun. They rarely disengaged the system when they noticed they become bored or sleepy. Structural equation modelling revealed that trust had a positive effect on driver’s propensity for secondary task engagement during partially automated driving, while the effect of perceived safety was not significant. Regarding driver’s characteristics, we did not find a significant effect of age on perceived safety and trust in partial automation. Neuroticism negatively correlated with perceived safety and trust, while extraversion did not impact perceived safety and trust. The remaining three personality dimensions ‘openness’, ‘conscientiousness’, and ‘agreeableness’ did not form valid and reliable scales in the confirmatory factor analysis, and could thus not be subjected to the structural equation modelling analysis. Future research should re-assess the suitability of the short 10-item scale as measure of the Big-Five personality traits, and investigate the impact on perceived safety, trust, use and use of automation.","partial automation; system performance; driver-initiated disengagements; perceived safety; trust; personality","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:14c4ecb8-8cc0-4ea7-8a89-aa0e6ec9314f","http://resolver.tudelft.nl/uuid:14c4ecb8-8cc0-4ea7-8a89-aa0e6ec9314f","Watergebonden transport en bedrijventerreinen in Zuid- Holland.: Fore- en backcasting van de circulaire economie om de implicaties voor ruimtelijke planning van vandaag te begrijpen","Van den Berghe, K.B.J. (TU Delft Urban Development Management); Tsui, T.P.Y. (TU Delft Environmental & Climate Design); Iliopoulos, G. (Student TU Delft); Papadimitriou, C. (Student TU Delft); Arrindell, A. (Student TU Delft); Bonte, Thomas (Vereniging Deltametropool (Association Deltametropolis)); Fritzgerald, Tom (Vereniging Deltametropool (Association Deltametropolis)); Nefs, Merten (TU Delft Spatial Planning and Strategy)","","2023","","circular economy; GIS","nl","report","","","","","","","","","","","Urban Development Management","","",""
"uuid:f11cca9e-2ab3-4004-b917-39fe0875d597","http://resolver.tudelft.nl/uuid:f11cca9e-2ab3-4004-b917-39fe0875d597","From gas to green: designing a social contagion strategy for the energy transition in Rotterdam, the Netherlands","Shah, Jesal; Price, R.A. (TU Delft Marketing and Consumer Research); de Koning, J.I.J.C. (TU Delft Design for Sustainability)","Straker, Karla (editor); Wrigley, Cara (editor)","2023","To reach the 2050 climate goals, massive socio-technical transitions are required. For requisite impact, not only industry and government need to transform, but a critical mass of society must adopt greener alternatives. However, people have a tendency to maintain the status quo and often resist change until a final moment of urgency or crisis. This study focuses on the Dutch energy transition. We propose and illustrate how social influence or ‘social contagion’ can be used to activate communities of citizens, not just individuals, to adopt greener alternatives; leveraging the strength of design in shaping behaviour (change). Lying at the intersection of design, psychology, and sociology, our study contributes toward theories of scaling behaviour change and proposes practical tools to establish change through design. The results show how design can play a critical role in shaping sustainable systemic transitions and argues for pluralistic applications of design thinking.","","en","book chapter","","","","","","","","2023-09-10","","","Marketing and Consumer Research","","",""
"uuid:61bf2585-dd71-48c8-a878-7aad1904296c","http://resolver.tudelft.nl/uuid:61bf2585-dd71-48c8-a878-7aad1904296c","Monitoring the Well-being of Older People by Energy Usage Patterns: Systematic Review of the Literature and Evidence Synthesis","Korenhof, Sophie A. (Erasmus MC); Fang, Yuan (Erasmus MC); Luo, Jie (Erasmus MC); van der Cammen, T.J.M. (TU Delft Applied Ergonomics and Design; Erasmus MC); Raat, Hein (Erasmus MC); van Grieken, Amy (Erasmus MC)","","2023","Background: Due to the aging population, there is a need for monitoring well-being and safety while living independently. A low-intrusive monitoring system is based on a person’s use of energy or water. Objective: The study's objective was to provide a systematic overview of studies that monitor the health and well-being of older people using energy (eg, electricity and gas) and water usage data and study the outcomes on health and well-being. Methods: CENTRAL, Embase, MEDLINE (Ovid), Scopus, Web of Science, and Google Scholar were searched systematically from inception until November 8, 2021. The inclusion criteria were that the study had to be published in English, have full-text availability, target independent-living people aged 60 years and older from the general population, have an observational design, and assess the outcomes of a monitoring system based on energy (ie, electricity, gas, or water) usage on well-being and safety. The quality of the studies was assessed by the QualSyst systematic review tool. Results: The search strategy identified 2920 articles. The majority of studies focused on the technical algorithms underlying energy usage data and related sensors. One study was included in this review. This study reported that the smart energy meter data monitoring system was considered unobtrusive and was well accepted by the older people and professionals involved. Energy usage in a household acted as a unique signature and therefore provided useful insight into well-being and safety. This study lacked statistical power due to the small number of participants and the low number of observed events. In addition, the quality of the study was rated as low. Conclusions: This review identified only 1 study that evaluated the impact of an energy usage monitoring system on the well-being and safety of older people. The absence of reliable evidence impedes any definitive guidance or recommendations for practice. Because this emerging field has not yet been studied thoroughly, many questions remain open for further research. Future studies should focus on the further development of a monitoring system and the evaluation of the implementation and outcomes of these systems. Trial Registration: PROSPERO CRD42022245713; https://www.crd.york.ac.uk/prospero/display_record.php?RecordID=245713.","activities of daily living; design; devices; effectiveness; healthy aging; independent living; monitoring; older adults; risk; safety; smart energy meter; well-being","en","review","","","","","","","","","","","Applied Ergonomics and Design","","",""
"uuid:a0183dc5-14a7-49bf-97da-648809a0e45a","http://resolver.tudelft.nl/uuid:a0183dc5-14a7-49bf-97da-648809a0e45a","Design of Wearable Finger Sensors for Rehabilitation Applications","Bozali, B. (TU Delft Emerging Materials); Ghodrat, S. (TU Delft Emerging Materials); Jansen, K.M.B. (TU Delft Emerging Materials)","","2023","As an emerging technology, smart textiles have attracted attention for rehabilitation purposes or to monitor heart rate, blood pressure, breathing rate, body posture, as well as limb movements. Traditional rigid sensors do not always provide the desired level of comfort, flexibility, and adaptability. To improve this, recent research focuses on the development of textile-based sensors. In this study, knitted strain sensors that are linear up to 40% strain with a sensitivity of 1.19 and a low hysteresis characteristic were integrated into different versions of wearable finger sensors for rehabilitation purposes. The results showed that the different finger sensor versions have accurate responses to different angles of the index finger at relaxation, 45° and 90°. Additionally, the effect of spacer layer thickness between the finger and sensor was investigated.","knitted strain sensor; rehabilitation applications; smart textiles; wearable textiles","en","journal article","","","","","","","","","","","Emerging Materials","","",""
"uuid:c9cbbb5c-d49f-4ee5-92a8-7f8ce26fe59a","http://resolver.tudelft.nl/uuid:c9cbbb5c-d49f-4ee5-92a8-7f8ce26fe59a","Patient-Reported Mobility, Physical Activity, and Bicycle Use after Vulvar Carcinoma Surgery","van de Berg, N.J. (TU Delft Medical Instruments & Bio-Inspired Technology; Erasmus MC); van Beurden, F.P. (Student TU Delft); Wendel-Vos, G. C. Wanda (National Institute for Public Health and the Environment); Duijvestijn, Marjolein (National Institute for Public Health and the Environment); van Beekhuizen, Heleen J. (Erasmus MC); Maliepaard, Marianne (Erasmus MC); van Doorn, Helena C. (Erasmus MC)","","2023","Patients treated for vulvar carcinoma may experience losses in mobility and physical activity. In this study, we assess the prevalence and severity of mobility problems using patient-reported outcomes of three questionnaires: EQ-5D-5L to estimate QoL and perceived health; SQUASH to estimate habitual physical activity; and a problem-specific questionnaire on bicycling. Patients treated for vulvar carcinoma between 2018 and 2021 were recruited, and 84 (62.7%) responded. The mean age was 68 ± 12 years (mean ± standard deviation). Self-reported QoL and perceived health were 0.832 ± 0.224 and 75.6 ± 20.0, respectively. Dutch physical activity guidelines were met by 34.2% of participants. Compared to baseline values, the times spent walking, bicycling, and participating in sports were all reduced. During bicycling, patients experienced moderate or severe pain in the skin of the vulva (24.5%), pain in the sit bones (23.2%), chafing (25.5%), or itching (8.9%). Overall, 40.3% experienced moderate or severe bicycling problems or could not bicycle, 34.9% felt that their vulva impeded bicycling, and 57.1% wished to make more or longer bicycling journeys. To conclude, vulvar carcinoma and its treatment reduce self-reported health, mobility, and physical activity. This motivates us to investigate ways to reduce discomfort during physical activities, and help women regain their mobility and self-reliance.
th century. From the static point of view, the original roof structure presented a number of undersized structural elements, and its members were poorly or not connected among each other and to the masonry, making the church vulnerable to seismic loads as well. Thus, the roof was retrofitted with wood-based techniques, including an overlay of plywood panels, against seismic actions. These affordable, rapid, easily realizable interventions enabled both the conservation and seismic retrofitting of the roof, providing an adequate load-carrying capacity for static loads, and an effective diaphragm action against seismic loads. The conducted numerical analyses showed that the realized interventions greatly improve the seismic behaviour of the building. Besides, when the additional energy dissipation provided by the plywood panels overlay is taken into account in the numerical model, the church would even potentially be able to fully withstand the expected seismic action of the site.","Architectural conservation; masonry buildings; plywood panels; seismic retrofitting; timber floors","en","journal article","","","","","","","","","","","Bio-based Structures & Materials","","",""
"uuid:f8f91893-21ff-45e0-9a26-af42fbf5151a","http://resolver.tudelft.nl/uuid:f8f91893-21ff-45e0-9a26-af42fbf5151a","The effects of role transitions and adaptation in human–cobot collaboration","Vianello, L. (Lorraine University); Ivaldi, Serena (Lorraine University); Aubry, Alexis (Lorraine University); Peternel, L. (TU Delft Human-Robot Interaction)","","2023","Collaborative robots (cobots) have the potential to augment the productivity and life quality of human operators in the context of Industry 4.0 by providing them with physical assistance. For this reason, it is necessary to define the relationship between humans and cobots and to study how the two agents adapt to each other. However, to the best of our knowledge, literature is still missing insight into how humans perceive and react to changes in the cobot behavior (e.g. changes in the learned trajectory and in the role the robot assumes). Specifically, a study of how humans adapt to changing roles and control strategies of collaborating robots is missing. To fill this gap, we propose a human study in which 16 participants executed a collaborative human–robot sawing task where the cobot altered between three different control strategies. We examined human adaptation when cobot suddenly changed the control strategy from one to another, resulting in six experimental conditions. The experiments were performed on a setup involving Kuka LBR iiwa robotic arm. The results suggest that transition influences movement performance in the early stages and at steady state, subjects prefer to abandon modes that require more effort and they adapt faster to energy-demanding modes. Finally, for the specific task we studied, subjects tend to prefer collaborative modes to ones in which the robot assumes a fixed role.","Adaptation; Collaborative robots; Human–robot physical interaction","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-01","","","Human-Robot Interaction","","",""
"uuid:1f90683c-525f-4539-a449-5b822ca99890","http://resolver.tudelft.nl/uuid:1f90683c-525f-4539-a449-5b822ca99890","3D CFD Study of Scour in Combined Wave–Current Flows around Rectangular Piles with Varying Aspect Ratios","Dutta, Debasish (Indian Institute of Technology Kharagpur); Afzal, Mohammad Saud (Indian Institute of Technology Kharagpur); Alhaddad, S.M.S. (TU Delft Offshore and Dredging Engineering)","","2023","This study utilizes three-dimensional simulations to investigate scour in combined wave–current flows around rectangular piles with various aspect ratios. The simulation model solves the Reynolds-averaged Navier–Stokes (RANS) equations using the k–ω turbulence model, and couples the Exner equation to compute bed elevation changes. The model also employs the level-set approach to realistically capture the free surface, and couples a hydrodynamic module with a morphological module to simulate the scour process. The morphological module employs a modified critical bed shear stress formula on a sloping bed and a sand-slide algorithm for erosion and deposition calculations in the sediment bed. To validate the numerical model, simulations are conducted in a truncated numerical wave tank with the Dirichlet boundary condition and active wave absorption method. After validation, the numerical model is used to investigate the effect of aspect ratio and the Keulegan–Carpenter (KC) number on scour depth in a combined wave–current environment. The study finds that the normalized scour depth is highest for a rectangular pile with an aspect ratio of 2:1 and lowest for an aspect ratio of 1:2. The maximum normalized scour depth (S/D) for aspect ratios of 2:1 are 0.151, 0.218, and 0.323 for KC numbers 3.9, 5.75, and 10, respectively, whereas the minimum normalized scour depth (S/D) for aspect ratios of 1:2 are 0.132, 0.172, and 0.279. Additionally, the research demonstrates that the normalized scour depth increases with an increase in the KC number for a fixed wave–current parameter (Ucw).","CFD; REEF3D; local scour; wave–current flow; rectangular cylinder","en","journal article","","","","","","","","","","","Offshore and Dredging Engineering","","",""
"uuid:76b72af9-e641-47f8-b6bd-fe36c5bf3f40","http://resolver.tudelft.nl/uuid:76b72af9-e641-47f8-b6bd-fe36c5bf3f40","Pelvis perturbations in various directions while standing in staggered stance elicit concurrent responses in both the sagittal and frontal plane","van Mierlo, Michelle (University of Twente); Ormiston, Jean A. (University of Twente; Sint Maartenskliniek, Nijmegen); Vlutters, Mark (University of Twente); Van Asseldonk, Edwin H.F. (University of Twente); van der Kooij, H. (TU Delft Support Biomechanical Engineering; University of Twente)","","2023","Increasing knowledge on human balance recovery strategies is important for the development of balance assistance strategies using assistive devices like a powered lower-limb exoskeleton. One of the postures which is relevant for this scenario, but underexposed in research, is staggered stance, a posture with one foot in front. We therefore aimed to gain a better understanding of balance recovery in staggered stance. We studied balance responses at joint- and muscle levels to pelvis perturbations in various directions while standing in this posture. Ten healthy individuals participated in this study. We used one actuator beside and one behind the participant to apply 150 ms perturbations in mediolateral (ML), anteroposterior (AP) and diagonal directions, with a magnitude of 3, 6, 9 and 12% of the participant’s body weight (BW). Meanwhile, motion capture, ground reaction forces and moments, and electromyography of the muscles around the ankles and hips were recorded. The perturbations caused movements of the centre of mass (CoM) and centre of pressure (CoP) in the direction of the perturbation. These were often accompanied by motions in a direction different from the perturbation direction. After perturbations perpendicular to the line between both feet, large and significant AP deviations were present of the CoM (-0.27 till 0.40 cm/%BW, p < 0.029) and CoP (-0.99 till 0.80 cm/%BW, p < 0.001). Also, stronger responses on joint and muscle level were present after these perturbations, compared to AP and diagonal perturbations collinear with the line between both feet. The hip, knee and ankle joints contributed differently to the balance responses after the different perturbation directions. To conclude, standing in a staggered stance posture makes individuals more vulnerable to perturbations perpendicular to the line between both feet, requiring larger responses on joint level as well as contributions in the sagittal plane","","en","journal article","","","","","","","","","","","Support Biomechanical Engineering","","",""
"uuid:509a3e72-35be-4f97-b48d-763cbff34823","http://resolver.tudelft.nl/uuid:509a3e72-35be-4f97-b48d-763cbff34823","Additive Manufacturing of Linear Continuous Permittivity Profiles and their Application to Cylindrical Dielectric Resonator Antennas","Hehenberger, S.P. (TU Delft Microwave Sensing, Signals & Systems; German Aerospace Center); Caizzone, Stefano (German Aerospace Center); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2023","The utilization of additive manufacturing (AM) to engineer the permittivity profile of dielectric resonator antennas (DRAs) is considered. For the first time, the capabilities of AM are exploited to create continuously swept permittivity profiles and applied to cylindrical DRAs. The spatial variant lattices (SVL) synthesis algorithm is implemented to create the desired permittivity profiles from a single material, and resulting geometries are manufactured using a high-permittivity material in a fused deposition modeling AM process. Three individual antennas for global navigation satellite system bands are designed and manufactured, two inhomogeneous DRAs with continuous permittivity profiles along the radial and vertical axis, and one homogeneous DRA for comparison. The manufactured antennas are characterized by impedance, realized gain, and axial ratio. Experimental results agree well with simulations and show increased impedance-, gain-, and axial-ratio bandwidths for both inhomogeneous antennas compared to the homogeneous one.","3d printing; additive manufacturing; dielectric crystals; dielectric resonator antenna; inhomogeneous permittivity; spatially varried lattices","en","journal article","","","","","","","","","","","Microwave Sensing, Signals & Systems","","",""
"uuid:b132a949-d801-442a-b4aa-09874d87c56f","http://resolver.tudelft.nl/uuid:b132a949-d801-442a-b4aa-09874d87c56f","The Advances in Conversion Techniques in Triboelectric Energy Harvesting: A Review","Peng, W. (TU Delft Electronic Components, Technology and Materials); Du, S. (TU Delft Electronic Instrumentation)","","2023","A triboelectric nanogenerator (TENG) is a new transducer utilizing contact electrification and electrostatic induction to transform mechanical energy into electric energy. Due to its high energy density and flexibility, it can be employed to make electronic devices self-powered by harvesting ambient mechanical energy in many application scenarios, such as biomedical devices, wearable electronics, and Internet-of-Things (IoT) sensors. However, due to the time-varying and low internal capacitance of a TENG, it is challenging to extract electrical energy from it. Hence, good power conversion techniques are crucial in TENG energy harvesting systems. Currently, studies on dedicated integrated power conversion techniques are very limited. Due to the exponentially increasing research interests in TENG, a comprehensive study on the TENG energy harvesting system, emphasizing integrated-circuit (IC) power conversion techniques, is urgently needed. This paper summarizes and compares the state-of-the-art triboelectric energy harvesting systems, focusing on different power conversion techniques for output power enhancement. Some techniques, which have been widely used in other relevant energy harvesting systems, are also mentioned to inspire innovative design strategies for TENG systems.","Power management; triboelectric; transducer; rectifier; switched-capacitor converter; synchronous switching; MPPT","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-29","","","Electronic Components, Technology and Materials","","",""
"uuid:a5f7c70c-3a90-48f4-a1a7-e01d2ba9b482","http://resolver.tudelft.nl/uuid:a5f7c70c-3a90-48f4-a1a7-e01d2ba9b482","Barriers towards Open Government Data Value Co-Creation: An empirical investigation","Wiedenhöft, Guilherme Costa (ICEAC-FURG, Rio Grande); Matheus, R. (TU Delft Information and Communication Technology); Saxena, Stuti (Graphic Era University, Dehradun); Alexopoulos, Charalampos (University of the Aegean)","","2023","Whilst extant literature on Open Government Data (OGD) focuses upon value creation and innovation, there is scant emphasis upon the Value Co-Creation (VCC) that might result with the engagement of the public sector agencies and the users at large. The present study seeks to appreciate the barriers towards OGD VCC by adopting a qualitative research methodology wherein interviews are being conducted with key personnel manning the OGD initiatives in Brazil. Impediments veering around VCC may be counted the internal, social and cultural and data factors. Findings from the present study lend credence to the fact that a systematic strategizing is important for the success of OGD VCC lest Value Co-Destruction (VCD) happen. From a developing country's perspective, the present study acts as a sounding-board for bearing in mind the caveats deduced vis-a-via the success of the VCC processes.","Brazil; Open Government Data (OGD); Open Government Data failure; Value Co-Creation (VCC); Value Co-Destruction (VCD)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-20","","","Information and Communication Technology","","",""
"uuid:ffdff336-3201-40b3-8800-0f90c365dabe","http://resolver.tudelft.nl/uuid:ffdff336-3201-40b3-8800-0f90c365dabe","Investigating the Influence of Featured Snippets on User Attitudes","Bink, Markus (Universität Regensburg); Schwarz, Sebastian (Universität Regensburg); Draws, T.A. (TU Delft Web Information Systems); Elsweiler, David (Universität Regensburg)","","2023","Featured snippets that attempt to satisfy users' information needs directly on top of the first search engine results page (SERP) have been shown to strongly impact users' post-search attitudes and beliefs. In the context of debated but scientifically answerable topics, recent research has demonstrated that users tend to trust featured snippets to such an extent that they may reverse their original beliefs based on what such a snippet suggests; even when erroneous information is featured. This paper examines the effect of featured snippets in more nuanced and complicated search scenarios concerning debated topics that have no ground truth and where diverse arguments in favor and against can legitimately be made. We report on a preregistered, online user study (N = 182) investigating how the stances and logics of evaluation (i.e., underlying reasons behind stances) expressed in featured snippets influence post-task attitudes and explanations of users without strong pre-search attitudes. We found that such users tend to not only change their attitudes on debated topics (e.g., school uniforms) following whatever stance a featured snippet expresses but also incorporate the featured snippet's logic of evaluation into their argumentation. Our findings imply that the content displayed in featured snippets may have large-scale undesired consequences for individuals, businesses, and society, and urgently call for researchers and practitioners to examine this issue further.","","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-20","","","Web Information Systems","","",""
"uuid:0faca344-adcf-4a2a-9965-9140892f6cec","http://resolver.tudelft.nl/uuid:0faca344-adcf-4a2a-9965-9140892f6cec","Breaking Contraindications: Strengthening Design Driven Doctoral Research","Cavallo, R. (TU Delft Theory, Territories & Transitions)","Borrego, Ignacio (editor); Pasel, Ralf (editor); Weidinger, Jürgen (editor)","2023","","","en","book chapter","Berlin Universities Publishing","","","","","","","","","","Theory, Territories & Transitions","","",""
"uuid:4fa8cc8c-0fed-468b-b907-151ab64a76ee","http://resolver.tudelft.nl/uuid:4fa8cc8c-0fed-468b-b907-151ab64a76ee","A Glimpse into the CA2RE+ Delft Conference","Cavallo, R. (TU Delft Theory, Territories & Transitions)","Borrego, Ignacio (editor); Pasel, Ralf (editor); Weidinger, Jürgen (editor)","2023","","","en","book chapter","Berlin Universities Publishing","","","","","","","","","","Theory, Territories & Transitions","","",""
"uuid:9c10e1c7-4bf2-464c-a291-4645653802ce","http://resolver.tudelft.nl/uuid:9c10e1c7-4bf2-464c-a291-4645653802ce","Driven to Distraction: Examining the Influence of Distractors on Search Behaviours, Performance and Experience","Azzopardi, Leif (University of Strathclyde); Maxwell, D.M. (TU Delft Web Information Systems); Halvey, Martin (University of Strathclyde); Hauff, C. (TU Delft Web Information Systems)","","2023","Advertisements, sponsored links, clickbait, in-house recommendations and similar elements pervasively shroud featured content. Such elements vie for people's attention, potentially distracting people from their task at hand. The effects of such ""distractors""is likely to increase people's cognitive workload and reduce their performance as they need to work harder to discern the relevant from non-relevant. In this paper, we investigate how people of varying cognitive abilities (measured using Perceptual Speed and Cognitive Failure instruments) are affected by these different types of distractions when completing search tasks. We performed a crowdsourced within-subjects user study, where 102 participants completed four search tasks using our news search engine over four different interface conditions: (i) one with no additional distractors; (ii) one with advertisements; (iii) one with sponsored links; and (iv) one with in-house recommendations. Our results highlight a number of important trends and findings. Participants perceived the interface condition without distractors as significantly better across numerous dimensions. Participants reported higher satisfaction, lower workload, higher topic recall, and found it easier to concentrate. Behaviourally, participants issued queries faster and clicked results earlier when compared to the interfaces with distractors. When using the interfaces with distractors, one in ten participants clicked on a distractor - and despite engaging with a distractor for less than twenty seconds, their task time increased by approximately two minutes. We found that the effects were magnified depending on cognitive abilities - with a greater impact of distractors on participants with lower perceptual speed, and for those with a higher propensity of cognitive failures. Distractors - regardless of their type - have negative consequences on a user's search experience and performance. As a consequence, interfaces containing visually distracting elements are creating poorer search experiences due to the ""distractor tax""being placed on people's limited attention.","","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-20","","","Web Information Systems","","",""
"uuid:7cc0d2b3-471c-434e-a0f6-525112b5c5c5","http://resolver.tudelft.nl/uuid:7cc0d2b3-471c-434e-a0f6-525112b5c5c5","RULKNE: Representing User Knowledge State in Search-as-Learning with Named Entities","El Zein, Dima (Université Côte d'Azur); Câmara, Arthur (TU Delft Web Information Systems); Da Costa Pereira, Célia (Université Côte d'Azur); Tettamanzi, Andrea (Université Côte d'Azur)","","2023","A reliable representation of the user's knowledge state during a learning search session is crucial to understand their real information needs. When a search system is aware of such a state, it can adapt the search results and provide greater support for the user's learning objectives. A common practice to track the user's knowledge state is to consider the content of the documents they read during their search session(s). However, most current work ignores entity mentions in the documents, which, when linked to knowledge graphs, can be a source of valuable information regarding the user's knowledge. To fill this gap, we extend RULK - Representing User Knowledge in Search-as-Learning - with entity linking capabilities. The extended framework RULK represents and tracks user knowledge as a collection of such entities. It eventually estimates the user knowledge gain - learning outcome - by measuring the similarity between the represented knowledge and the learning objective. We show that our methods allow for up to 10% improvements when estimating user knowledge gains.","Interactive IR; Named Entities; Retrieval system; Search-As-Learning; User Knowledge","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-20","","","Web Information Systems","","",""
"uuid:4d01afef-e83e-4820-9bda-614fe3815790","http://resolver.tudelft.nl/uuid:4d01afef-e83e-4820-9bda-614fe3815790","Energy-efficient Edge Approximation for Connected Vehicular Services","Katare, D. (TU Delft Information and Communication Technology); Ding, Aaron Yi (TU Delft Information and Communication Technology)","","2023","Connected vehicular services depend heavily on communication as they frequently transmit data and AI models/weights within the vehicular ecosystem. Energy efficiency in vehicles is crucial to keep up with the fast-growing demand for vehicular data processing and communication. To tackle this rising challenge, we explore approximation and edge AI techniques for achieving energy efficiency for vehicular services. Focusing on data-intensive vehicular services, we present an experimental case study on the high-definition (HD) map using the model partition approach. Our study compares the AI model energy consumption using multiple approximation ratios over embedded edge devices. Based on experimental insights, we further discuss an envisioned approximate Edge AI pipeline for developing and deploying energy-efficient vehicular services.","3D maps; Approximation; Data Compression; Energy Efficiency; Edge AI; HD map; Model compression","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-10","","","Information and Communication Technology","","",""
"uuid:15a99b29-6338-4cde-a671-f22118349fdc","http://resolver.tudelft.nl/uuid:15a99b29-6338-4cde-a671-f22118349fdc","Model Predictive Path Planning of AGVs: Mixed Logical Dynamical Formulation and Distributed Coordination","Xin, Jianbin (Zhengzhou University); Wu, Xuwen (Zhengzhou University); D'Ariano, Andrea (University of Roma Tre); Negenborn, R.R. (TU Delft Transport Engineering and Logistics); Zhang, Fangfang (Zhengzhou University)","","2023","Most of the existing path planning methods of automated guided vehicles (AGVs) are static. This paper proposes a new methodology for the path planning of a fleet of AGVs to improve the flexibility, robustness, and scalability of the AGV system. We mathematically describe the transport process as a dynamical system using an ad hoc mixed logical dynamical (MLD) model. Based on our MLD model, model predictive control is proposed to determine the collision paths dynamically, and the corresponding optimization problem is formulated as 0-1 integer linear programming. An alternating direction method of multipliers (ADMM)-based decomposition technique is then developed to coordinate the AGVs and reduce the computational burden, aiming for real-time decisions. The proposed methodology is tested on industrial scenarios, and results from numerical experiments show that the proposed method can obtain high transport productivity of the multi-AGV system at a low computational burden and deal with uncertainties resulting from the industrial environment.","Automated guided vehicles; Mathematical models; mixed logical dynamical model; model predictive control; Path planning; path planning; Planning; Predictive models; Robot kinematics; Robots; Task analysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-22","","","Transport Engineering and Logistics","","",""
"uuid:225489f0-eb0b-4c59-9f97-b3ce63aac365","http://resolver.tudelft.nl/uuid:225489f0-eb0b-4c59-9f97-b3ce63aac365","Lessons from natural flight for aviation: then, now and tomorrow","Harvey, Christina (University of California); de Croon, G.C.H.E. (TU Delft Control & Simulation); Taylor, Graham K. (University of Oxford); Bomphrey, Richard J. (Royal Veterinary College University of London)","","2023","Powered flight was once a capability limited only to animals, but by identifying useful attributes of animal flight and building on these with technological advances, engineers have pushed the frontiers of flight beyond our predecessors’ wildest imaginations. Yet, there remain many key characteristics of biological flight that elude current aircraft design, motivating a careful re-analysis of what we have learned from animals already, and how this has been revealed experimentally, as well as a specific focus on identifying what remains unknown. Here, we review the literature to identify key contributions that began in biology and have since been translated into aeronautical devices or capabilities. We identify central areas for future research and highlight the importance of maintaining an open line of two-way communication between biologists and engineers. Such interdisciplinary, bio-informed analyses continue to push forward the frontiers of aeronautics and experimental biology alike.","Aerodynamics; Biomechanics; Birds; Control; Bio-inspired; Insect","en","journal article","","","","","","","","","","","Control & Simulation","","",""
"uuid:d6cff2b1-21cb-4f22-9d9d-9aa3fc9c4652","http://resolver.tudelft.nl/uuid:d6cff2b1-21cb-4f22-9d9d-9aa3fc9c4652","Sequential ensemble Monte Carlo sampler for on-line Bayesian inference of time-varying parameter In engineering applications","Lye, Adolphus (University of Liverpool); Marino, Luca (University of Oxford); Cicirello, A. (TU Delft Mechanics and Physics of Structures; University of Oxford; University of Liverpool); Patelli, Edoardo (University of Strathclyde)","","2023","Several on-line identification approaches have been proposed to identify parameters and evolution models of engineering systems and structures when sequential datasets are available via Bayesian inference. In this work, a robust and “tune-free” sampler is proposed to extend one of the sequential Monte Carlo implementations for the identification of time-varying parameters which can be assumed constant within each set of data collected but might vary across different sequences of datasets. The proposed approach involves the implementation of the affine-invariant Ensemble sampler in place of the Metropolis–Hastings sampler to update the samples. An adaptive-tuning algorithm is also proposed to automatically tune the step-size of the affine-invariant ensemble sampler which, in turn, controls the acceptance rate of the samples across iterations. Furthermore, a numerical investigation behind the existence of inherent lower and upper bounds on the acceptance rate, making the algorithm robust by design, is also conducted. The proposed method allows for the off-line and on-line identification of the most probable models under uncertainty. The proposed sampling strategy is first verified against the existing sequential Monte Carlo sampler in a numerical example. Then, it is validated by identifying the time-varying parameters and the most probable model of a nonlinear dynamical system using experimental data.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-24","","","Mechanics and Physics of Structures","","",""
"uuid:0c38a508-534c-4a82-9d1d-1910e8deb6c2","http://resolver.tudelft.nl/uuid:0c38a508-534c-4a82-9d1d-1910e8deb6c2","No Europe without Brussels: The Berlaymont Building and the Development of the Léopold Area","Pohl, D. (TU Delft History, Form & Aesthetics); Sterken, Sven (Katholieke Universiteit Leuven)","Casalboni, Mauro (editor)","2023","Europe and Brussels had a mutual dependency from the founding of the European Communities. This article explores the historic relation between local urban and the transnational development of post-war Europe. It ultimately raises the question to what extent there exists a dialogue between various actors involved to actively design and build the image of Europe in Brussels.","","en","book chapter","Mauro Casalboni","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care. Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-01","","","History, Form & Aesthetics","","",""
"uuid:5ec5593d-9574-49a6-aa67-c85902fba3f3","http://resolver.tudelft.nl/uuid:5ec5593d-9574-49a6-aa67-c85902fba3f3","A Fast Multi-objective Evolutionary Approach for Designing Large-Scale Optical Mode Sorter","Panichella, A. (TU Delft Software Engineering); Di Domenico, Giuseppe (attocube systems AG)","Paquete, Luís (editor)","2023","Spatial mode division de-multiplexing of optical signals has many real-world applications, such as quantum computing and both classical and quantum optical communication. In this context, it is crucial to develop devices able to efficiently sort optical signals according to the optical mode they belong to and route them on different paths. Depending on the mode selected, this problem can be very hard to tackle. Recently, researchers have proposed using multi-objective evolutionary algorithms (MOEAs) ---and NSGA-II in particular--- combined with Linkage Learning (LL) to automate the process of design mode sorter. However, given the very large-search scale of the problem, the existing evolutionary-based solutions have a very slow convergence rate. In this paper, we proposed a novel approach for mode sorter design that combines (1) stochastic linkage learning, (2) the adaptive geometry estimation-based MOEA (AGE-MOEA-II), and (3) an adaptive mutation operator. Our experiments with two- and three-objectives (beams) show that our approach is faster (better convergence rate) and produces better mode sorters (closer to the ideal solutions) than the state-of-the-art approach. A direct comparison with the vanilla NSGA-II and AGE-MOEA-II also further confirms the importance of adopting LL in this domain.","Many-objective optimization; Mode Sorter; Optical and Photonics Technology; Evolutionary Algorithms; Machine learning","en","conference paper","ACM/IEEE","","","","","","","","","","Software Engineering","","",""
"uuid:fa0f46e5-4317-4495-88f4-14b3a45d06b3","http://resolver.tudelft.nl/uuid:fa0f46e5-4317-4495-88f4-14b3a45d06b3","Effectiveness of personalized ventilation in reducing airborne infection risk for long-term care facilities","de Haas, Marloes M.A. (Eindhoven University of Technology); Loomans, Marcel G.L.C. (Eindhoven University of Technology); te Kulve, Marije (BBA Binnenmilieu); Boerstra, A.C. (TU Delft Environmental & Climate Design; BBA Binnenmilieu); Kort, Helianthe S.M. (Eindhoven University of Technology)","","2023","Throughout history, the human population has experienced major outbreaks of infectious diseases. In December 2019 the previously unknown SARS-CoV-2 virus emerged, which had a huge impact globally. Residents of long- term care facilities (LTCFs) showed to be highly susceptible to infection due to their frailty. Respiratory infectious diseases, such as COVID-19, can spread among others via the airborne transmission route. This is caused by sharing the same indoor environment. To reduce the risk of infection via the airborne route, it is important to consider ventilation and other building services system measures, including personalized ventilation (PV). PV has the potential of being a suitable solution for LTCFs, as it could still allow interaction between residents and visitors in the common rooms, which is regarded very important from a mental health perspective. To identify the potential of PV in the context of infection risk, a laboratory experiment was conducted to investigate its effectiveness on the infection risk reduction. The research was performed in a controlled climate chamber. In the experiment a person was mimicked and positioned close to a PV system that provided filtered recirculated air. A particle source maintained a constant particle concentration in the room. The performance of the PV system was measured through the particle concentration near the breathing zone as compared to the room concentration. Several design parameters were investigated. Translating the outcomes to a fictive (equivalent) ventilation rate, the Wells-Riley equation was applied to determine the infection risk. The outcomes indicated that, in this laboratory setting, the PV system can reduce the risk of an infection up to 50%. The performance is affected by the distance of the supply head to the breathing zone, the angle of the supply head, airflows in the room and the location of the particle source. To further optimize the system and allow its application in LTCFs, several aspects still need further attention, such as mobility/placing the person, the breathing pattern of the user and factors influencing the comfort and use.","experimental study; infection prevention; Ventilation; Wells-Riley","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:030f7d73-d866-4001-a460-5ad939712217","http://resolver.tudelft.nl/uuid:030f7d73-d866-4001-a460-5ad939712217","Numerical study on the effects of river bank stabilization","Sheikh, Maha (IHE Delft Institute for Water Education); Crosato, A. (TU Delft Environmental Fluid Mechanics; IHE Delft Institute for Water Education); Chavarrías, Víctor (Deltares); Werner, Micha (IHE Delft Institute for Water Education)","","2023","","","en","poster","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-13","","","Environmental Fluid Mechanics","","",""
"uuid:b5350115-f7f6-4e2b-801b-39cd2b017c52","http://resolver.tudelft.nl/uuid:b5350115-f7f6-4e2b-801b-39cd2b017c52","The Productive Interaction Facility (PROD) – A National Research Infrastructure Facility","Slinger, J (TU Delft Policy Analysis); Ellen, Gerald Jan (Deltares); Vreugdenhil, H.S.I. (TU Delft Policy Analysis)","","2023","","","en","abstract","","","","","","","","","","","Policy Analysis","","",""
"uuid:8ad5b1ef-be19-41db-986f-c78b31327986","http://resolver.tudelft.nl/uuid:8ad5b1ef-be19-41db-986f-c78b31327986","Phenophase-based comparison of field observations to satellite-based actual evaporation estimates of a natural woodland: miombo woodland, southern Africa","Zimba, H.M. (TU Delft Water Resources; Ministry of Agriculture and Livestock, Zambia); Coenders-Gerrits, Miriam (TU Delft Water Resources); Kawawa, Banda (University of Zambia); Schilperoort, B. (TU Delft Atmospheric Remote Sensing); van de Giesen, N.C. (TU Delft Water Resources); Nyambe, Imasiku (University of Zambia); Savenije, Hubert (TU Delft Water Resources)","","2023","The trend and magnitude of actual evaporation across the phenophases of miombo woodlands are unknown. This is because estimating evaporation in African woodland ecosystems continues to be a challenge, as flux observation towers are scant if not completely lacking in most ecosystems. Furthermore, significant phenophase-based discrepancies in both trend and magnitude exist among the satellitebased evaporation estimates (i.e. Global Land Evaporation Amsterdam Model (GLEAM), moderate resolution imaging spectroradiometer (MODIS), operational simplified surface energy balance (SSEBop), and water productivity through open-access remotely sensed derived data (WaPOR)), making it difficult to ascertain which of the estimates are close to field conditions. Despite the many limitations with estimation of evaporation in woodlands, the development and application of the distributed temperature system (DTS) is providing deepened insights and improved accuracy in woodland energy partitioning for evaporation assessment. In this study, the Bowen ratio distributed temperature sensing (BRDTS) approach is used to partition available energy and estimate actual evaporation across three canopy phenophases of the miombo woodland, covering the entire 2021 dry season (May–October) and early rain season (November– December) at a representative site in Mpika in Zambia, southern Africa. To complement the field experiment, four satellite-based evaporation estimates are compared to the field observations. Our results show that actual evaporation of the miombo woodland appears to follow the trend of the net radiation, with the lowest values observed during the phenophase with the lowest net radiation in the cool dry season and the highest values during the phenophase with peak net radiation in the early rainy season. It appears the continued transpiration during the driest period in the dormant phenophase (with lowest canopy cover and photosynthetic activities) may be influenced by the species-dependent adapted physiological attributes such as access to moisture in deep soils (i.e. due to deep rooting), plant water storage, and the simultaneous leaf fall and leaf flush among miombo plants. Of the four satellite-based evaporation estimates, only the WaPOR has a similar trend to the field observations across the three phenophases. However, all four satellitebased estimates underestimate the actual evaporation during the dormant and green-up phenophases. Large coefficients of variation in actual evaporation estimates among the satellite-based estimates exist in the dormant and green-up phenophases and are indicative of the difficulty in estimating actual evaporation in these phenophases. The differences between field observations and satellite-based evaporation estimates can be attributed to the model structure, processes, and inputs.","","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:720c7697-54c6-4519-a567-e755837d118a","http://resolver.tudelft.nl/uuid:720c7697-54c6-4519-a567-e755837d118a","“I did not see that coming”: A latent variable structural equation model for understanding the effect of road predictability on crashes along horizontal curves","Afghari, A.P. (TU Delft Safety and Security Science); Vos, J. (TU Delft Transport and Planning); Farah, H. (TU Delft Transport and Planning); Papadimitriou, E. (TU Delft Safety and Security Science)","","2023","Driver anticipation plays a crucial role in crashes along horizontal curves. Anticipation is related to road predictability and can be influenced by roadway geometric design. Therefore, it is essential to understand which geometric design elements can influence anticipation and cause the road to be (un)predictable. This exercise, however, is not straightforward because anticipation is individual-specific whereas road geometric design is location-specific; anticipation is latent and measuring it may not be trivial; anticipation may have several stages from the preceding tangent until the midst of the curve; and not all drivers anticipate in the same way and thus there may well be unobserved heterogeneity in the effect of anticipation on crash risk. Despite methodological advancements in crash risk modelling, there is no econometric model that can adequately explain the above complexities. This study aims to fill this gap by developing an econometric model with a new latent variable, named ‘predictability’ that is measured by individual-specific driving behaviour indicators and predicted by location-specific road geometric factors. The model is specified with random parameters to account for unobserved heterogeneity and is empirically tested by a unique dataset including detailed geometric design and driver behaviour data obtained for 156 curves in the Netherlands. Results indicate that higher exposure and uphill vertical grade are associated with increased likelihood of vehicle crashes along horizontal curves, whereas adequate superelevation and higher predictability are associated with decreased likelihood of those crashes. Pavement friction influences this likelihood too but it has varied effects. Road predictability is influenced by the differences in angle of horizontal curves, vertical grades, and width of consecutive road segments.","Anticipation; Crash risk; Horizontal curve; Predictability; Structural equation modelling","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:0cd8d0c0-49e8-4163-bd95-0773075eb2ac","http://resolver.tudelft.nl/uuid:0cd8d0c0-49e8-4163-bd95-0773075eb2ac","Snel, sneller, toch niet zo snel?: Versnelling van beroep in het wetsvoorstel Versterking regie volkshuisvesting","Boeve, M.N. (TU Delft Urban Development Management); de Jong, Klaske (Universiteit van Amsterdam)","","2023","Het concept wetsvoorstel Versterking regie volkshuisvesting bevat een aantal voorstellen om de rechtsbeschermingsprocedures bij ruimtelijke projecten te versnellen. In dit artikel wordt ingegaan op de waarde van die voorstellen in het licht van reeds bestaande versnellingsmogelijkheden en toekomstige mogelijkheden onder de Omgevingswet. Daarbij worden diverse rapporten besproken en zijn interviews met juristen uit de praktijk gehouden.","","nl","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care. Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-01","","","Urban Development Management","","",""
"uuid:144f8d8c-7406-4c60-a1f1-520bc42a04fa","http://resolver.tudelft.nl/uuid:144f8d8c-7406-4c60-a1f1-520bc42a04fa","Towards integrated digital twins for construction and manufacturing","Čustović, I. (TU Delft Design & Construction Management); Kuttantharappel Soman, R. (TU Delft Integral Design & Management; ETH Zürich); Pauwels, Pieter (Eindhoven University of Technology); Hall, Daniel M. (TU Delft Design & Construction Management)","Kassem, Mohamad (editor); Tagliabue, Lavinia Chiara (editor); Amor, Robert (editor); Sreckovic, Marijana (editor); Chassiakos, Athanasios (editor)","2023","A digital twin (DT) can enhance construction management with comprehensive real-time simulations. However, research rarely considers prefabrication factories, whose processes have a significant impact on cost and duration. It remains unclear how construction DTs can achieve their expected benefits without dynamically interacting with the DTs of manufacturing facilities. To address this, a DT integration model is proposed. It builds upon systems theory and describes integration across the three layers objectives, processes, and data & tools. A theoretical example demonstrates potential benefits of integrated DTs. This work can assist researchers and practitioners who are focusing on DTs in the execution phase.","Digital twins; Construction; Manufacturing; Production Planning and Control; Systems integration; Simulation","en","conference paper","","","","","","","","","","","Design & Construction Management","","",""
"uuid:a3d29cfa-d7f3-4a24-8b45-15a1329d93ef","http://resolver.tudelft.nl/uuid:a3d29cfa-d7f3-4a24-8b45-15a1329d93ef","A Continuously Updated Package-Degradation Model reflecting Thermomechanical Changes at Different Thermo-Oxidative Stages of Moulding Compound","Inamdar, A.S. (TU Delft Electronic Components, Technology and Materials); van Soestbergen, Michiel (NXP Semiconductors); Mavinkurve, Amar (NXP Semiconductors); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","Moulding compounds used for encapsulating electronics typically occupy a large portion of package volume and are most exposed to the external environment. Under harsh conditions such as high temperature, humidity, and mechanical vibrations, constituent materials of electronic components degrade, resulting in a change in their thermal, mechanical, electrical, and chemical behaviour. High-temperature ageing of electronic packages causes the oxidation of epoxy moulding compounds (EMC), forming a layer exhibiting significantly different thermomechanical properties. This reflects in the modified mechanical behaviour of the entire package, which accelerates certain failure modes and affects component reliability. Thus, it is crucial to consider gradual degenerative changes in EMC for a more accurate estimation of the component lifetime. This paper proposes a three-step modelling approach to replicate thermo-chemical changes in package encapsulation. A parametric geometry of a test package was incorporated with the ageing stage-dependent changes in thermomechanical properties of the oxidized layer. The mechanical behaviour of oxidized EMC at multiple stages of thermal ageing (at 150°C for up to 3000 hours) was first experimentally characterized and then validated using warpage measurements on thermally aged test packages and Finite Element (FE) simulations. Lastly, a trend-based interpolation of material model parameters for intermediate stages of ageing was followed, and a continuously updated degradation model (physics-based Digital Twin) was achieved. The proposed model is capable of reproducing degraded stages of the test package under thermal ageing along with its modified thermomechanical behaviour. Its limitations and significance in the domain of health monitoring of microelectronics are also discussed.","Epoxy moulding compounds; thermal ageing; oxidation; experimental characterization; mechanical behavioural modelling; degradation model; parametric geometry; finite element analysis; package warpage","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-23","","","Electronic Components, Technology and Materials","","",""
"uuid:d8860f47-83fc-4eda-91a0-9188f5605d90","http://resolver.tudelft.nl/uuid:d8860f47-83fc-4eda-91a0-9188f5605d90","Prostate-specific membrane antigen (PSMA) as a potential target for molecular imaging and treatment in bone and soft tissue sarcomas","Kleiburg, Fleur (University of Twente; Leiden University Medical Center); Heijmen, Linda (Leiden University Medical Center); Gelderblom, Hans (Leiden University Medical Center); Kielbasa, Szymon M. (Leiden University Medical Center); Bovée, Judith Vmg (Leiden University Medical Center); de Geus-Oei, L.F. (TU Delft RST/Radiation, Science and Technology; University of Twente; Leiden University Medical Center)","","2023","Bone and soft tissue sarcomas are a group of rare malignant tumours with major histological and anatomical varieties. In a metastatic setting, sarcomas have a poor prognosis due to limited response rates to chemotherapy. Radioligand therapy targeting prostate-specific membrane antigen (PSMA) may offer a new perspective. PSMA is a type II transmembrane glycoprotein which is present in all prostatic tissue and overexpressed in prostate cancer. Despite the name, PSMA is not prostate-specific. PSMA expression is also found in a multitude of non-prostatic diseases including a subgroup of sarcomas, mostly in its neovascular endothelial cells. On PET/CT imaging, multiple sarcomas have also shown intense PSMA-tracer accumulation. PSMA expression and PSMA-tracer uptake seem to be highest in patients with aggressive and advanced sarcomas, who are also in highest need of new therapeutic options. Although these results provide a good rationale for the future use of PSMA-targeted radioligand therapy in a selection of sarcoma patients, more research is needed to gain insight into optimal patient selection methods, PSMA-targeting antibodies and tracers, administered doses of radioligand therapy, and their efficacy and tolerability. In this review, mRNA expression of the FOLH1 gene which encodes PSMA, PSMA immunohistochemistry, PSMA-targeted imaging and PSMA-targeted therapy in sarcomas will be discussed.","","en","review","","","","","","","","","","RST/Radiation, Science and Technology","","","",""
"uuid:4ff202a0-e39e-4a5b-8b0d-3979eb19dc61","http://resolver.tudelft.nl/uuid:4ff202a0-e39e-4a5b-8b0d-3979eb19dc61","baseLess: lightweight detection of sequences in raw MinION data","Noordijk, Ben (Wageningen University & Research); Nijland, Reindert (Wageningen University & Research); Carrion, Victor J. (Universiteit Leiden; Netherlands Institute of Ecology; Universidad de Málaga); Raaijmakers, Jos M. (Universiteit Leiden; Netherlands Institute of Ecology); De Ridder, Dick (Wageningen University & Research); de Lannoy, C.V. (TU Delft BN/Chirlmin Joo Lab; Wageningen University & Research)","","2023","With its candybar form factor and low initial investment cost, the MinION brought affordable portable nucleic acid analysis within reach. However, translating the electrical signal it outputs into a sequence of bases still requires mid-tier computer hardware, which remains a caveat when aiming for deployment of many devices at once or usage in remote areas. For applications focusing on detection of a target sequence, such as infectious disease monitoring or species identification, the computational cost of analysis may be reduced by directly detecting the target sequence in the electrical signal instead. Here, we present baseLess, a computational tool that enables such target-detection-only analysis. BaseLess makes use of an array of small neural networks, each of which efficiently detects a fixed-size subsequence of the target sequence directly from the electrical signal. We show that baseLess can accurately determine the identity of reads between three closely related fish species and can classify sequences in mixtures of 20 bacterial species, on an inexpensive single-board computer.","","en","journal article","","","","","","","","","","","BN/Chirlmin Joo Lab","","",""
"uuid:674f9df5-aed9-4a34-8794-debcf7bf5b0a","http://resolver.tudelft.nl/uuid:674f9df5-aed9-4a34-8794-debcf7bf5b0a","Corporate social responsibility and hybrid potato breeding: balancing economic, environmental and social challenges","Swart, J.A.A. (Rijksuniversiteit Groningen); van de Poel, I.R. (TU Delft Ethics & Philosophy of Technology)","Struik, Paul C. (editor); Gildemacher, Peter R. (editor); Stemerding, Dirk (editor); Lindhout, Pim (editor)","2023","Hybrid potato breeding is an emerging technology that can have a strong impact on the potato sector by replacing seed potatoes with true seeds. The Netherlands is a world leader in certified seed potatoes and a number of Dutch companies play a pivotal role in the development of this technology. This implies a certain responsibility for the consequences and conditions of its implementation and we therefore explored how Dutch potato breeding companies see their role and responsibility especially in low- and middle-income countries in the context of the United Nations Sustainable Development Goals (SDGs). From interviews, it appears that most potato breeding companies emphasise the promising role of hybrid potato breeding in achieving SDGs. They also stress that their core business is at the heart of corporate social responsibility as it contributes to the SDGs. We also observed that for the introduction of new varieties they often rely on trickle-down mechanisms, where local farmers are rather passive recipients, rather than being actively involved in strategic choices of innovation. It may explain why the concept of responsible research and innovation (RRI), which emphasises the active involvement of society and affected stakeholders, is relatively unknown in the sector. The main approach in the sector may be labelled as a ‘solution strategy’ where dominant actors rely on their expertise to solve problems. However, the attainment of SDGs should rather be considered as a wicked problem, characterised by complexity, uncertainty and multiple actor’s perspectives. A ‘negotiation strategy’, which is more inclusive and stresses the need of negotiation between different perspectives and interests, may fit better. From the perspective of RRI it is argued that insights from participatory breeding and farmer variety selection traditions and the concept of benefit sharing may be considered as promising negotiation strategies that can contribute to potato breeding practices for the attainment of SDGs.","HTPS-technology; sustainable development goals; corporate social responsibility; responsible research and innovation","en","book chapter","Wageningen Academic Publishers","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:b49ee384-d138-41c7-87ab-8d71cc8d19f7","http://resolver.tudelft.nl/uuid:b49ee384-d138-41c7-87ab-8d71cc8d19f7","A Wavelet-Based Approach to FRF Identification From Incomplete Data","Dirkx, Nic (ASML; Eindhoven University of Technology); Tiels, Koen (Eindhoven University of Technology); Oomen, T.A.E. (TU Delft Team Jan-Willem van Wingerden; Eindhoven University of Technology)","","2023","Frequency response function (FRF) estimation from measured data is an essential step in the design, control, and analysis of complex dynamical systems, including thermal and motion systems. Especially for systems that require long measurement time, missing samples in the data record, e.g., due to measurement interruptions, often occur. The aim of this article is to achieve accurate identification of nonparametric FRF models of periodically excited systems from noisy output measurements with missing samples. An identification framework is established that exploits a wavelet-based transform to separate the effect of the missing samples in the time domain from the system characteristics in tre frequency domain. The framework encompasses both a time-invariant and a time-varying wavelet-based estimator, which provides different mechanisms to address the missing samples. Experimental results from a thermodynamical system confirm that the estimators enable accurate identification.","Frequency response; linear systems; missing data; system identification; wavelet transforms","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-22","","","Team Jan-Willem van Wingerden","","",""
"uuid:86f4e264-eff8-4b65-9594-0634ca56c837","http://resolver.tudelft.nl/uuid:86f4e264-eff8-4b65-9594-0634ca56c837","FeelPen: A Haptic Stylus Displaying Multimodal Texture Feels on Touchscreens","Kodak, B.L. (TU Delft Human-Robot Interaction); Vardar, Y. (TU Delft Human-Robot Interaction)","","2023","The ever-emerging mobile market induced a blooming interest in stylus-based interactions. Most state-of-the-art styluses either provide no haptic feedback or only deliver one type of sensation, such as vibration or skin stretch. Improving these devices with display abilities of a palette of tactile feels can pave the way for rendering realistic surface sensations, resulting in more natural virtual experiences. However, integrating necessary actuators and sensors while keeping the compact form factor of a stylus for comfortable user interactions challenges their design. This situation also limits the scientific knowledge of relevant parameters for rendering compelling artificial textures for stylus-based interactions. To address these challenges, we developed FeelPen, a haptic stylus that can display multimodal texture properties (compliance, roughness, friction, and temperature) on touchscreens. We validated the texture rendering capability of our design by conducting system identification and psychophysical experiments. The experimental results confirmed that FeelPen could render a variety of modalities with wide parameter ranges necessary to create perceptually salient texture feels, making it a one-of-a-kind stylus. Our unique design and experimental results pave the way for new perspectives with stylus-based interactions on future touchscreens.","Electrovibration; haptic interface; haptics; perceptual dimensions; tactile perception; texture rendering","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-19","","","Human-Robot Interaction","","",""
"uuid:510b71ef-ef76-4b7c-8814-7cf59f154734","http://resolver.tudelft.nl/uuid:510b71ef-ef76-4b7c-8814-7cf59f154734","Effect of strain hardening on the rotation capacity of welded I-section high-strength steel beams","Wong, W.J. (TU Delft Ship and Offshore Structures); Walters, C.L. (TU Delft Ship and Offshore Structures)","","2023","High-strength steel beams are known to have less plastic rotation capacity than beams with lower yield strengths. This has been related to the decreased strain-hardening ability of high-strength steels, and various rules and standards for steel structures stipulate maximum limits on the allowable yield-to-tensile strength ratio ((Formula presented.)), which indirectly acts as a measure of strain hardening. While the literature suggests that there is an interdependence between strain hardening ability, yield strength, cross-sectional slenderness and rotation capacity, the presently prescribed limits on (Formula presented.) (e.g. 0.91, 0.94, 0.95) are typically constant for a given material regardless of the other parameters mentioned. This computational study hence investigates how the rotation capacity is simultaneously dependent on yield strength, strain hardening ability and cross-sectional slenderness, and how each parameter affects the relationship between the others. The results show that, with the geometrical aspect kept constant through the use of normalised slenderness parameters, a higher yield strength leads to higher rotation capacity for a given (Formula presented.), while the well-known decrease of rotation capacity with higher (Formula presented.) is confirmed. This suggests the possibility of more efficient use of high-strength steels with high (Formula presented.) when the interdependence of all the variables are accounted for. The results also suggest the importance of accounting for the relative slendernesses of the web and the flange and whether the buckling behaviour is web- or flange-dominated, since a switch between a web- and flange- dominated buckling response could lead to a reverse in the trend between the rotation capacity and the overall cross-sectional slenderness.","ductility; high-strength steels; plastic buckling; plastic hinge; rotation capacity; Yield-to-tensile-strength ratio","en","journal article","","","","","","","","","","","Ship and Offshore Structures","","",""
"uuid:4140665f-7b97-4d7f-86f7-3b46b0a767d7","http://resolver.tudelft.nl/uuid:4140665f-7b97-4d7f-86f7-3b46b0a767d7","Imitrob: Imitation Learning Dataset for Training and Evaluating 6D Object Pose Estimators","Sedlar, Jiri (Czech Technical University); Stepanova, Karla (Czech Technical University); Skoviera, Radoslav (Czech Technical University); Behrens, Jan K. (Czech Technical University); Tuna, Matus (Comenius University); Sejnova, Gabriela (Czech Technical University); Sivic, Josef (Czech Technical University); Babuska, R. (TU Delft Learning & Autonomous Control; Czech Technical University)","","2023","This letter introduces a dataset for training and evaluating methods for 6D pose estimation of hand-held tools in task demonstrations captured by a standard RGB camera. Despite the significant progress of 6D pose estimation methods, their performance is usually limited for heavily occluded objects, which is a common case in imitation learning, where the object is typically partially occluded by the manipulating hand. Currently, there is a lack of datasets that would enable the development of robust 6D pose estimation methods for these conditions. To overcome this problem, we collect a new dataset (Imitrob) aimed at 6D pose estimation in imitation learning and other applications where a human holds a tool and performs a task. The dataset contains image sequences of nine different tools and twelve manipulation tasks with two camera viewpoints, four human subjects, and left/right hand. Each image is accompanied by an accurate ground truth measurement of the 6D object pose obtained by the HTC Vive motion tracking device. The use of the dataset is demonstrated by training and evaluating a recent 6D object pose estimation method (DOPE) in various setups.","6D object pose estimation; computer vision for automation; Learning from demonstration; perception for grasping and manipulation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-20","","","Learning & Autonomous Control","","",""
"uuid:fc9af78c-e414-4f1c-951d-4d2df735a68e","http://resolver.tudelft.nl/uuid:fc9af78c-e414-4f1c-951d-4d2df735a68e","An Array of Bandpass Detectors for Measuring Beam Spectral Components","Jahangiri, M. (TU Delft Electronic Instrumentation); Sberna, P.M. (TU Delft EKL Processing); Sammak, A. (TU Delft BUS/TNO STAFF; QuTech; TNO); Nihtianova, S. (TU Delft Electronic Instrumentation)","","2023","A clear understanding of the spectral components of an irradiated beam, or captured optical emission, is essential to optimize an optical system and increase its performance. Logically, for this purpose a grating-based spectrometer could be the first choice. However, in the case of a wide range spectrum, and for radiation with one dominant wavelength, this option may not work well. In this paper, we present a technique based on an array of bandpass detectors to measure accurately the power of a number of beam-specific spectral components in a wide spectrum range: from soft X-ray to infrared. The main unique features of this technique are: customization for specific wavelengths of interest; vacuum compatibility; and high sensitivity to low-energy spectral components in the presence of one or more dominant highpower spectral components.","radiation beam spectral components; detector array; integrated optical windows","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-17","","","Electronic Instrumentation","","",""
"uuid:a0ec155b-c10d-48c2-96a9-2b10a8689236","http://resolver.tudelft.nl/uuid:a0ec155b-c10d-48c2-96a9-2b10a8689236","ZVT Interleaved High Step-Up Converter For Renewable Energy Systems","Akhlaghi, Baharak (Isfahan University of Technology); Farzanehfard, Hosein (Isfahan University of Technology); Thiruvady, Dhananjay (Deakin University); Faraji, R. (TU Delft Electronic Components, Technology and Materials); Shiri, Fatemeh (Monash University)","","2023","In this paper, a novel interleaved high step-up (HSU) converter is presented. The proposed converter features a large voltage gain and common input-output grounding. In this interleaved HSU converter, by using only one zero voltage transition (ZVT) auxiliary circuit with one auxiliary switch and low number of elements, soft switching (SS) performance for all the semiconductors over a wide range of load variations is achieved. This leads to advantages of high efficiency and low complexity, expense, and size. The characteristics of the proposed converter are compared to similar state of the art converters, and to confirm its effectiveness, the simulation results of the proposed converter are presented.","High step-up (HSU) converter; interleaved converter; renewable energy (RE); soft switching (SS); zero voltage transition (ZVT)","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-05","","","Electronic Components, Technology and Materials","","",""
"uuid:0b831a5b-e551-4f03-8c58-4e1f3f0efa65","http://resolver.tudelft.nl/uuid:0b831a5b-e551-4f03-8c58-4e1f3f0efa65","Initial tests on reversed open filters on sand-covered rock mounds","van de Ven, Daan (Student TU Delft; Van Oord); Hofland, Bas (TU Delft Hydraulic Structures and Flood Risk); van Kester, Dennis (Van Oord); Smith, Greg (Van Oord); Antonini, A. (TU Delft Coastal Engineering)","","2023","When constructing land reclamations, often sand is placed on top of the coarse rock of the bund surrounding the reclamation. The use of a geometrically open filter between the interface of sand and rock could be cost effective. It is expected that even a geometrically open filter with sand on top of gravel might be stable due to the arching mechanism. For such a “reversed” open filter the actual stability is unknown. Hence this study focusses on the stability of a reversed geometrically open filter under cyclic loading. This paper mainly describes the development of the test setup. First the numerical model OpenFOAM was used to extract the gradients from a representative case study. Next a test setup was developed to generate these low-magnitude loads at full-scale. Various sand-filter combinations were tested, with a range of ratios of the diameters of the gravel filter (D15F) and the sandy base layer (D85B) and sand with a unimodal distribution. They were tested for both parallel (i//) and perpendicular (iꞱ) gradients. The order of magnitude of the occurring gradients obtained with the numerical model for the case-study were a parallel gradient of i//,2% ≈ 1%, decreasing to 0 going downward, and a rather constant perpendicular gradient of iꞱ,2% = 0.2-0.3 for the lowest 4 m of the reversed granular filter. The critical perpendicular gradients were estimated at iꞱc ≈ 0.2 to 0.1 for filter ratios of D85F/D15B = 7.5 to 9.5. The critical parallel gradients were measured at i//c ≈ 2% down to 1%, but might be influenced by simultaneously occurring perpendicular gradients. Even though for the test case no stable situation could be proven with respect to the perpendicular gradient, realistic situations with stable reversed open filters seem possible.","","en","conference paper","Institution of Civil Engineers","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-27","","","Hydraulic Structures and Flood Risk","","",""
"uuid:88317cb3-aa42-4bce-9bd3-c9e1b3d3f8d7","http://resolver.tudelft.nl/uuid:88317cb3-aa42-4bce-9bd3-c9e1b3d3f8d7","Embedded rocking measurement of single layer armour units: Development and first results","Houtzager, Daan (Student TU Delft; Reefy); Hofland, Bas (TU Delft Hydraulic Structures and Flood Risk; Deltares); Caldera, Ganga (Student TU Delft; Delta Marine Consultants); van der Lem, Cock (Royal HaskoningDHV); van Gent, M.R.A. (TU Delft Coastal Engineering; Deltares); Bakker, Pieter (Delta Marine Consultants); Antonini, A. (TU Delft Coastal Engineering)","","2023","Randomly placed breakwater armour units under wave loading can sometimes start rocking, which can lead to breakage of armour units. This failure mechanism can especially become important for single layer randomly placed armour units for which full displacement of units will only happen at higher stability numbers compared to older types of units, and where unit breakage can more easily lead to progressive damage to the armour layer. However, unlike older types of units, hardly any quantitative information is available on the impact velocities, and the number of impacts is mostly assessed using somewhat subjective visual observations. In design the observed number of rocking units is limited to the amount of visually observed rocking units. Hence a good quantification of impact velocities could lead to a more optimal design. This paper describes the further development of embedded rocking sensors to measure the motions of individual smart armour units. Multiple smart rocking sensors have been applied in a physical model of a breakwater and measurements were collected to determine the number of impacts and impact velocity of the armour units. The results have been compared to visual observations and the first results will be presented. It is concluded that the new technique can be used to obtain much more information on rocking, including impact velocities, and that more rocking occurs than is observed visually.","","en","conference paper","Institution of Civil Engineers","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-27","","","Hydraulic Structures and Flood Risk","","",""
"uuid:c3d5aca4-f989-42ec-afef-b27aae24a348","http://resolver.tudelft.nl/uuid:c3d5aca4-f989-42ec-afef-b27aae24a348","Experimental study of spray from wave impact","Chen, X. (TU Delft Hydraulic Structures and Flood Risk; Royal HaskoningDHV); Rivera-arreba, Irene (Norwegian University of Science and Technology (NTNU)); Hofland, Bas (TU Delft Hydraulic Structures and Flood Risk)","","2023","Overtopping plumes from wave impact is relevant to coastal defence for overtopping analysis of sea walls, levees, and gates. Improved insight into this phenomenon will further enhance the prediction of wave overtopping and its induced hazard, e.g., erosion, saltwater ingress, and a hindrance to traffic. A series of small-scale experiments have been carried out in the WaterLab at TU Delft to characterize the droplets formed by wave impacts. Focused waves were generated by the piston-type wavemaker to control the wave breaking point on the wall, which allowed the creation of different types of wave impact. Impacts were investigated respectively: non-breaking, flip-through, and air pocket. After the wave impact, all the stages of the plume formation were filmed using a high-speed camera at a frame rate of 500fps. In this study, the spray sheet breakup and droplet formation are investigated. A simple approach to estimate the maximum spray height is proposed, which can be used for the splash type overtopping in the future.","","en","conference paper","Institution of Civil Engineers","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-27","","","Hydraulic Structures and Flood Risk","","",""
"uuid:1baf58ae-0693-418d-9626-758df0c62873","http://resolver.tudelft.nl/uuid:1baf58ae-0693-418d-9626-758df0c62873","Understanding Chloride Diffusion Coefficient in Cementitious Materials","Xu, Z. (TU Delft Materials and Environment); Ye, G. (TU Delft Materials and Environment)","","2023","One of the key problems that affect the durability of reinforced concrete structures is the corrosion of rebar induced by chloride. Despite the complicated transport mechanism of chloride ions in cementitious materials, diffusion is still the key mechanism of chloride ingress. The determination of the chloride diffusion coefficient will help to predict the chloride profile inside the cementitious materials and estimate the service life with regard to chloride-induced corrosion. However, this paper shows that the chloride diffusion coefficient in the literature is sometimes misunderstood. Such a misunderstanding results in the overestimation of the chloride resistance of cementitious materials. To clarify the chloride diffusion coefficient, this paper first presents the steady- and non-steady-state diffusion equations in cementitious materials. The factors that influence the diffusive flux are identified. The effective and apparent diffusion coefficients are then clearly explained and properly defined. We also point out the obscure definitions of the effective diffusion coefficient in the literature. The varied definitions of the effective diffusion coefficient are the result of the consideration of different factors affecting the diffusion process. Subsequently, this paper discusses two natural diffusion test methods that are frequently employed in cementitious materials to measure the chloride diffusion coefficient. The influencing factors considered by the measured diffusion coefficients are analyzed in detail. Then, the diffusion coefficients determined in some of the studies are reviewed. It is shown that three typical errors could occur when numerically determining the diffusion coefficients.","cementitious materials; diffusion coefficient; chloride transport; natural diffusion test","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:be56d686-f8be-4335-8255-63454400bbb1","http://resolver.tudelft.nl/uuid:be56d686-f8be-4335-8255-63454400bbb1","'No net land take' of net niks?: Redactioneel","Boeve, M.N. (TU Delft Urban Development Management)","","2023","In dit redactioneel wordt ingegaan op de Europese doelstelling voor het terugdringen van het nettoruimtebeslag tot nul in 2050. Auteur geeft daar een kritische beschouwing van en geeft een vingerwijzing voor de focus in Nederland.","","nl","journal article","","","","","","","","","","","Urban Development Management","","",""
"uuid:a76a76cb-3288-4592-b42a-68b44a78e7f6","http://resolver.tudelft.nl/uuid:a76a76cb-3288-4592-b42a-68b44a78e7f6","COVID-19 and the Internet: Lessons Learned","Stocker, Volker (Technical University of Berlin); Lehr, William (Massachusetts Institute of Technology); Smaragdakis, G. (TU Delft Cyber Security)","Whalley, Jason (editor); Stocker, Volker (editor); Lehr, William (editor)","2023","The COVID-19 pandemic has disrupted the ‘real’ world and substantially impacted the virtual world and thus the Internet ecosystem. It has caused a significant exogenous shock that offers a wealth of natural experiments and produced new data about broadband, clouds, and the Internet in times of crisis. In this chapter, we characterise and evaluate the evolving impact of the global COVID-19 crisis on traffic patterns and loads and the impact of those on Internet performance from multiple perspectives. While we place a particular focus on deriving insights into how we can better respond to crises and better plan for the post-COVID-19 ‘new normal’, we analyse the impact on and the responses by different actors of the Internet ecosystem across different jurisdictions. With a focus on the USA and Europe, we examine the responses of both public and private actors, with the latter including content and cloud providers, content delivery networks, and Internet service providers (ISPs). This chapter makes two contributions: first, we derive lessons learned for a future post-COVID-19 world to inform non-networking spheres and policy-making; second, the insights gained assist the networking community in better planning for the future.","","en","book chapter","Emerald Publishing","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-27","","","Cyber Security","","",""
"uuid:46924cc7-9cec-4033-981c-08cbc274dabb","http://resolver.tudelft.nl/uuid:46924cc7-9cec-4033-981c-08cbc274dabb","Securing Federated Sensitive Topic Classification against Poisoning Attacks","Chu, Tianyue (IMDEA Networks Institute); Garcia-Recuero, Alvaro (IMDEA Networks Institute); Iordanou, Costas (Cyprus University of Technology); Smaragdakis, G. (TU Delft Cyber Security); Laoutaris, Nikolaos (IMDEA Networks Institute)","","2023","We present a Federated Learning (FL) based solution for building a distributed classifier capable of detecting URLs containing sensitive content, i.e., content related to categories such as health, political beliefs, sexual orientation, etc. Although such a classifier addresses the limitations of previous offline/centralised classifiers, it is still vulnerable to poisoning attacks from malicious users that may attempt to reduce the accuracy for benign users by disseminating faulty model updates. To guard against this, we develop a robust aggregation scheme based on subjective logic and residual-based attack detection. Employing a combination of theoretical analysis, trace-driven simulation, as well as experimental validation with a prototype and real users, we show that our classifier can detect sensitive content with high accuracy, learn new labels fast, and remain robust in view of poisoning attacks from malicious users, as well as imperfect input from non-malicious ones.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-20","","","Cyber Security","","",""
"uuid:72fc025c-0248-45b5-88e3-3e6980d2c97a","http://resolver.tudelft.nl/uuid:72fc025c-0248-45b5-88e3-3e6980d2c97a","Microbioreactors for nutrient-controlled microbial cultures: Bridging the gap between bioprocess development and industrial use","Totlani, K. (TU Delft ChemE/Product and Process Engineering); van Tatenhove-Pel, R.J. (TU Delft BT/Industriele Microbiologie); Kreutzer, M.T. (TU Delft Architectural Engineering +Technology); van Gulik, W.M. (TU Delft BT/Industriele Microbiologie); van Steijn, V. (TU Delft ChemE/Product and Process Engineering)","","2023","It is common practice in the development of bioprocesses to genetically modify a microorganism and study a large number of resulting mutants in order to select the ones that perform best for use at the industrial scale. At industrial scale, strict nutrient-controlled growth conditions are imposed to control the metabolic activity and growth rate of the microorganism, thereby enhancing the expression of the product of interest. Although it is known that microorganisms that perform best under these strictly controlled conditions are not the same as the ones that perform best under uncontrolled batch conditions, screening, and selection is predominantly performed under batch conditions. Tools that afford high throughput on the one hand and dynamic control over cultivation conditions on the other hand are not yet available. Microbioreactors offer the potential to address this problem, resolving the gap between bioprocess development and industrial scale use. In this review, we highlight the current state-of-the-art of microbioreactors that offer the potential to screen microorganisms under dynamically controlled conditions. We classify them into: (i) microtiter plate-based platforms, (ii) microfluidic chamber-based platforms, and (iii) microfluidic droplet-based platforms. We conclude this review by discussing the opportunities of nutrient-fed microbioreactors in the field of biotechnology.","chemostat; fed-batch; industrially-relevant screening; microbioreactors; nutrient-limited growth","en","review","","","","","","","","","","Architectural Engineering +Technology","ChemE/Product and Process Engineering","","",""
"uuid:30605d15-1065-4d94-932e-6a2a4162e5e4","http://resolver.tudelft.nl/uuid:30605d15-1065-4d94-932e-6a2a4162e5e4","De natuurkunde van Spiderman","Pols, C.F.J. (TU Delft ImPhys/Docenten)","","2023","In filmscenes gebeuren regelmatig dingen waarvan niet meteen duidelijk is of het in het echt ook kan. Op de WND-conferentie van 2014 liet ik een samengestelde korte
film zien waarbij steeds de vraag was: komt wat we zien in de filmscene overeen met de gebeurtenis zoals deze in het echt zou plaatsvinden? De vraag ‘is dit echt of worden we genept?’ is een leuke en uitdagende vraag voor leerlingen waarbij ze natuurkunde moeten gebruiken om de vraag te beantwoorden. De Spidermanfilms bieden tal van scenes waarin deze vraag gesteld kan worden.","","nl","journal article","","","","","","","","","","","ImPhys/Docenten","","",""
"uuid:0a45db3d-5be4-4530-856f-0470664e0c1b","http://resolver.tudelft.nl/uuid:0a45db3d-5be4-4530-856f-0470664e0c1b","Guidebook on co-creation and reflexive policy making: Synthesis of the UPLIFT WP4 Results","Hoekstra, J.S.C.M. (TU Delft Urban Development Management); Gentili, M. (TU Delft Urban Development Management)","","2023","This Guidebook is the final deliverable of the work package 4 (WP4) of the UPLIFT project. The overall aim of this work package was to explore how young people’s voices can be put at the centre of youth policy. In order to do this, we carried out four parallel policy co-creation processes with young people in four different locations across Europe, each with a different focus – education, housing and NEET youth. The process took the best part of three years and
involved several institutional stakeholders, as well as dozens of young people. This deliverable is a comprehensive outlook of the WP4 work in all four locations – Tallin, Sfântu Gheorghe, Barakaldo and Amsterdam.","","en","report","","","","","","","","","","","Urban Development Management","","",""
"uuid:cfcf8ebd-8db4-4b5e-a174-6c0c16b21dfd","http://resolver.tudelft.nl/uuid:cfcf8ebd-8db4-4b5e-a174-6c0c16b21dfd","An Incremental Inverse Reinforcement Learning Approach for Motion Planning with Separated Path and Velocity Preferences","Avaei, S. (Student TU Delft); van der Spaa, L.F. (TU Delft Biomechatronics & Human-Machine Control; Honda Research Institute Europe); Peternel, L. (TU Delft Human-Robot Interaction); Kober, J. (TU Delft Learning & Autonomous Control)","","2023","Humans often demonstrate diverse behaviors due to their personal preferences, for instance, related to their individual execution style or personal margin for safety. In this paper, we consider the problem of integrating both path and velocity preferences into trajectory planning for robotic manipulators. We first learn reward functions that represent the user path and velocity preferences from kinesthetic demonstration. We then optimize the trajectory in two steps, first the path and then the velocity, to produce trajectories that adhere to both task requirements and user preferences. We design a set of parameterized features that capture the fundamental preferences in a pick-and-place type of object transportation task, both in the shape and timing of the motion. We demonstrate that our method is capable of generalizing such preferences to new scenarios. We implement our algorithm on a Franka Emika 7-DoF robot arm and validate the functionality and flexibility of our approach in a user study. The results show that non-expert users are able to teach the robot their preferences with just a few iterations of feedback.","learning from demonstration; human preferences; incremental inverse reinforcement learning; coactive learning; physical human–robot interaction","en","journal article","","","","","","","","","","","Biomechatronics & Human-Machine Control","","",""
"uuid:1c151a1b-2b6f-4180-a9f8-8da483db969d","http://resolver.tudelft.nl/uuid:1c151a1b-2b6f-4180-a9f8-8da483db969d","Morphological approach for the typological classification of waterfront revitalization","Aouissi, Khalil Bachir (University of Blida 1); Madani, Said (University Ferhat Abbas); Hein, C.M. (TU Delft History, Form & Aesthetics); Benacer, Hamza (University of Blida 1)","","2023","Researchers from multiple disciplines have proposed classification systems for waterfront transformations: generational (according to the date of their construction) and functional (based on the function assigned to the land post-harbor use). However, an analysis based on the spatial features of the former port areas and their meaning for the waterfront transformation has been missing. This contribution is an attempt to fill this gap by proposing a classification based on morphological approach. It uses selected case studies based on cluster sampling method, following a fractal reading approach of the waterfronts, to capture a representative sample and to generalize the study following a deductive logic. Using satellite images and maps, this article first identifies the areas where the waterfront was revitalized and then it analyzes the type and function of these spaces according to the classical classifications existing in the literature on the subject. A morphological approach used as methodology framework was based on the analysis of satellite images and the cartography of the waterfront areas with simplification algorithm on ArcGIS. The resulting morphological classification of waterfront transformations reveals the relationship between the built form of the former port areas, classified here as convex, concave, or linear spaces, and the kind of revitalization type respectively classified as ribbon-shaped, convergence, or dilatation. The conclusions about the relationships between the built form available for waterfront transformations and the most appropriate type of revitalization can provide concrete indications for a sustainable future transformation of port cities, especially cities whose reconversion is lagging behind.","port-cities; waterfront classification; morphological approach","en","review","","","","","","","","","","","History, Form & Aesthetics","","",""
"uuid:72eddd41-8bd6-40d4-9183-60bfdcb9ba48","http://resolver.tudelft.nl/uuid:72eddd41-8bd6-40d4-9183-60bfdcb9ba48","How to build a Reflexive Policy Making process with young people","Hoekstra, J.S.C.M. (TU Delft Urban Development Management; TU Delft Housing Institutions & Governance); Gentili, M. (TU Delft Urban Development Management; TU Delft Housing Institutions & Governance)","","2023","In this policy brief, we provide relevant guidelines and recommendations for each of these phases, based on our evaluation of the UPLIFT co-creation process
in the four different cities. These guidelines and recommendations are meant to inspire and provide support to governments and/or service providers
that want to start a similar co-creation process.","","en","report","","","","","","","","","","","Urban Development Management","","",""
"uuid:3f6434dc-ea94-47cb-aa6e-1b3bb6239ce4","http://resolver.tudelft.nl/uuid:3f6434dc-ea94-47cb-aa6e-1b3bb6239ce4","Facades-as-a-Service: Systemic managerial, financial, and governance innovation to enable a circular economy for buildings. Lessons learnt from a full-scale pilot project in the Netherlands","Azcarate Aguerre, J.F. (TU Delft Architectural Technology); den Heijer, A.C. (TU Delft Real Estate Management); Arkesteijn, M.H. (TU Delft Real Estate Management); Vergara d'Alençon, L.M. (TU Delft Housing Institutions & Governance); Klein, T. (TU Delft Architectural Technology)","","2023","Introduction: The challenge of the energy transition in the built environment has, in recent years, been exacerbated by rising awareness of the material resource limitations we face on the path towards sustainable development. In this context the concepts of Circular Economy (CE) and Product-Service Systems (PSS) have emerged as potentially complementary industrial and business strategies to overcome the interdependent material resource and clean energy challenges.
Research significance: Research in the field of circular and PSS-based construction frequently centres on the design and engineering of products, mainly through technical strategies such as design for disassembly and adaptability, and the use of the different “R’s” (Reuse, Repair, Remanufacturing, etc.) to extend and/or reset the service lives of building materials and components. Such an approach often ignores the fact that these strategies require changes in the management, financing, and governance aspects of products and therefore buildings, throughout their entire service-lives. This paper will focus on the systemic administrative (i.e. management, financing, and governance) challenges of the circular and servitisation transitions in the building and construction sector, to enable products which are “Circular by Design”, to effectively support regenerative processes.
Research question: The paper asks how traditional building products’ management, financing, and governance processes prevent or delay the implementation of CE and PSS models. It explores the demand side’s perspective (commissioners, building owners and facility managers), taking a systemic view to the search for new practical, strategic, and scalable administrative models.
Methodology: The research method applies the DAS model (De Jonge et al., 2009; Van der Zwart et al., 2009; den Heijer, 2011; den Heijer et al., 2016) to data gathered from focus group discussion and co-design sessions involving multidisciplinary teams of experts from both academy and industry, as well as literature. The research was conducted within the context of the TU Delft Facades-as-a-Service full-scale pilot project.
Results: The research has shown that, while PSS models to enable material circularity can be partially implemented within the current managerial, financial, and governance framework, this implementation is not efficient, effective, or scalable. This is because standard modes of operation in these disciplines are misaligned with that goal. The practical barriers resulting from this misalignment increase the complexity, risk perception, and therefore cost of PSS alternatives, and thus prevent their organic adoption despite increasing market interest. Recommendations are made for policymakers, financiers, suppliers, and building owners to overcome these barriers.","product-service systems; circular economy; energy retrofit; building envelope; performance contracting; systemic innovation","en","journal article","","","","","","","","","","","Architectural Technology","","",""
"uuid:989cc593-ce94-44c2-aac6-14bf6bdc743e","http://resolver.tudelft.nl/uuid:989cc593-ce94-44c2-aac6-14bf6bdc743e","A resilience assessment framework for complex engineered systems using graphical evaluation and review technique (GERT)","Geng, S. (TU Delft Safety and Security Science; Nanjing University of Aeronautics and Astronautics); Yang, M. (TU Delft Safety and Security Science; Universiti Teknologi Malaysia); Mitici, Mihaela (Universiteit Utrecht); Liu, Sifeng (Nanjing University of Aeronautics and Astronautics)","","2023","System resilience characterizes the capability of maintaining the required functionality under disruptions, which is of great significance in evaluating the productivity and safety of complex engineered systems. Although most studies conduct resilience assessment from qualitative and quantitative perspectives, system functionality that reflects functional requirements for complex engineered systems needs to be elaborated. In addition, given that complex engineered systems achieve dynamic performance during disruptions, measuring the actual performance under uncertainty is imperative. To this end, this paper develops a quantitative framework to assess the resilience of complex engineered systems. The developed framework comprises three phases, functionality analysis, performance evaluation, and resilience assessment. Firstly, system functionality is analyzed using a functional tree illustrating the relationship between functions. The overall objective, primary functions, and sub-functions are identified according to task requirements. Secondly, system performance is quantified considering uncertain factors through Graphical Evaluation and Review Technique (GERT). Probabilistic branches and network logic are employed to represent the implementation of various functions. Finally, resilience assessment is carried out from the perspectives of anticipation, absorption, adaptation, and restoration abilities. A case study on the satellite network shows the effectiveness of the developed framework. The developed framework determines system functionality based on task requirements, evaluates system performance with limited information, and accurately assesses system resilience.","Complex engineered systems; Functionality analysis; Performance evaluation; Resilience assessment; Risk management","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:642b6252-8d07-4a8a-b6c0-1da9b9a28650","http://resolver.tudelft.nl/uuid:642b6252-8d07-4a8a-b6c0-1da9b9a28650","Shaping a multidisciplinary understanding of team trust in human-AI teams: a theoretical framework","Ulfert, Anna-Sophie (Eindhoven University of Technology); Georganta, Eleni (Universiteit van Amsterdam); Centeio Jorge, C. (TU Delft Interactive Intelligence); Mehrotra, S. (TU Delft Interactive Intelligence); Tielman, M.L. (TU Delft Interactive Intelligence)","","2023","Intelligent systems are increasingly entering the workplace, gradually moving away from technologies supporting work processes to artificially intelligent (AI) agents becoming team members. Therefore, a deep understanding of effective human-AI collaboration within the team context is required. Both psychology and computer science literature emphasize the importance of trust when humans interact either with human team members or AI agents. However, empirical work and theoretical models that combine these research fields and define team trust in human-AI teams are scarce. Furthermore, they often lack to integrate central aspects, such as the multilevel nature of team trust and the role of AI agents as team members. Building on an integration of current literature on trust in human-AI teaming across different research fields, we propose a multidisciplinary framework of team trust in human-AI teams. The framework highlights different trust relationships that exist within human-AI teams and acknowledges the multilevel nature of team trust. We discuss the framework’s potential for human-AI teaming research and for the design and implementation of trustworthy AI team members.","","en","journal article","","","","","","","","","","","Interactive Intelligence","","",""
"uuid:3303f45a-48ad-4d4a-81bd-0c3b8eb3a947","http://resolver.tudelft.nl/uuid:3303f45a-48ad-4d4a-81bd-0c3b8eb3a947","Giant Magneto-Resistive (GMR) Sensors for Non-Contacting Partial Discharge Detection","Chen, Y. (TU Delft DC systems, Energy conversion & Storage; South China University of Technology); Castro Heredia, L.C. (TU Delft ESP LAB); Smit, J.J. (TU Delft EEMS - General; IWO (Inst. for Science & Development / Inst. voor Wetenschap & Ontwikkeling)); Ghaffarian Niasar, M. (TU Delft High Voltage Technology Group); Ross, Robert (TU Delft High Voltage Technology Group; IWO (Inst. for Science & Development / Inst. voor Wetenschap & Ontwikkeling))","","2023","Partial discharge (PD) detection is a standardized technique to qualify the insulation condition in power equipment. The main purpose of the article is to evaluate the performance of an extra high-sensitivity adapted giant magneto-resistive (xMR) sensor for non-contacting PD detection. First, compensation and signal conditioning circuits of the sensor are designed. Frequency response and time-domain response to fast calibrator pulses of the sensor with the implemented circuit are measured. Besides, PD experiments based on corona and surface models are carried out and compared with measurements using a high-frequency current transformer (HFCT). The results show that the xMR system can measure the magnetic fields produced by the PDs at distances up to 50 cm. The correlation between the HFCT and xMR signals is proportional under different voltages, showing that PDs can be effectively detected and evaluated by this method. PDs in a cross-linked polyethylene (XLPE) cable with an artificial discharging defect are successfully measured, demonstrating the sensitivity and performance of the xMR system.","Cross-linked polyethylene (XLPE) cable; electromagnetic field measurement, giant magneto-resistive (GMR) sensor; Giant magneto-resistive (GMR); partial discharge (PD)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-19","","","DC systems, Energy conversion & Storage","","",""
"uuid:2d7ca7b9-069e-4528-9ce3-5c07f27df20f","http://resolver.tudelft.nl/uuid:2d7ca7b9-069e-4528-9ce3-5c07f27df20f","Social Network Analysis of the Schistosomiasis control program in two local government areas in Oyo state, Nigeria: Insights for NTD elimination plans","Onasanya, A.A. (TU Delft Design for Sustainability); van Engelen, J.M.L. (TU Delft Design for Sustainability); Oladunni, Opeyemi (Adeleke University, Ede); Oladepo, Oladimeji (University of Ibadan, Ibadan); Diehl, J.C. (TU Delft Design for Sustainability)","","2023","BACKGROUND: Schistosomiasis is one of the neglected tropical diseases targeted for elimination by 2030. Achieving disease elimination requires collaboration between stakeholders, country ownership and the involvement of community-level stakeholders. The state of stakeholder relationship determines the ease and timeliness of meeting disease elimination targets. Mapping stakeholder relationships is critical for assessing gaps in the schistosomiasis control program implementation, and providing a roadmap for improved stakeholder cohesion. The study aimed to measure the cohesiveness of the contact, collaboration and resource-sharing networks, across 2 local government areas in Oyo state, Nigeria. MATERIALS AND METHODS: This study used a Network Representative design for Social Network Analysis (SNA). The study was conducted within Oyo state, Nigeria using 2 Local Government Areas (LGAs): Ibadan North (urban) and Akinyele (rural). Stakeholders were identified using a link-tracing approach. Data was collected using Qualtrics software from stakeholders across the state, local government, healthcare, academia, and non-governmental organizations. Data was analysed using Gephi software for network cohesion across the three networks. RESULTS: The social network analysis revealed high clustering and low density across the three networks implying low cohesion across multiple stakeholder categories. The contact and collaborative networks were the most active with the lowest level of cohesion seen in the resource-sharing network. Stakeholders were more active in the rural LGA than the urban, and stakeholders within the organized governance and public health system were the dominant actors in the schistosomiasis control program. CONCLUSION: The low cohesion, high clustering and low network density among stakeholders within the schistosomiasis control program should be addressed in other to drive innovation and meet the WHO schistosomiasis elimination target.","","en","journal article","","","","","","","","","","","Design for Sustainability","","",""
"uuid:0bb5734d-6043-4c77-ba97-08d448e31d6b","http://resolver.tudelft.nl/uuid:0bb5734d-6043-4c77-ba97-08d448e31d6b","Switch Voltage Rating Selection Considering Cost-Oriented Redundancy and Modularity-based Trade-offs in Modular Multilevel Converter","Ahmadi, M. (TU Delft DC systems, Energy conversion & Storage); Shekhar, A. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2023","Modular Multilevel Converters (MMCs) find increasing applications in medium to high-voltage systems. In such systems, reliability-oriented selection of power electronic switches becomes essential because higher modularity implies an increased number of components. The trade-off between the impact of higher modularity on converter reliability is quantitatively established, corresponding to redundancy costs for the given lifetime requirements. Therefore, this paper proposes a method for an optimal choice among available market switch voltage rating for the MMC. It is shown that the sub-modules (SMs) based on 1.7 kV switches are the most suitable (instead of 1.2 kV and 3.3 kV switches) for two case studies adapting data from the medium voltage grid in The Netherlands. Moreover, the insights from these case studies are generalized to DC link voltage in the range of 10-220 kV and average loading of 1-100%. The sensitivity analysis is performed for the different failure rates (FRs), required lifetime, components cost, and energy price. Sensitivity analysis is also performed to identify the impact of FIDES and Military Handbook (MIL-HDBK) methods. The impact of converter power capacity is studied under the variable current rating. Finally, a generalized form of the proposed method is presented and applied in the published works.","cost assessment; Costs; Insulated gate bipolar transistors; Modular multilevel converter (MMC); modularity; Power system reliability; Redundancy; redundancy; Reliability; reliability analysis; sensitivity analysis; Switches; Voltage","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-30","","","DC systems, Energy conversion & Storage","","",""
"uuid:c18b4fcf-e79f-4b14-aecd-1cdf86b23b9a","http://resolver.tudelft.nl/uuid:c18b4fcf-e79f-4b14-aecd-1cdf86b23b9a","Contestable Camera Cars:: A Speculative Design Exploration of Public AI That Is Open and Responsive to Dispute","Alfrink, Kars (TU Delft Internet of Things); Keller, A.I. (TU Delft Design Conceptualization and Communication); Doorn, N. (TU Delft Ethics & Philosophy of Technology); Kortuem, G.W. (TU Delft Internet of Things)","","2023","Local governments increasingly use artificial intelligence (AI) for automated decision-making. Contestability, making systems responsive to dispute, is a way to ensure they respect human rights to autonomy and dignity. We investigate the design of public urban AI systems for contestability through the example of camera cars: human-driven vehicles equipped with image sensors. Applying a provisional framework for contestable AI, we use speculative design to create a concept video of a contestable camera car. Using this concept video, we then conduct semi-structured interviews with 17 civil servants who work with AI employed by a large northwestern European city. The resulting data is analyzed using reflexive thematic analysis to identify the main challenges facing the implementation of contestability in public AI. We describe how civic participation faces issues of representation, public AI systems should integrate with existing democratic practices, and cities must expand capacities for responsible AI development and operation.","artifcial intelligence; automated decision-making; camera cars; con- testability; local government; machine learning; public administra- tion; public AI; speculative design; urban AI; urban sensing; vehic- ular urban sensing","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Internet of Things","","",""
"uuid:45b77942-97f1-4494-bd8f-d3df9cd9766b","http://resolver.tudelft.nl/uuid:45b77942-97f1-4494-bd8f-d3df9cd9766b","Hierarchical Motion Planning and Tracking for Autonomous Vehicles Using Global Heuristic Based Potential Field and Reinforcement Learning Based Predictive Control","Du, Guodong (ETH Zürich; Beijing Institute of Technology); Zou, Yuan (Beijing Institute of Technology); Zhang, Xudong (Beijing Institute of Technology); Li, Z. (TU Delft Transport and Planning); Liu, Qi (Beijing Institute of Technology)","","2023","The autonomous vehicle is widely applied in various ground operations, in which motion planning and tracking control are becoming the key technologies to achieve autonomous driving. In order to further improve the performance of motion planning and tracking control, an efficient hierarchical framework containing motion planning and tracking control for the autonomous vehicles is constructed in this paper. Firstly, the problems of planning and control are modeled and formulated for the autonomous vehicle. Then, the logical structure of the hierarchical framework is described in detail, which contains several algorithmic improvements and logical associations. The global heuristic planning based artificial potential field method is developed to generate the real-time optimal motion sequence, and the prioritized Q-learning based forward predictive control method is proposed to further optimize the effectiveness of tracking control. The hierarchical framework is evaluated and validated by the numerical simulation, virtual driving environment simulation and real-world scenario. The results show that both the motion planning layer and the tracking control layer of the hierarchical framework perform better than other previous methods. Finally, the adaptability of the proposed framework is verified by applying another driving scenario. Furthermore, the hierarchical framework also has the ability for the real-time application.","Autonomous vehicle; Autonomous vehicles; global heuristic based potential field; Heuristic algorithms; motion planning; Planning; Prediction algorithms; Real-time systems; Reinforcement learning; reinforcement learning based predictive control; Tracking; tracking control","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-17","","","Transport and Planning","","",""
"uuid:97995b3d-bf2c-4626-8369-fa6bb1e4f111","http://resolver.tudelft.nl/uuid:97995b3d-bf2c-4626-8369-fa6bb1e4f111","Investigation of Polyurethane Modified Cold Bitumen for Fast Cold In-Place Recycling","Lin, P. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Welvaarts, Bart (De Jong Zuurmond); Brouns, Koen (Pavecare BV)","","2023","Cold in-place recycling is gaining more attention worldwide because of its lower energy consumption, while the normally used asphalt emulsion and foamed asphalt cannot meet this requirement of short traffic disturbance and road performance of the surface layer. In this research, a polyurethane-modified cold binder (PMCB) was designed and investigated for the fast and high-quality cold in-place recycling of reclaimed asphalt. For the first step, functional group analysis and fluorescent microscopy were used to reveal the curing process and the modification mechanism of the PMCB. Then a series of rheological tests were used to comprehensively evaluate the viscoelastic properties of the PMCB at different curing stages. Finally, the mechanical performance of the PMCB mortar sample was evaluated with the monotonic tensile test and tensile fatigue test. The results indicated that the polymerization reaction in the PMCB consisted of three reactions, and the urethane/urea linkage led to the formation of the polymeric network. The polyurethane polymeric network led to a significant increase in the complex modulus and a decrease of the phase angle. The PMCB also exhibits suitable viscosity at environmental temperatures, good relaxation properties at low temperatures, and less temperature sensitivity. Compared to the base asphalt and styrene butadiene styrene polymer modified bitumen mortar samples, the PMCB mortar samples showed significant advantages in tensile strength, dissipation energy, and tensile fatigue properties. Furthermore, the polyurethane-modified cold asphalt mixture (PMCM) showed better indirect tensile strength than the porous asphalt mixture with fresh aggregate and fresh asphalt binder when the curing time of the PMCM reached 6 h.","asphalt binder modifiers; binders; cold recycling; construction; infrastructure; materials; project delivery methods; rheological properties","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-25","","","Pavement Engineering","","",""
"uuid:1321c786-7b93-41ca-9898-42e73e2b1f62","http://resolver.tudelft.nl/uuid:1321c786-7b93-41ca-9898-42e73e2b1f62","Nonlinear inviscid aerodynamics of a wind turbine rotor in surge, sway, and yaw motions using a free-wake panel method","Pinto Ribeiro, A. (TU Delft Wind Energy); Casalino, D. (TU Delft Wind Energy); Ferreira, Carlos (TU Delft Wind Energy)","","2023","We investigate the aerodynamics of a surging, heaving, and yawing wind turbine with numerical simulations based on a free-wake panel method. We focus on the UNAFLOW (UNsteady Aerodynamics of FLOating Wind turbines) case: a surging wind turbine which was modeled experimentally and with various numerical methods. Good agreement with experimental data is observed for amplitude and phase of the thrust with surge motion. We achieve numerical results of a wind turbine wake that accurately reproduce experimentally verified effects of surging motion. We then extend our simulations beyond the frequency range of the UNAFLOW experiments and reach results that do not follow a quasi-steady response for surge. Finally, simulations are done with the turbine in yaw and heave motion, and the impact of the wake motion on the blade thrust is examined. Our work seeks to contribute a different method to the pool of results for the UNAFLOW case while extending the analysis to conditions that have not been simulated before and providing insights into nonlinear aerodynamic effects of wind turbine motion.","","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:ff938f5d-ceee-4113-ba42-cabb34d80247","http://resolver.tudelft.nl/uuid:ff938f5d-ceee-4113-ba42-cabb34d80247","Automated control for investigation of the insufflation-ventilation interaction in experimental laparoscopy","van Weteringen, Willem (Erasmus MC); Sterke, F. (TU Delft Medical Instruments & Bio-Inspired Technology; Erasmus MC); Vlot, J. (Erasmus MC); Wijnen, René M.H. (Erasmus MC); Dankelman, J. (TU Delft Medical Instruments & Bio-Inspired Technology)","","2023","In laparoscopic surgery the abdominal cavity is insufflated with pressurized carbon dioxide gas to create workspace. This pressure is exerted through the diaphragm onto the lungs, competing with ventilation and hampering it. In clinical practice the difficulty of optimizing this balance can lead to the application of harmfully high pressures. This study set out to create a research platform for the investigation of the complex interaction between insufflation and ventilation in an animal model. The research platform was constructed to incorporate insufflation, ventilation and relevant hemodynamic monitoring devices, controlling insufflation and ventilation from a central computer. The core of the applied methodology is the fixation of physiological parameters by applying closed-loop control of specific ventilation parameters. For accurate volumetric measurements the research platform can be used in a CT scanner. An algorithm was designed to keep blood carbon dioxide and oxygen values stable, minimizing the effect of fluctuations on vascular tone and hemodynamics. This design allowed stepwise adjustment of insufflation pressure to measure the effects on ventilation and circulation. A pilot experiment in a porcine model demonstrated adequate platform performance. The developed research platform and protocol automation have the potential to increase translatability and repeatability of animal experiments on the biomechanical interactions between insufflation and ventilation.","","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:70176e97-ba0d-48c0-9b4b-9a2708fb603c","http://resolver.tudelft.nl/uuid:70176e97-ba0d-48c0-9b4b-9a2708fb603c","ecpc: an R-package for generic co-data models for high-dimensional prediction","van Nee, Mirrelijn M. (Amsterdam Public Health); Wessels, L.F.A. (TU Delft Pattern Recognition and Bioinformatics; Molecular Carcinogenesis; Netherlands Cancer Institute; Computational Cancer Biology); van de Wiel, Mark A. (Amsterdam Public Health)","","2023","BACKGROUND: High-dimensional prediction considers data with more variables than samples. Generic research goals are to find the best predictor or to select variables. Results may be improved by exploiting prior information in the form of co-data, providing complementary data not on the samples, but on the variables. We consider adaptive ridge penalised generalised linear and Cox models, in which the variable-specific ridge penalties are adapted to the co-data to give a priori more weight to more important variables. The R-package ecpc originally accommodated various and possibly multiple co-data sources, including categorical co-data, i.e. groups of variables, and continuous co-data. Continuous co-data, however, were handled by adaptive discretisation, potentially inefficiently modelling and losing information. As continuous co-data such as external p values or correlations often arise in practice, more generic co-data models are needed. RESULTS: Here, we present an extension to the method and software for generic co-data models, particularly for continuous co-data. At the basis lies a classical linear regression model, regressing prior variance weights on the co-data. Co-data variables are then estimated with empirical Bayes moment estimation. After placing the estimation procedure in the classical regression framework, extension to generalised additive and shape constrained co-data models is straightforward. Besides, we show how ridge penalties may be transformed to elastic net penalties. In simulation studies we first compare various co-data models for continuous co-data from the extension to the original method. Secondly, we compare variable selection performance to other variable selection methods. The extension is faster than the original method and shows improved prediction and variable selection performance for non-linear co-data relations. Moreover, we demonstrate use of the package in several genomics examples throughout the paper. CONCLUSIONS: The R-package ecpc accommodates linear, generalised additive and shape constrained additive co-data models for the purpose of improved high-dimensional prediction and variable selection. The extended version of the package as presented here (version number 3.1.1 and higher) is available on ( https://cran.r-project.org/web/packages/ecpc/ ).","Empirical Bayes; High-dimensional data; Penalised generalised linear models; Prior information; R","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:6ffff815-8775-43e8-b1cc-196240bcb1f6","http://resolver.tudelft.nl/uuid:6ffff815-8775-43e8-b1cc-196240bcb1f6","CoPR: Toward Accurate Visual Localization With Continuous Place-Descriptor Regression","Zaffar, M. (TU Delft Intelligent Vehicles); Nan, L. (TU Delft Urban Data Science); Kooij, J.F.P. (TU Delft Intelligent Vehicles)","","2023","Visual place recognition (VPR) is an image-based localization method that estimates the camera location of a query image by retrieving the most similar reference image from a map of geo-tagged reference images. In this work, we look into two fundamental bottlenecks for its localization accuracy: 1) reference map sparseness and 2) viewpoint invariance. First, the reference images for VPR are only available at sparse poses in a map, which enforces an upper bound on the maximum achievable localization accuracy through VPR. We, therefore, propose Continuous Place-descriptor Regression (CoPR) to densify the map and improve localization accuracy. We study various interpolation and extrapolation models to regress additional VPR feature descriptors from only the existing references. Second, we compare different feature encoders and show that CoPR presents value for all of them. We evaluate our models on three existing public datasets and report on average around 30% improvement in VPR-based localization accuracy using CoPR, on top of the 15% increase by using a viewpoint-variant loss for the feature encoder. The complementary relation between CoPR and relative pose estimation is also discussed.","Continuous Place-descriptor Regression (CoPR); pose estimation; visual localization (VL); visual place recognition (VPR)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-12","","","Intelligent Vehicles","","",""
"uuid:48750d98-022d-4a97-8b92-458a803e4460","http://resolver.tudelft.nl/uuid:48750d98-022d-4a97-8b92-458a803e4460","Low-cost fluorescence microscope with microfluidic device fabrication for optofluidic applications","Nagalingam, Nagaraj (TU Delft Complex Fluid Processing); Raghunathan, Aswin (Student TU Delft); Korede, V.B. (TU Delft Complex Fluid Processing); Overmars, E.F.J. (TU Delft Fluid Mechanics); Hung, S. (TU Delft Team Carlas Smith); Hartkamp, Remco (TU Delft Complex Fluid Processing); Padding, J.T. (TU Delft Complex Fluid Processing); Smith, C.S. (TU Delft Team Carlas Smith); Eral, H.B. (TU Delft Complex Fluid Processing)","","2023","Optofluidic devices have revolutionized the manipulation and transportation of fluid at smaller length scales ranging from micrometers to millimeters. We describe a dedicated optical setup for studying laser-induced cavitation inside a microchannel. In a typical experiment, we use a tightly focused laser beam to locally evaporate the solution laced with a dye resulting in the formation of a microbubble. The evolving bubble interface is tracked using high-speed microscopy and digital image analysis. Furthermore, we extend this system to analyze fluid flow through fluorescence-Particle Image Velocimetry (PIV) technique with minimal adaptations. In addition, we demonstrate the protocols for the in-house fabrication of a microchannel tailored to function as a sample holder in this optical setup. In essence, we present a complete guide for constructing a fluorescence microscope from scratch using standard optical components with flexibility in the design and at a lower cost compared to its commercial analogues.","Microfluidics; Experiments; Laser-induced cavitation; Fluorescence microscopy; High-speed imaging","en","journal article","","","","","","","","","","","Complex Fluid Processing","","",""
"uuid:1d10c425-c5a8-484d-941f-baa6b10dd7e1","http://resolver.tudelft.nl/uuid:1d10c425-c5a8-484d-941f-baa6b10dd7e1","Reinventing a Rural Area: A Case Study into Cultural Festivals in Oldambt, The Netherlands","Koreman, M.C.J. (TU Delft Urban Development Management)","","2023","The Oldambt area, in the northeast of the Netherlands, has recently suffered from depopulation and a negative image. However, four high-quality cultural festivals have been developed in or moved towards the area during the last decade. The festivals have different organisational models. This paper assesses how they contribute to rural regeneration through semi-structured interviews with stakeholders around the festivals and local youth. It adds to the existing literature by introducing the concept of rural regeneration, stemming from neo-endogenous rural development, into festival research and by conducting multiple case studies in one area. The paper investigates the festivals’ local legitimacy, rootedness, and ability to create interconnectedness. The findings suggest that the festivals are locally supported, use local resources, and benefit the area, notwithstanding their organisational model. The festivals also help to establish networks within and outside of Oldambt, and there is thus a positive effect on regeneration. The recent more positive developments in Oldambt may be related to the organisation of the festivals.","festivals; regeneration; cultural capital; community development; rural areas; The Netherlands","en","journal article","","","","","","","","","","","Urban Development Management","","",""
"uuid:dbb0ea73-8281-4dbf-aca4-885d8ce72ff8","http://resolver.tudelft.nl/uuid:dbb0ea73-8281-4dbf-aca4-885d8ce72ff8","Temporal-topological properties of higher-order evolving networks","Ceria, A. (TU Delft Multimedia Computing); Wang, H. (TU Delft Multimedia Computing)","","2023","Human social interactions are typically recorded as time-specific dyadic interactions, and represented as evolving (temporal) networks, where links are activated/deactivated over time. However, individuals can interact in groups of more than two people. Such group interactions can be represented as higher-order events of an evolving network. Here, we propose methods to characterize the temporal-topological properties of higher-order events to compare networks and identify their (dis)similarities. We analyzed 8 real-world physical contact networks, finding the following: (a) Events of different orders close in time tend to be also close in topology; (b) Nodes participating in many different groups (events) of a given order tend to involve in many different groups (events) of another order; Thus, individuals tend to be consistently active or inactive in events across orders; (c) Local events that are close in topology are correlated in time, supporting observation (a). Differently, in 5 collaboration networks, observation (a) is almost absent; Consistently, no evident temporal correlation of local events has been observed in collaboration networks. Such differences between the two classes of networks may be explained by the fact that physical contacts are proximity based, in contrast to collaboration networks. Our methods may facilitate the investigation of how properties of higher-order events affect dynamic processes unfolding on them and possibly inspire the development of more refined models of higher-order time-varying networks.","OA-Fund TU Delft","en","journal article","","","","","","","","","","","Multimedia Computing","","",""
"uuid:ad171033-1e2f-45f6-a7cd-30977abab4f8","http://resolver.tudelft.nl/uuid:ad171033-1e2f-45f6-a7cd-30977abab4f8","Supporting Requesters in Writing Clear Crowdsourcing Task Descriptions Through Computational Flaw Assessment","Nouri, Zahra (Paderborn University); Prakash, Nikhil (Northeastern University); Gadiraju, Ujwal (TU Delft Web Information Systems); Wachsmuth, Henning (Leibniz Universität)","","2023","Quality control is an, if not the, essential challenge in crowdsourcing. Unsatisfactory responses from crowd workers have been found to particularly result from ambiguous and incomplete task descriptions, often from inexperienced task requesters. However, creating clear task descriptions with sufficient information is a complex process for requesters in crowdsourcing marketplaces. In this paper, we investigate the extent to which requesters can be supported effectively in this process through computational techniques. To this end, we developed a tool that enables requesters to iteratively identify and correct eight common clarity flaws in their task descriptions before deployment on the platform. The tool can be used to write task descriptions from scratch or to assess and improve the clarity of prepared descriptions. It employs machine learning-based natural language processing models trained on real-world task descriptions that score a given task description for the eight clarity flaws. On this basis, the requester can iteratively revise and reassess the task description until it reaches a sufficient level of clarity. In a first user study, we let requesters create task descriptions using the tool and rate the tool's different aspects of helpfulness thereafter. We then carried out a second user study with crowd workers, as those who are confronted with such descriptions in practice, to rate the clarity of the created task descriptions. According to our results, 65% of the requesters classified the helpfulness of the information provided by the tool high or very high (only 12% as low or very low). The requesters saw some room for improvement though, for example, concerning the display of bad examples. Nevertheless, 76% of the crowd workers believe that the overall clarity of the task descriptions created by the requesters using the tool improves over the initial version. In line with this, the automatically-computed clarity scores of the edited task descriptions were generally higher than those of the initial descriptions, indicating that the tool reliably predicts the clarity of task descriptions in overall terms.","","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-27","","","Web Information Systems","","",""
"uuid:71d7b664-5cf6-41d3-a98b-7b34ada6aa38","http://resolver.tudelft.nl/uuid:71d7b664-5cf6-41d3-a98b-7b34ada6aa38","DECI: A Tutorial on Designing Effective Conversational Interfaces","Gadiraju, Ujwal (TU Delft Web Information Systems); Abbas, T. (TU Delft Web Information Systems); Allen, G.M. (TU Delft Web Information Systems)","","2023","Conversational User Interfaces (CUIs) have been argued to have advantages over traditional GUIs due to having a more human-like interaction. The growing popularity of conversational agents has enabled humans to interact with machines more naturally. There is an increasing familiarity among people with conversational interactions mediated by technology due to the widespread use of mobile devices and messaging services and a hungry market for conversational agents. Based on the recent advances in conversational AI, as a result of the proliferation of large language models, the signs are that the future of human-computer interaction will have a significant conversational component. Today, over two-thirds of the population on our planet has access to the Internet, with ever-lowering barriers to accessibility. This tutorial will showcase the benefits of employing novel conversational interfaces for crowd computing, human-AI decision making, health and well-being, and information retrieval. Given the widespread adoption of AI systems across several domains, we will discuss the potential of conversational interfaces in facilitating and mediating people's interactions with AI systems. The tutorial will include interactive elements and discussions and provide participants with insights to inform the design of effective conversational interfaces.","conversational AI; conversational crowdsourcing; conversational user interfaces; human-AI decision making; human-AI interaction","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-27","","","Web Information Systems","","",""
"uuid:f4fbf121-4659-45e4-8760-3bc821d7eaac","http://resolver.tudelft.nl/uuid:f4fbf121-4659-45e4-8760-3bc821d7eaac","Van ontkenning naar acceptatie van 'airborne' transmissie: waarom duurde het zo lang?","Bluyssen, P.M. (TU Delft Environmental & Climate Design)","","2023","Op 5 januari 2020 maakt de WHO (World Health Organization) het eerste nieuws over de uitbraak van de ziekte COVID-19 (Coronavirus disease 2019) als gevolg van een nieuw virus bekend. Op 11 maart 2020 wordt COVID-19, veroorzaakt door SARS-CoV-2 (SARS Coronavirus 2), door de WHO als een pandemie uitgeroepen. Op 4 april 2020 worden door de BBC 1 miljoen COVID-19 gevallen gerapporteerd.","","nl","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care. Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-01","","","Environmental & Climate Design","","",""
"uuid:a9030191-e55b-4d25-a45a-3df7d68d7d99","http://resolver.tudelft.nl/uuid:a9030191-e55b-4d25-a45a-3df7d68d7d99","Everyday Streets: Inclusive approaches to understanding and designing streets","","Martire, Agustina (editor); Hausleitner, B. (editor); Clossick, Jane (editor)","2023","Everyday streets are both the most used and most undervalued of cities’ public spaces. They are places of social aggregation, bringing together those belonging to different classes, genders, ages, ethnicities and nationalities. They comprise not just the familiar outdoor spaces that we use to move and interact but also urban blocks, interiors, depths and hinterlands, which are integral to their nature and contribute to their vitality. Everyday streets are physically and socially shaped by the lives of the people and things that inhabit them through a reciprocal dance with multiple overlapping temporalities.
The primary focus of this book is an inclusive approach to understanding and designing everyday streets. It offers an analysis of many aspects of everyday streets from cities around the globe. From the regular rectilinear urban blocks of Montreal to the military-regulated narrow alleyways of Naples, and from the resilient market streets of London to the crammed commercial streets of Chennai, the streets in this book were all conceived with a certain level of control.
Everyday Streets is a palimpsest of methods, perspectives and recommendations that together provide a solid understanding of everyday streets, their degree of inclusiveness, and to what extent they could be more inclusive.","","en","book","UCL Press","9781800084421","","","","","","","","","Urban Design","","",""
"uuid:e1066d62-3b52-4f3c-8cc3-de02f875f691","http://resolver.tudelft.nl/uuid:e1066d62-3b52-4f3c-8cc3-de02f875f691","Best Practice Data Sharing Guidelines for Wind Turbine Fault Detection Model Evaluation","Barber, Sarah (Eastern Switzerland University of Applied Sciences); Izagirre, Unai (Mondragon University); Serradilla, Oscar (Mondragon University); Olaizola, Jon (Mondragon University); Zugasti, Ekhi (Mondragon University); Aizpurua, Jose Ignacio (Mondragon University; IKERBASQUE, Basque Foundation for Science); Eftekhari Milani, A. (TU Delft Wind Energy); Sehnke, Frank (Center for Solar Energy and Hydrogen Research ZSW); Sakagami, Yoshiaki (Federal Institute of Santa Catarina); Henderson, Charles (Stacker Group)","","2023","In this paper, a set of best practice data sharing guidelines for wind turbine fault detection model evaluation is developed, which can help practitioners overcome the main challenges of digitalisation. Digitalisation is one of the key drivers for reducing costs and risks over the whole wind energy project life cycle. One of the largest challenges in successfully implementing digitalisation is the lack of data sharing and collaboration between organisations in the sector. In order to overcome this challenge, a new collaboration framework called WeDoWind was developed in recent work. The main innovation of this framework is the way it creates tangible incentives to motivate and empower different types of people from all over the world to share data and knowledge in practice. In this present paper, the challenges related to comparing and evaluating different SCADA-data-based wind turbine fault detection models are investigated by carrying out a new case study, the “WinJi Gearbox Fault Detection Challenge”, based on the WeDoWind framework. A total of six new solutions were submitted to the challenge, and a comparison and evaluation of the results show that, in general, some of the approaches (Particle Swarm Optimisation algorithm for constructing health indicators, performance monitoring using Deep Neural Networks, Combined Ward Hierarchical Clustering and Novelty Detection with Local Outlier Factor and Time-to-failure prediction using Random Forest Regression) appear to exhibit high potential to reach the goals of the Challenge. However, there are a number of concrete things that would have to have been done by the Challenge providers and the Challenge moderators in order to ensure success. This includes enabling access to more details of the different failure types, access to multiple data sets from more wind turbines experiencing gearbox failure, provision of a model or rule relating fault detection times or a remaining useful lifetime to the estimated costs for repairs, replacements and inspections, provision of a clear strategy for training and test periods in advance, as well as provision of a pre-defined template or requirements for the results. These learning outcomes are used directly to define a set of best practice data sharing guidelines for wind turbine fault detection model evaluation. The guidelines can be used by researchers in the sector in order to improve model evaluation and data sharing in the future.","","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:3266269f-cb93-4c28-8226-6580fff0a2f6","http://resolver.tudelft.nl/uuid:3266269f-cb93-4c28-8226-6580fff0a2f6","Perspective: Leveraging Human Understanding for Identifying and Characterizing Image Atypicality","Sharifi Noorian, S. (TU Delft Web Information Systems); Qiu, S. (Hunan Institute of Advanced Technology); Sayin, Burcu (Università di Trento); Balayn, A.M.A. (TU Delft Web Information Systems); Gadiraju, Ujwal (TU Delft Web Information Systems); Yang, J. (TU Delft Web Information Systems); Bozzon, A. (TU Delft Human-Centred Artificial Intelligence)","","2023","High-quality data plays a vital role in developing reliable image classification models. Despite that, what makes an image difficult to classify remains an unstudied topic. This paper provides a first-of-its-kind, model-agnostic characterization of image atypicality based on human understanding. We consider the setting of image classification ""in the wild"", where a large number of unlabeled images are accessible, and introduce a scalable and effective human computation approach for proactive identification and characterization of atypical images. Our approach consists of i) an image atypicality identification and characterization task that presents to the human worker both a local view of visually similar images and a global view of images from the class of interest and ii) an automatic image sampling method that selects a diverse set of atypical images based on both visual and semantic features. We demonstrate the effectiveness and cost-efficiency of our approach through controlled crowdsourcing experiments and provide a characterization of image atypicality based on human annotations of 10K images. We showcase the utility of the identified atypical images by testing state-of-the-art image classification services against such images and provide an in-depth comparative analysis of the alignment between human- and machine-perceived image atypicality. Our findings have important implications for developing and deploying reliable image classification systems.","humans in the loop; image atypicality; Image classification; machine learning in the wild","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:aa8da5a3-346f-4bad-bbd2-ad3a7672065c","http://resolver.tudelft.nl/uuid:aa8da5a3-346f-4bad-bbd2-ad3a7672065c","A comprehensive performance analysis of sequence-based within-sample testing NIPT methods","Mokveld, T.O. (TU Delft Pattern Recognition and Bioinformatics); Al-Ars, Z. (TU Delft Computer Engineering); Sistermans, Erik A. (Amsterdam UMC; Vrije Universiteit Amsterdam); Reinders, M.J.T. (TU Delft Pattern Recognition and Bioinformatics)","","2023","Background
Non-Invasive Prenatal Testing is often performed by utilizing read coverage-based profiles obtained from shallow whole genome sequencing to detect fetal copy number variations. Such screening typically operates on a discretized binned representation of the genome, where (ab)normality of bins of a set size is judged relative to a reference panel of healthy samples. In practice such approaches are too costly given that for each tested sample they require the resequencing of the reference panel to avoid technical bias. Within-sample testing methods utilize the observation that bins on one chromosome can be judged relative to the behavior of similarly behaving bins on other chromosomes, allowing the bins of a sample to be compared among themselves, avoiding technical bias.
Results
We present a comprehensive performance analysis of the within-sample testing method Wisecondor and its variants, using both experimental and simulated data. We introduced alterations to Wisecondor to explicitly address and exploit paired-end sequencing data. Wisecondor was found to yield the most stable results across different bin size scales while producing more robust calls by assigning higher Z-scores at all fetal fraction ranges.
Conclusions
Our findings show that the most recent available version of Wisecondor performs best.
properties, and aesthetics that emerge from a material’s inherent properties – an approach that provides a much-needed perspective for the textile and fashion industry as it develops new sustainable and circular systems. This research expands this material-led approach to include design-production processes framed within holistic notions of sustainability. In contrast to a conventional top-down design
research process, material-processual-driven design approaches may enable us to break from the trap of developing and evaluating the outcomes of new design systems through the lens of our existing (usually unsustainable) approaches. This paper reflects on the tensions experienced by the authors in navigating concerns of technological feasibility, aesthetic outcomes, and the sustainable goals framing
two sets of woven textile-form design experiments. Textile-forms are design-production processes that emerge from the simultaneous production of textile and form via the interlacement of matter/fibre/yarn and are designed to facilitate localised, on-demand production of textile-based objects. We will present the experiments, which were developed over six months, reflecting on the technical and evaluation processes that contributed to their development and the challenges that arose. This paper provides grounded examples of design researchers navigating this challenging space and the outcomes that emerge and aims to contribute to a greater understanding of circular techno-aesthetics that may support the industry as it develops the new systems it needs.","","en","conference paper","Aalto University","","","","","","","","","","Materials and Manufacturing","","",""
"uuid:0461f27c-986a-4962-a674-9b8ba8db9f45","http://resolver.tudelft.nl/uuid:0461f27c-986a-4962-a674-9b8ba8db9f45","WOCA A battery powered wound pump designed for use in low-resource settings","Nicolai, Noa (External organisation); Diehl, J.C. (TU Delft Design for Sustainability); Raaijmakers, E.R.L. (Student TU Delft); Knulst, A.J. (TU Delft Medical Instruments & Bio-Inspired Technology); Maharjan, Suraj (External organisation)","","2023","Vacuum-Assisted wound care (VAC) is effective for treating complex wounds, but is hardly available in low-resource settings. An affordable, portable and safe VAC device was developed to treat patients with chronic and complex wounds: the Wound Care (WOCA) Pump. The WOCA safely controls the pressure accurately (+/- 10%) between -70 and -125 mmHg for a total component cost of USD 150. In this research important steps were taken to make VAC therapy more accessible, affordable and safe for marginalised patients in low-resource settings. Next research will aim to assess its safe clinical use.","","en","poster","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-30","","","Design for Sustainability","","",""
"uuid:6c9e3753-59cf-469a-921a-1aa8fdc9ded1","http://resolver.tudelft.nl/uuid:6c9e3753-59cf-469a-921a-1aa8fdc9ded1","Model-based Reinforcement Learning: A Survey","Moerland, T.M. (TU Delft Interactive Intelligence; Universiteit Leiden); Broekens, D.J. (TU Delft Interactive Intelligence; Universiteit Leiden); Plaat, Aske (Universiteit Leiden); Jonker, C.M. (TU Delft Interactive Intelligence; Universiteit Leiden)","","2023","Sequential decision making, commonly formalized as Markov Decision Process (MDP) optimization, is an important challenge in artificial intelligence. Two key approaches to this problem are reinforcement learning (RL) and planning. This survey is an integration of both fields, better known as model-based reinforcement learning. Model-based RL has two main steps. First, we systematically cover approaches to dynamics model learning, including challenges like dealing with stochasticity, uncertainty, partial observability, and temporal abstraction. Second, we present a systematic categorization of planning-learning integration, including aspects like: where to start planning, what budgets to allocate to planning and real data collection, how to plan, and how to integrate planning in the learning and acting loop. After these two sections, we also discuss implicit model-based RL as an end-to-end alternative for model learning and planning, and we cover the potential benefits of model-based RL. Along the way, the survey also draws connections to several related RL fields, like hierarchical RL and transfer learning. Altogether, the survey presents a broad conceptual overview of the combination of planning and learning for MDP optimization.","","en","review","","","","","","","","","","","Interactive Intelligence","","",""
"uuid:a5603947-8c49-4838-808c-de3229ec0353","http://resolver.tudelft.nl/uuid:a5603947-8c49-4838-808c-de3229ec0353","Turbulence-induced vibrations prediction through use of an anisotropic pressure fluctuation model","van den Bos, N. (NRG (Nuclear Research and Consultancy Group) Petten); Zwijsen, Kevin (NRG (Nuclear Research and Consultancy Group) Petten); van Zuijlen, A.H. (TU Delft Aerodynamics); Frederix, Edo M.A. (NRG (Nuclear Research and Consultancy Group) Petten); Roelofs, Ferry (NRG (Nuclear Research and Consultancy Group) Petten)","","2023","In nuclear fuel rod bundles, turbulence-induced pressure fluctuations caused by an axial flow can create small but significant vibrations in the fuel rods, which in turn can cause structural effects such as material fatigue and fretting wear. Fluid-structure interaction simulations can be used to model these vibrations, but for affordable simulations based on the URANS approach, a model for the pressure fluctuations must be utilised. Driven by the goal to improve the current state-of-the-art pressure fluctuation model, AniPFM (Anisotropic Pressure Fluctuation Model) was developed. AniPFM can model velocity fluctuations based on anisotropic Reynolds stress tensors, with temporal correlation through the convection and decorrelation of turbulence. From these velocity fluctuations and the mean flow properties, the pressure fluctuations are calculated. The model was applied to several test cases and shows promising results in terms of reproducing qualitatively similar flow structures, as well as predicting the root-mean-squared pressure fluctuations. While further validation is being performed, the AniPFM has already demonstrated its potential for affordable simulations of turbulence-induced vibrations in industrial nuclear applications.","","en","journal article","","","","","","","","","","","Aerodynamics","","",""
"uuid:7159328e-f115-4497-b7db-a3d4058ec37f","http://resolver.tudelft.nl/uuid:7159328e-f115-4497-b7db-a3d4058ec37f","The extent to which circular economy principles have been applied in the design of medical devices for low-resource settings in Sub-Saharan Africa.: A systematic review","Samenjo, K.T. (TU Delft Design for Sustainability); Oosting, R.M. (TU Delft Medical Instruments & Bio-Inspired Technology); Bakker, C.A. (TU Delft Circular Product Design); Diehl, J.C. (TU Delft Design for Sustainability)","","2023","Healthcare facilities in low-resource settings in Sub-Saharan Africa are plagued
with issues of non-functional and obsolete medical devices, which ultimately
end up prematurely disposed of as waste. With increasing healthcare demands,
stopping medical device disposal is imperative. One way to achieve this is
to leverage circular economy principles in designing medical devices. Circular
economy principles aim to retain products and their constituent materials to
be reused over time in the economic system. However, to what extent this has
been applied in designing medical devices specifically for low-resource settings
in Sub-Saharan Africa is missing in literature. Based on a systematic review of
29 out of 1,799 screened scientific papers, we identified the use of circular
economy principles of durability, maintenance, repair, and upgrade in designing
medical devices for this setting. Whether these principles were intentionally
applied from a circular economy approach could not be inferred in this study.
The motivational basis for using these principles was to ensure medical device
longevity to providing healthcare. No attention was given to the circular economy
principles of refurbishment, remanufacturing, and recycling, ensuring that device
components and constituent materials are recovered. These study findings serve
as a launchpad for exploring how circular principles can be used to support
the design of medical devices for low-resource settings in Sub-Saharan Africa.
Academicians and designers of medical devices can leverage this research to
contribute towards developing medical devices that support access to healthcare
for people in low-resource settings and preserve earth’s finite resources","circular economy principles; medical device design; low-resource settings; Sub-Saharan Africa; product design","en","journal article","","","","","","","","","","","Design for Sustainability","","",""
"uuid:3d20cded-0e17-4c84-a3c0-6923cb5a0b76","http://resolver.tudelft.nl/uuid:3d20cded-0e17-4c84-a3c0-6923cb5a0b76","Improved methodologies to study the performance of the ANET Compact Neutron Collimator","Sans-Planell, O. (University of Turin; Istituto Nazionale di Fisica Nucleare, Sezione di Torino); Cantini, F. (Laboratori Nazionale di Frascati dell'INFN; Istituto dei Sistemi Complessi); Costa, M. (University of Turin; Istituto Nazionale di Fisica Nucleare, Sezione di Torino); Durisi, E. (University of Turin; Istituto Nazionale di Fisica Nucleare, Sezione di Torino); Grazzi, F. (Laboratori Nazionale di Frascati dell'INFN; Istituto dei Sistemi Complessi); Mafucci, E. (University of Turin; Istituto Nazionale di Fisica Nucleare, Sezione di Torino); Monti, V. (University of Turin; Istituto Nazionale di Fisica Nucleare, Sezione di Torino); Bedogni, R. (Sezione di Firenze); Li, Y. (Student TU Delft); van Eijck, L. (TU Delft RST/Neutron and Positron Methods in Materials)","","2023","The ANET project aims at developing 2D compact neutron collimators for neutron imaging applications. The results of the ANET collimator performances, presented in this communication, are based on data collected at the FISH beamline at TU-Delft. Two independent methods to evaluate the neutron radiography resolution are described and discussed, as well as a comparison of the beam divergence with or without the ANET collimator.","Compact Neutron Collimator; Gadolinium knife-edge; Neutron radiography; Siemens star","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-06","","","RST/Neutron and Positron Methods in Materials","","",""
"uuid:d50e26b1-d241-4ff6-a440-cd45e4fba918","http://resolver.tudelft.nl/uuid:d50e26b1-d241-4ff6-a440-cd45e4fba918","Geometries of Time","ter Weel, T. (TU Delft Theory, Territories & Transitions); D'Oria, Mariacristina (University of Trieste)","Borrego, Ignacio (editor); Pasel, Ralf (editor); Weidinger, Jürgen (editor)","2023","","","en","book chapter","Berlin Universities Publishing","","","","","","","","","","Theory, Territories & Transitions","","",""
"uuid:8ce76ad2-361d-4555-84da-2bb21571ee59","http://resolver.tudelft.nl/uuid:8ce76ad2-361d-4555-84da-2bb21571ee59","A Highly Linear Temperature Sensor Operating up to 600°C in a 4H-SiC CMOS Technology","Mo, J. (TU Delft Electrical Engineering, Mathematics and Computer Science); LI, J. (TU Delft Electrical Engineering, Mathematics and Computer Science); Zhang, Y. (TU Delft Electrical Engineering, Mathematics and Computer Science); Romijn, J. (TU Delft Electrical Engineering, Mathematics and Computer Science); May, Alexander (Fraunhofer Institute for Integrated Systems and Devices Technology IISB); Erlbacher, Tobias (Fraunhofer Institute for Integrated Systems and Devices Technology IISB); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials)","","2023","In this work, a highly linear temperature sensor based on a silicon carbide (SiC) p-n diode is presented. Under a constant current biasing, the diode has an excellent linear response to the temperature (from room temperature to 600°C). The best linearity (coefficient of determination ${R}^{{2}}$ = 99.98%) is achieved when the current density is 0.53 mA/cm2. The maximum sensitivity of the p-n diode is 3.04 mV/°C. The temperature sensor is fully compatible with Fraunhofer Institute (FHG) IISB's open SiC CMOS (complementary metal-oxide-semiconductor) technology, thus enabling the monolithic integration with SiC readout circuits for high-temperature applications. The sensor also features a simple fabrication process. To our knowledge, the presented device is the first SiC diode temperature sensor that does not require a mesa etch or backside contacts.","high temperature; Linearity; p-n diode; Schottky diodes; Sensitivity; Silicon carbide; Temperature distribution; Temperature measurement; temperature sensor; Temperature sensors","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-09","Electrical Engineering, Mathematics and Computer Science","","Electronic Components, Technology and Materials","","",""
"uuid:291edbc2-371a-46ef-931c-d8861e3df242","http://resolver.tudelft.nl/uuid:291edbc2-371a-46ef-931c-d8861e3df242","Procedural generation of challenges for personalized gait rehabilitation","Lyu, Silong (Student TU Delft); Bidarra, Rafael (TU Delft Computer Graphics and Visualisation)","Lopes, Phil (editor); Luz, Filipe (editor); Liapis, Antonios (editor); Engstrom, Henrik (editor)","2023","Conventional gait rehabilitation methods have the risk of alienating the patient due to their monotonous nature, thus negatively impacting the effectiveness of gait training. Modern technologies can help provide patients with better support, safety and immersive experience during training. However, physiotherapists cannot be required to master those technologies, nor to spend much time designing a more varied and engaging treatment for each patient. In this paper, we argue that adaptive gamified gait rehabilitation based on procedural content generation (PCG) can effectively support physiotherapists in achieving such customized outcomes. We propose a generic adaptation scheme to steer the generation of movement challenge levels based on player modeling and therapists' intervention. Our approach features two difficulty adjustment strategies: parameter progression schemes and integration of multiple therapy goals. These strategies are applicable to the personalization of a wide range of gait rehabilitation goals. We implemented this approach in a standalone prototype for supporting gait training with the RYSEN system, a three-dimensional overground body weight support system. From our assessment with physiotherapists, we conclude that our PCG-based adaptive method effectively assists therapists in (i) offering a broad diversity in gait exercises to a wide group of patients, and (ii) dynamically tailoring challenge levels for a variety of gait tasks.","Gait rehabilitation; Game adaptivity; Games for health; Player model; Procedural content generation","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-12","","","Computer Graphics and Visualisation","","",""
"uuid:e5247cd8-5512-4d96-b73b-1c035ebe6d91","http://resolver.tudelft.nl/uuid:e5247cd8-5512-4d96-b73b-1c035ebe6d91","Crossover-effects in technical skills between laparoscopy and robot-assisted surgery","Hardon, S.F. (TU Delft Medical Instruments & Bio-Inspired Technology; Amsterdam UMC); Willuth, E. (University of Heidelberg); Rahimi, A. Masie (Amsterdam UMC; Amsterdam Skills Centre for Health Sciences); Lang, F. (University of Heidelberg); Haney, Caelan M. (University of Heidelberg); Felinska, Eleni A. (University of Heidelberg); Kowalewski, Karl Friedrich (University of Heidelberg); Müller-Stich, Beat P. (University of Heidelberg); van der Peet, Donald L. (Amsterdam UMC); Daams, Freek (Amsterdam UMC); Nickel, F. (University of Heidelberg); Horeman, T. (TU Delft Medical Instruments & Bio-Inspired Technology)","","2023","Introduction: Robot-assisted surgery is often performed by experienced laparoscopic surgeons. However, this technique requires a different set of technical skills and surgeons are expected to alternate between these approaches. The aim of this study is to investigate the crossover effects when switching between laparoscopic and robot-assisted surgery. Methods: An international multicentre crossover study was conducted. Trainees with distinctly different levels of experience were divided into three groups (novice, intermediate, expert). Each trainee performed six trials of a standardized suturing task using a laparoscopic box trainer and six trials using the da Vinci surgical robot. Both systems were equipped with the ForceSense system, measuring five force-based parameters for objective assessment of tissue handling skills. Statistical comparison was done between the sixth and seventh trial to identify transition effects. Unexpected changes in parameter outcomes after the seventh trial were further investigated. Results: A total of 720 trials, performed by 60 participants, were analysed. The expert group increased their tissue handling forces with 46% (maximum impulse 11.5 N/s to 16.8 N/s, p = 0.05), when switching from robot-assisted surgery to laparoscopy. When switching from laparoscopy to robot-assisted surgery, intermediates and experts significantly decreased in motion efficiency (time (sec), resp. 68 vs. 100, p = 0.05, and 44 vs. 84, p = 0.05). Further investigation between the seventh and ninth trial showed that the intermediate group increased their force exertion with 78% (5.1 N vs. 9.1 N, p = 0.04), when switching to robot-assisted surgery. Conclusion: The crossover effects in technical skills between laparoscopic and robot-assisted surgery are highly depended on the prior experience with laparoscopic surgery. Where experts can alternate between approaches without impairment of technical skills, novices and intermediates should be aware of decay in efficiency of movement and tissue handling skills that could impact patient safety. Therefore, additional simulation training is advised to prevent from undesired events.","Assessment; Crossover effects; Laparoscopy; Patient safety; Robot-assisted surgery; Technical skills","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:b0e3b3a8-9c0b-4152-95a0-f30ae8f49bc7","http://resolver.tudelft.nl/uuid:b0e3b3a8-9c0b-4152-95a0-f30ae8f49bc7","Hierarchical Semantic Wave Function Collapse","Alaka, Shaad (Student TU Delft); Bidarra, Rafael (TU Delft Computer Graphics and Visualisation)","Lopes, Phil (editor); Luz, Filipe (editor); Liapis, Antonios (editor); Engstrom, Henrik (editor)","2023","There are few proposals to improve the interactivity and control of wave function collapse (WFC) in a mixed-initiative setting. Moreover, most WFC algorithm variants operate on an simple, unstructured set of tiles. This limitation on the level of control provided to designers hampers their creative work in various ways. We propose Hierarchical Semantic WFC, a generalized approach to WFC that organizes its tile-set into a hierarchy akin to a taxonomy induced by the relation 'consists-of'. In such a hierarchical structure, abstract tiles (i.e. non-leaf nodes) can represent the first sketchy intentions of a designer (e.g. forest, urban, desert,...) This allows a designer to interactively collapse a given area into abstract tiles, while subsequently, (and repeatedly, if desired) WFC can resolve each area into a variety of particular instances, by further collapsing it into (a valid combination of) its children tiles (whether leaves or not). We identify how this subtle tile-set change affects the whole WFC algorithm, describe a number of novel exploratory and interactive functions that this enables, and showcase these with a variety of examples generated with our prototype implementation. We conclude that these new mixed-initiative content generation methods can considerably reduce design iteration times and improve the assistance given to designers in expressing their creative intent.","mixed-initiative; object semantics; procedural content generation; wave function collapse","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Computer Graphics and Visualisation","","",""
"uuid:c3490c95-95b0-43af-bf2c-3a1b97334d86","http://resolver.tudelft.nl/uuid:c3490c95-95b0-43af-bf2c-3a1b97334d86","Consequences and opportunities arising due to sparser single-cell RNA-seq datasets","Bouland, G.A. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center); Mahfouz, A.M.E.T.A. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center); Reinders, M.J.T. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center)","","2023","With the number of cells measured in single-cell RNA sequencing (scRNA-seq) datasets increasing exponentially and concurrent increased sparsity due to more zero counts being measured for many genes, we demonstrate here that downstream analyses on binary-based gene expression give similar results as count-based analyses. Moreover, a binary representation scales up to ~ 50-fold more cells that can be analyzed using the same computational resources. We also highlight the possibilities provided by binarized scRNA-seq data. Development of specialized tools for bit-aware implementations of downstream analytical tasks will enable a more fine-grained resolution of biological heterogeneity.","OA-Fund TU Delft","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:4996181a-a302-4b3f-b34d-26b525cd8084","http://resolver.tudelft.nl/uuid:4996181a-a302-4b3f-b34d-26b525cd8084","Serious gaming for better cooperation in flood defence asset management","den Heijer, F. (HAN University of Applied Sciences); Podt, Maarten (HAN University of Applied Sciences); Bosch-Rekveldt, M.G.C. (TU Delft Integral Design & Management); de Leeuw, Annemargreet (Deltares); Rijke, Jeroen (HAN University of Applied Sciences)","","2023","Flood defences are often situated in public spaces and are mostly multi-functional, multi-financed and multi-managed. Cooperation in flood defence asset management is important because roles and responsibilities are fragmented within and between organisations. This complex context calls for mutual understanding from responsible role-holders. Research has shown that serious games are instrumental to reflect situations in which technical and social complexity both play a role. Serious games motivate participants to learn and to change the way they learn. This paper presents the development, application, and results of a serious game ‘Dike Dilemmas Under Pressure' that aims to create better mutual understanding between actors in flood defence asset management. The game was played with 67 professionals that fulfil a diversity of roles related to flood defence asset management in the Netherlands. The analysis of the results in this paper clearly shows different preferred cooperative attitudes between different groups of role-holders and indicates potential misperceptions. The game participants were enthusiastic about the insights gained. They indicated that it helped them to recognise the broad variety of asset management dilemmas and become aware of their own cooperative attitudes and those of their colleagues towards dealing with these dilemmas. Future application of the game is recommended to monitor the cooperative attitudes of professionals in flood defence and to support the development of teams.","asset management; education and training; flood defence measures; governance and institutions","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:baf784bd-ed99-4a1b-91f3-1360f1a7c502","http://resolver.tudelft.nl/uuid:baf784bd-ed99-4a1b-91f3-1360f1a7c502","Conflict-free train path planning using ATO timing points","Wang, Z. (TU Delft Transport and Planning); Quaglietta, E. (TU Delft Transport and Planning); Bartholomeus, Maarten (ProRail); Cunillera, A. (TU Delft Transport and Planning); Goverde, R.M.P. (TU Delft Transport and Planning)","","2023","Automatic Train Operation (ATO) is a technology to support or automate train driving for increasing service punctuality, energy efficiency and rail infrastructure capacity. Conflict-free train path planning is crucial to the effective deployment of ATO, which allows ATO-equipped trains to operate according to schedule with different train driving strategies. As different train driving strategies lead to various passing times, current planning practice is inadequate to avoid route conflicts as it only sets target arrival or passing times at stops or major junctions. Therefore, conflict-free train path planning needs the definition of a Train Path Envelope (TPE) that contains time targets or windows defined at discrete locations called timing points to tolerate schedule deviations due to different driving styles. The number and location of the timing points, as well as the associated time targets or windows, is a decision problem. This paper proposes a framework to design a robust set of timing points and their associated time windows in a TPE to enable operational conflict-free train path planning against the driving strategies utilised. This framework relies on a Train Path Slot model which extends the definition of TPE from time windows at a discrete set of locations to an integrated blocking time stairway pattern continuously defined across all locations over a train route. The Train Path Slot model considers three relevant train driving strategies, i.e., energy-efficient driving with or without coasting as well as minimum-time driving considering slight delays. A Linear Programming model is formulated to compute the conflict-free Train Path Slots as constraints for train operation. To meet the optimised Train Path Slots, we analyse several possible sets of timing points in a TPE that are only located at stops or signal positions along the train routes. Those timing point sets are then compared in terms of total Train Path Slot overlap time, capacity, energy efficiency and driving performance indicators. Our research supports infrastructure managers in resolving the imminent problem of timing point determination and TPE computation to reach their capacity goals. At the same time, it allows sufficient driving flexibility for railway undertakings.","ATO-over-ETCS; Automatic Train Operation; Train Path Envelope; Train driving strategies; Timing Point","en","abstract","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-28","","","Transport and Planning","","",""
"uuid:dbcb0d58-27db-4ed9-96d1-782ba902b24f","http://resolver.tudelft.nl/uuid:dbcb0d58-27db-4ed9-96d1-782ba902b24f","Analysis of Safe and Effective Next-Generation Rail Signalling Systems using a FTA-SAN Approach","Aoun, J. (TU Delft Transport and Planning); Goverde, R.M.P. (TU Delft Transport and Planning); Nardone, Roberto (Università degli Studi di Napoli Parthenope); Quaglietta, E. (TU Delft Transport and Planning); Vittorini, Valeria (Università degli Studi di Napoli Federico II)","","2023","Moving Block (MB) and Virtual Coupling (VC) rail signalling will change current train operation paradigm by migrating vital equipment from trackside to onboard to reduce train separation and maintenance costs. Their actual deployment is however constrained by the industry’s need to identify configurations of MB and VC signalling equipment which can effectively guarantee safe train movements even under degraded operational conditions involving component faults. In this paper, we analyse the effectivity of MB and VC in safely supervising train separation under nominal and degraded conditions by using an innovative approach which combines Fault Tree Analysis (FTA) and Stochastic Activity Network (SAN). A FTA model of unsafe train movement is defined for both MB and VC capturing functional interactions and cause effect relations among the different signalling components. The FTA is then used as a basis to apportion signalling component failure rates needed to feed the SAN model. Effective MB and VC train supervision is analysed by means of SAN-based simulations in the specific scenario of an error in the Train Position Reporting (TPR) for five rail market segments featuring different traffic characteristics, namely high-speed, mainline, regional, urban and freight. Results show that the overall approach can support infrastructure managers, railway undertakings, and rail system suppliers in investigating effectiveness of MB and VC in safely supervising train movements in scenarios involving different types of degraded conditions and failure events. The proposed method can hence support the railway industry in identifying effective and safe design configurations of next-generation rail signalling systems.","Moving Block; Virtual Coupling; Safety; Performance; Stochastic Activity Network","en","abstract","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-28","","","Transport and Planning","","",""
"uuid:d2dab5ed-c4f7-4db9-b610-a5809985313a","http://resolver.tudelft.nl/uuid:d2dab5ed-c4f7-4db9-b610-a5809985313a","Extracting Railway Passenger Demand Patterns from Origin-Destination Data for Developing Demand-Oriented Service Plans","van der Knaap, R.J.H. (TU Delft Transport and Planning); de Bruyn, Menno (N.V. Nederlandse Spoorwegen); van Oort, N. (TU Delft Transport and Planning); Huisman, Dennis (Erasmus Universiteit Rotterdam; N.V. Nederlandse Spoorwegen); Goverde, R.M.P. (TU Delft Transport and Planning)","","2023","Train passenger demand fluctuates throughout the day and week and these fluctuations are expected to increase due to the COVID-19 pandemic. In order to let train services, such as the line plan and timetable, match this fluctuating demand, insights are needed into how the demand is changing and for which periods the demand is relatively stable. Hierarchical clustering on origin-destination (OD) data is used to determine for each workday continuous time-of-day periods in which the passenger demand is homogeneous. The periods found for each workday are subsequently used as input in a clustering algorithm to look for similarities and differences between workdays. Both normalized and regular OD matrices are tested as input for the method. In normalized OD matrices, only the structure of the demand is captured, while in the regular OD matrices both the structure and the volume of the demand are included. The methods for finding homogeneous periods in demand during the day and week are applied to a case study covering a large part of the railway network in the Netherlands. We find large differences between the periods based on regular OD matrices and those based on normalized OD matrices. The periods based on regular OD matrices seem more appropriate to use as input for designing a service plan. Comparison of the periods over the week shows that mainly the peak periods on Friday are far away from Monday to Thursday, and hence could benefit from an altered service plan.","Railway passenger demand patterns; Origin-destination data; Clustering; Homogeneous periods","en","abstract","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-28","","","Transport and Planning","","",""
"uuid:730befb8-761a-4729-882f-833836e02af6","http://resolver.tudelft.nl/uuid:730befb8-761a-4729-882f-833836e02af6","An Approximate Conflict Detection and Resolution Model for Moving-Block Signalling by Enhancing RECIFE-MILP","Versluis, N.D. (TU Delft Transport and Planning); Pellegrini, Paola (Université Gustave Eiffel); Quaglietta, E. (TU Delft Transport and Planning); Goverde, R.M.P. (TU Delft Transport and Planning); Rodriguez, Joaquin (Université Gustave Eiffel)","","2023","Conflict detection and resolution models are being developed to support railway traffic management in taking optimised rescheduling decisions in case of disturbances. Existing models mostly concern fixed-block signalling systems, in which minimum train separation distances are determined based on a preset number of blocks representing worst-case braking distances. In a moving-block signalling system, minimum train separation is based on absolute braking distances and hence depends on train speed differently from how fixed-block conflict detection and resolution models. In this paper, we propose a conflict detection and resolution model that approximates moving-block operations. The model enhances the state-of-the-art fixed-block rescheduling model RECIFE-MILP. The enhancements include a reconsideration of the discretisation of the infrastructure, the introduction of a speed profile alternative and a redefinition of the blocking times. We verify the model by comparing the solutions of the moving-block version with the fixed-block version for a specific scenario. The results indicate that the moving-block model can propose different rescheduling decisions than the fixed-block model with a better delay recovery.","Railway traffi c management; Confl ict detection and resolution; Rescheduling; Movingblock signalling; Mixed integer linear programming","en","abstract","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-28","","","Transport and Planning","","",""
"uuid:93abc2e8-7b1f-4aa9-b188-b1601616838a","http://resolver.tudelft.nl/uuid:93abc2e8-7b1f-4aa9-b188-b1601616838a","Conformal, Seamless, Sustainable: Multimorphic Textile-forms as a Material-Driven Design Approach for HCI","McQuillan, H.L. (TU Delft Emerging Materials); Karana, E. (TU Delft Emerging Materials)","","2023","Technology embeddedness in HCI textiles has great potential for enabling novel interactions and enriched experiences, but unless carefully designed, could inadvertently worsen HCI’s sustainability problem. In an attempt to bridge sustainable debates and practical material-driven scholarship in HCI, we propose Multimorphic Textile-forms (MMTF), as a design approach developed through a lens of multiplicity and extended life cycles, that facilitate change in both design/production and use-time via the simultaneous thinking of the qualities and behaviour of material and form. We provide a number of cases, textile-form methods and vocabulary to enable exploration in this emerging design space. MMTF grants insights into textiles as complex material systems whose behaviour can be tuned across material, interaction and ecological scales for conformal, seamless, and sustainable outcomes.","HCI textiles; Sustainability; Textile-form; Material-driven design; Multimorphic textile-forms; Materials experience.","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Emerging Materials","","",""
"uuid:7111e9d6-818d-491d-be8e-b2e6d28b7e6d","http://resolver.tudelft.nl/uuid:7111e9d6-818d-491d-be8e-b2e6d28b7e6d","Effect of soot particle deposition on porous fouling formation and thermal characteristics of an exhaust gas recirculation cooler","Khoshnood, Alireza (Tarbiat Modares University); Maerefat, Mehdi (Tarbiat Modares University); Imani, Gholamreza (Persian Gulf University); Hooman, K. (TU Delft Process and Energy)","","2023","Exhaust gas recirculation (EGR) systems have been successfully employed to reduce the NOx emissions in diesel engines. However, the fouling problem in EGR coolers challenges their capability to comply with stringent environmental regulations. A few numerical simulations have considered the fouling growth in EGR coolers. Those studies modeled the evolving fouling layer to be a solid medium, therefore, fluid flow and convection heat transfer within the fouling layer, which is well-documented to be a porous medium permeable to gas flow, have not been considered yet. As such, the present study investigates the simultaneous effects of the formation of the evolving porous fouling layer (EPFL) at the walls of an EGR cooler and fluid flow and convection heat transfer simulation within this EPFL to determine its coupled effects on the thermal performance of the EGR cooler. This study also investigates the possibility of formation of a steady fouling layer (SFL) because of the opposing effects of the fouling layer growth and deposition rate. The effects of two pertinent dimensionless parameters, namely Darcy number (10-4≤Da≤5×10-3) and Reynolds number (100≤Re≤400) on the time history of the fouling layer growth, deterioration of the thermal performance of the duct, and average Nusselt number ratio (Nuav/Nuavt=0) are studied. The results show that the thermal performance of the duct decreases as the EPFL grows, which agrees well with the available experimental data. It is shown that the steady fouling layer is obtained due to a decrease in thermophoretic force and deposition rate, as a result of the EPFL formation. Finally, a correlation is proposed in terms of Reynolds and Darcy numbers for the time at which the SFL occurs.","Evolving porous fouling layer; Exhaust gas recirculation cooler; Lattice Boltzmann method; Particle deposition; Steady fouling layer; Thermophoresis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-22","","Process and Energy","","","",""
"uuid:358b53a2-6000-450d-96a2-682fd114d1ff","http://resolver.tudelft.nl/uuid:358b53a2-6000-450d-96a2-682fd114d1ff","Exploring co-creation with agri-food smallholders in Vietnam","de Koning, J.I.J.C. (TU Delft Design for Sustainability)","","2023","The food system in Vietnam is changing whilst the middle class is growing. Agrifood smallholders have the strengths of responding to the changing needs of the middle class by offering freshness, proximity and convenience but they also face increasing competition from larger and international firms. At the same time, issues with food safety are prevalent and a rising concern among consumers. For this study we completed sixteen co-creation workshops between local agri-food smallholders and consumers. The goal of these workshops was to explore the value of participatory processes, non-hierarchical decision making and creativity for smallholder firms in Vietnam through co-creation workshops focused on sustainability. The outcomes show that the workshops can stimulate customer understanding and participatory processes among the smallholder businesses, but creativity in the form of novel ideas less so. The workshops did not result in directly feasible or manageable product and service concepts. The topic of sustainable food opened a dialogue: insights between the firms and customers on this topic were mutually rich. The outcomes suggest that co-creation workshops can create a sense of community and urgency for sustainability. In the future, the challenge for smallholders is to invest in participatory processes with a long-term view on sustainability as well as come to practical design outcomes on the short term","participatory design; urban middle class; food; sustainable consumption; co-design; transitions; local knowledge","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-09","","","Design for Sustainability","","",""
"uuid:91e77dd7-2b35-4e90-a2ad-bf3c5f8af5ef","http://resolver.tudelft.nl/uuid:91e77dd7-2b35-4e90-a2ad-bf3c5f8af5ef","Catch me if you can: capturing microbial community transformation by extracellular DNA using Hi-C sequencing","Calderon Franco, D. (TU Delft BT/Environmental Biotechnology); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology); Abeel, T.E.P.M.F. (TU Delft Pattern Recognition and Bioinformatics; Broad Institute of MIT and Harvard); Weissbrodt, D.G. (Norwegian University of Science and Technology (NTNU))","","2023","The transformation of environmental microorganisms by extracellular DNA is an overlooked mechanism of horizontal gene transfer and evolution. It initiates the acquisition of exogenous genes and propagates antimicrobial resistance alongside vertical and conjugative transfers. We combined mixed-culture biotechnology and Hi-C sequencing to elucidate the transformation of wastewater microorganisms with a synthetic plasmid encoding GFP and kanamycin resistance genes, in the mixed culture of chemostats exposed to kanamycin at concentrations representing wastewater, gut and polluted environments (0.01–2.5–50–100 mg L−1). We found that the phylogenetically distant Gram-negative Runella (102 Hi-C links), Bosea (35), Gemmobacter (33) and Zoogloea (24) spp., and Gram-positive Microbacterium sp. (90) were transformed by the foreign plasmid, under high antibiotic exposure (50 mg L−1). In addition, the antibiotic pressure shifted the origin of aminoglycoside resistance genes from genomic DNA to mobile genetic elements on plasmids accumulating in microorganisms. These results reveal the power of Hi-C sequencing to catch and surveil the transfer of xenogenetic elements inside microbiomes.","Hi-C sequencing; Mixed cultures; Antibiotic resistance; Transformation; Plasmids","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:d5cd7153-cb7a-4b0d-8c1d-ad815d9618f1","http://resolver.tudelft.nl/uuid:d5cd7153-cb7a-4b0d-8c1d-ad815d9618f1","Exploring health and design evidence practices in eHealth systems’ development","Morales Ornelas, H.C. (TU Delft Internet of Things); Kleinsmann, M.S. (TU Delft Design, Organisation and Strategy); Kortuem, G.W. (TU Delft Internet of Things)","","2023","Evidence-based practices play an essential role in the development of eHealth systems. Prior research has investigated the challenge of shared understanding between professionals from the fields of health sciences and design and has highlighted the need for effective alignment of development and research practices in eHealth. However, there is a limited understanding of epistemological differences between these fields and how professionals conceptualise evidence. In this paper, we investigate how healthcare and design professionals think about evidence and how they implement evidence practices in their work. We interviewed eight professionals and used reflexive thematic analysis to identify the challenges and strategies associated with their evidence practices. Our results identify five shared evidence practices between healthcare and design professionals: stakeholder-driven, process-driven, problem-driven, effect-driven, and solution-driven. These five evidence practices indicate opportunities for closer alignment of development and research practices among healthcare and design professionals and offer a basis to create a shared understanding of evidence between both fields.","collaborative design; design methodology; design practice; evidence-based practice","en","journal article","","","","","","","","","","Design, Organisation and Strategy","Internet of Things","","",""
"uuid:99882db2-9557-4f9f-8e24-961fc0b7db2c","http://resolver.tudelft.nl/uuid:99882db2-9557-4f9f-8e24-961fc0b7db2c","Instability of Rotating-Cone Boundary Layer in Axial Inflow: Effect of Cone Angle","Tambe, S.S. (TU Delft Flight Performance and Propulsion); Schrijer, F.F.J. (TU Delft Aerodynamics); Gangoli Rao, A. (TU Delft Flight Performance and Propulsion); Veldhuis, L.L.M. (TU Delft Flow Physics and Technology)","","2023","Boundary-layer instability on a rotating cone induces coherent spiral vortices that are linked to the onset of laminar–turbulent transition. This type of transition is relevant to several aerospace systems with rotating components, e.g., aeroengine nose cones. Because a variety of options exist for the nose-cone shapes, it is important to know how their shape affects the boundary-layer transition phenomena. This study investigates the effect of varying cone angle on the boundary-layer instability on rotating cones facing axial inflow. It is found that increasing cone angle has a stabilizing effect on the boundary layer over rotating cones in axial inflow. The parameter space of Reynolds number Re l and local rotational speed ratio S is experimentally explored to find the spiral vortex growth on rotating cones of half angle ψ 22.5°, 45°, and 50°. The previously addressed cases of ψ 15° and 30° are also revisited. Increasing half-cone angle is found to have a stabilizing effect on the boundary layer on the rotating cones with ψ ≲ 45°; i.e., the spiral vortex growth is delayed to higher Re l and S. This effect diminishes when the half-cone angle increases from ψ 45° to 50°. The spiral vortex angle ϵ decreases with increasing rotational speed ratio S for all the investigated cones, irrespective of the half-cone angle. However, the instability on the broader cones is found to induce shorter azimuthal wavelengths.","","en","journal article","","","","","","","","","","Flow Physics and Technology","Flight Performance and Propulsion","","",""
"uuid:1e1f4b82-d68a-4008-bf56-37f613adf314","http://resolver.tudelft.nl/uuid:1e1f4b82-d68a-4008-bf56-37f613adf314","23.7 A BJT-Based Temperature Sensor with ±0.1 C(3σ) Inaccuracy from -55°C to 125°C and a 0.85pJ.K2Resolution FoM Using Continuous-Time Readout","Toth, N.G. (TU Delft Electronic Instrumentation); Tang, Z. (TU Delft Electronic Instrumentation); Someya, T. (TU Delft Electronic Instrumentation; SiTime, Tokyo); Pan, S. (TU Delft Electronic Instrumentation; Tsinghua University); Makinwa, K.A.A. (TU Delft Microelectronics)","","2023","BJT-based temperature sensors are widely used due to their high accuracy over a wide temperature range with a low-cost 1-point trim. Although resistor-based sensors can achieve better energy efficiency, they typically require a 2-point trim to achieve comparable accuracy, while thermal-diffusivity based sensors achieve superior accuracy at the cost of energy efficiency [1]. This paper presents a BJT-based temperature sensor that achieves both excellent accuracy and energy efficiency. To avoid the kTfC noise limitations of conventional discrete-time (OT) readout schemes [2], [3], it employs a compact continuous-time (CT) front-end. Component mismatch, which often limits the accuracy of CT front-ends [4], [5], is mitigated by a combination of dynamic element matching (OEM) and a low-cost resistor-ratio self-calibration scheme. As a result, the sensor achieves a resolution FoM of 0.85textpJcdotK 2, and a competitive inaccuracy of pm 0.1 circC (3sigma) from -55 circC tO 125 circC after a 1-point trim. This makes it 4times more energy-efficient than state-of-the-art BJT-based sensors with similar accuracy [2], [4], [5].","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-23","","Microelectronics","Electronic Instrumentation","","",""
"uuid:948b4794-5e0b-4514-86d5-28c436ba5c76","http://resolver.tudelft.nl/uuid:948b4794-5e0b-4514-86d5-28c436ba5c76","23.2 A 40 A Shunt-Based Current Sensor with ±0.2% Gain Error from -40°C to 125°C and Self-Calibration","Tang, Z. (TU Delft Electronic Instrumentation); Toth, N.G. (TU Delft Electronic Instrumentation); Brito Zamparette, R.L. (TU Delft Electronic Instrumentation); Nezuka, Tomohiro (MIRISE Technologies); Furuta, Yoshikazu (MIRISE Technologies); Makinwa, K.A.A. (TU Delft Microelectronics)","","2023","Low-cost metal (e.g., PCB trace) shunts can be used to make accurate current sensors (< 1 % gain error) [1-3]. However, their reported maximum operating temperature (85 circC) is not high enough for automotive applications, and at higher temperatures, shunt resistance may exhibit increased drift, especially at high current levels. This paper presents a metal-shunt-based current sensor with a wide temperature range and a stable on-chip reference current (I textREF) source for shunt self-calibration. By employing a continuous-time (CT) front-end, it achieves an input noise density of 14textnV/sqrttextHz while consuming only 280mu A, making it > 10times more energy efficient than prior art [1], [2], with comparable gain error (pm0.2%) over a wider current (pm 40A) and temperature (-40 circC to 125 circC) range.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-23","","Microelectronics","Electronic Instrumentation","","",""
"uuid:c1e6ea36-cac7-400b-b077-4f07f176fd52","http://resolver.tudelft.nl/uuid:c1e6ea36-cac7-400b-b077-4f07f176fd52","A 0.01 mm210MHz RC Frequency Reference with a 1-Point On-Chip-Trimmed Inaccuracy of ±0.28% from -45°C to 125°C in 0.18μm CMOS","An, X. (TU Delft Electrical Engineering, Mathematics and Computer Science); Pan, S. (TU Delft Electronic Instrumentation; Tsinghua University); Jiang, H. (TU Delft Electronic Instrumentation); Makinwa, K.A.A. (TU Delft Microelectronics)","","2023","CMOS frequency references based on RC oscillators are usually preferred over bulky crystals in loT applications [1-5]. However, due to the process spread and finite temperature coefficient (TC) of most on-chip resistors, RC oscillators require trimming and temperature compensation to achieve decent accuracy. Enabled by high-resolution trimming techniques such as DeltaSigma [1], [2] or pulse-density [3] modulation, recent designs can obtain good accuracy (<0.1 %) at the expense of large chip area. However, existing compact (<0.02mm2) designs suffer from frequency errors in the order of 1% or more [4], [5]. Moreover, their temperature compensation schemes usually require the use of resistors with complementary TCs, which are not available in all CMOS technologies.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-23","Electrical Engineering, Mathematics and Computer Science","Microelectronics","Electronic Instrumentation","","",""
"uuid:d646aa06-538a-4aa3-b844-7b6a60d323c4","http://resolver.tudelft.nl/uuid:d646aa06-538a-4aa3-b844-7b6a60d323c4","3.2 A Chopper-Stabilized Amplifier with a Relaxed Fill-In Technique and 22.6pA Input Current","Rooijers, C.T. (TU Delft Electronic Instrumentation); Huijsing, J.H. (TU Delft Electronic Instrumentation); Makinwa, K.A.A. (TU Delft Microelectronics)","","2023","In chopper amplifiers, the interaction between the input signal and the chopper clock can cause intermodulation distortion (IMD). This is due to amplifier delay, which causes signal transitions generated by the input chopper to arrive at the amplifier's output slightly later than the corresponding clock transitions of the output chopper. This causes large signal-dependent spikes in the final output, which can significantly degrade amplifier linearity, especially at input frequencies near even multiples of the chopping frequency FcH, which will cause IMD tones near DC. In [2-4], spread-spectrum clocks are used to convert such tones into noise-like signals. However, this increases the noise floor, without solving the underlying problem. Recently, it has been shown that such spikes can be eliminated by using the fill-in technique [1], in which two identical OTAs are chopped in quadrature, allowing a spike-free output to be generated by switching between their outputs in a ping-pong fashion.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-23","","Microelectronics","Electronic Instrumentation","","",""
"uuid:ae1db07d-e729-485a-af34-f76d8ee60f77","http://resolver.tudelft.nl/uuid:ae1db07d-e729-485a-af34-f76d8ee60f77","Plasma Device Functions and Tissue Effects in the Female Pelvis: A Systematic Review","van de Berg, N.J. (TU Delft Medical Instruments & Bio-Inspired Technology; Erasmus Universiteit Rotterdam); Nieuwenhuyzen-de Boer, Gatske M. (Erasmus MC; Albert Schweitzer Hospital); Gao, Xu Shan (Erasmus MC); Rijstenberg, Lucia (Erasmus MC); Van Beekhuizen, Heleen J. (Erasmus MC)","","2023","Medical use of (non-)thermal plasmas is an emerging field in gynaecology. However, data on plasma energy dispersion remain limited. This systematic review presents an overview of plasma devices, fields of effective application, and impact of use factors and device settings on tissues in the female pelvis, including the uterus, ovaries, cervix, vagina, vulva, colon, omentum, mesenterium, and peritoneum. A search of the literature was performed on 4 January 2023 in the Medline Ovid, Embase, Cochrane, Web of Science, and Google Scholar databases. Devices were classified as plasma-assisted electrosurgery (ES) using electrothermal energy, neutral argon plasma (NAP) using kinetic particle energy, or cold atmospheric plasma (CAP) using non-thermal biochemical reactions. In total, 8958 articles were identified, of which 310 were scanned, and 14 were included due to containing quantitative data on depths or volumes of tissues reached. Plasma-assisted ES devices produce a thermal effects depth of <2.4 mm. In turn, NAP effects remained superficial, <1.0 mm. So far, the depth and uniformity of CAP effects are insufficiently understood. These data are crucial to achieve complete treatment, reduce recurrence, and limit damage to healthy tissues (e.g., prevent perforations or preserve parenchyma). Upcoming and potentially high-gain applications are discussed, and deficits in current evidence are identified.","argon plasma coagulation; helium plasma coagulation; argon beam coagulator; cold atmospheric plasma; neutral argon plasma; thermal spread; thermal effects depth; vaporization depth","en","review","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:7a29c445-c483-4c81-81ca-2272540e4455","http://resolver.tudelft.nl/uuid:7a29c445-c483-4c81-81ca-2272540e4455","Improving Air Pollution Modelling in Complex Terrain with a Coupled WRF–LOTOS–EUROS Approach: A Case Study in Aburrá Valley, Colombia","Hinestroza-Ramirez, Jhon E. (Universidad EAFIT; Universidad Tecnológica del Chocó); Lopez-Restrepo, Santiago (Universidad EAFIT); Yarce Botero, A. (TU Delft Atmospheric Remote Sensing; Universidad EAFIT); Segers, Arjo (TNO); Rendon-Perez, Angela Maria (Universidad de Antioquia); Isaza-Cadavid, Santiago (Universidad EAFIT); Heemink, A.W. (TU Delft Mathematical Physics); Quintero, Olga Lucia (Universidad EAFIT)","","2023","Chemical transport models (CTM) are crucial for simulating the distribution of air pollutants, such as particulate matter, and evaluating their impact on the environment and human health. However, these models rely heavily on accurate emission inventory and meteorological inputs, usually obtained from reanalyzed weather data, such as the European Centre for Medium-Range Weather Forecasts (ECMWF). These inputs do not accurately reflect the complex topography and micro-scale meteorology in tropical regions where air pollution can pose a severe public health threat. We propose coupling the LOTOS–EUROS CTM model and the weather research and forecasting (WRF) model to improve LOTOS–EUROS representation. Using WRF as a meteorological driver provides high-resolution inputs for accurate pollutant simulation. We compared LOTOS–EUROS results when WRF and ECMWF provided the meteorological inputs during low and high pollutant concentration periods. The findings indicate that the WRF–LOTOS–EUROS coupling offers a more precise representation of the meteorology and pollutant dispersion than the default input of ECMWF. The simulations also capture the spatio-temporal variability of pollutant concentration and emphasize the importance of accounting for micro-scale meteorology and topography in air pollution modelling.","model coupling; air quality modelling; complex terrain; particulate matter","en","journal article","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:9f4b4607-c99f-40c0-b673-8bf50558317d","http://resolver.tudelft.nl/uuid:9f4b4607-c99f-40c0-b673-8bf50558317d","Active Inference and Behavior Trees for Reactive Action Planning and Execution in Robotics","Pezzato, C. (TU Delft Robot Dynamics); Hernández, Carlos (TU Delft Robot Dynamics); Bonhof, S.D. (TU Delft Robot Dynamics); Wisse, M. (TU Delft Robot Dynamics)","","2023","In this article, we propose a hybrid combination of active inference and behavior trees (BTs) for reactive action planning and execution in dynamic environments, showing how robotic tasks can be formulated as a free-energy minimization problem. The proposed approach allows handling partially observable initial states and improves the robustness of classical BTs against unexpected contingencies while at the same time reducing the number of nodes in a tree. In this work, we specify the nominal behavior offline, through BTs. However, in contrast to previous approaches, we introduce a new type of leaf node to specify the desired state to be achieved rather than an action to execute. The decision of which action to execute to reach the desired state is performed online through active inference. This results in continual online planning and hierarchical deliberation. By doing so, an agent can follow a predefined offline plan while still keeping the ability to locally adapt and take autonomous decisions at runtime, respecting safety constraints. We provide proof of convergence and robustness analysis, and we validate our method in two different mobile manipulators performing similar tasks, both in a simulated and real retail environment. The results showed improved runtime adaptability with a fraction of the hand-coded nodes compared to classical BTs.","Active inference; behavior trees (BT); biologically inspired robots; free-energy principle; mobile manipulators; reactive action planning","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-02","","","Robot Dynamics","","",""
"uuid:7aa1e80a-deb6-4396-a93a-3f0cfc516729","http://resolver.tudelft.nl/uuid:7aa1e80a-deb6-4396-a93a-3f0cfc516729","Making way for design thinking in the public sector: a taxonomy of strategies","Brinkman, Geert (Erasmus Universiteit Rotterdam); van Buuren, Arwin (Erasmus Universiteit Rotterdam); Voorberg, William (Erasmus Universiteit Rotterdam); van der Bijl-Brouwer, M. (TU Delft Methodologie en Organisatie van Design)","","2023","Public organizations are increasingly turning to design thinking to address wicked societal issues, enhance innovation, and improve services. However, in general, public organizations do not provide the most receptive context for design thinking. To be applied effectively, design thinking requires sufficient tolerance for uncertainty, capacity for risk-taking, receptiveness to new ideas, and flexibility to learn and adapt. Public organizations, instead, favor rationality, stability, and accountability, and are therefore generally characterized as rigid and risk-averse. Additional efforts are thus required to make way for design thinking within this context. Until now, research on strategies to support the application of design thinking in a public sector context is limited. In this paper, 14 design thinking projects in the public sector were analyzed to identify these strategies, resulting in a practical framework of strategic actions to build confidence, form an alliance, generate support, enhance compatibility, and thereby enable design thinking in the public sector. Accordingly, this study contributes to the theory and practice of design thinking for public issues.","Design; design thinking; human-centered design; public sector design; public sector innovation","en","journal article","","","","","","","","","","","Methodologie en Organisatie van Design","","",""
"uuid:042b6f6e-882b-425f-a6b0-a3701b2bb348","http://resolver.tudelft.nl/uuid:042b6f6e-882b-425f-a6b0-a3701b2bb348","Scale-dependent blending of ensemble rainfall nowcasts and numerical weather prediction in the open-source pysteps library","Imhoff, Ruben O. (Deltares; Wageningen University & Research); De Cruz, Lesley (Royal Meteorological Institute of Belgium; Vrije Universiteit Brussel); Dewettinck, Wout (Universiteit Gent); Brauer, Claudia C. (Wageningen University & Research); Uijlenhoet, R. (TU Delft Water Resources); van Heeringen, Klaas Jan (Deltares); Velasco-Forero, Carlos (Bureau of Meteorology Australia); Nerini, Daniele (Federal Office of Meteorology and Climatology MeteoSwiss); Van Ginderachter, Michiel (Royal Meteorological Institute of Belgium); Weerts, Albrecht H. (Deltares; Wageningen University & Research)","","2023","Flash flood early warning requires accurate rainfall forecasts with a high spatial and temporal resolution. As the first few hours ahead are already not sufficiently well captured by the rainfall forecasts of numerical weather prediction (NWP) models, radar rainfall nowcasting can provide an alternative. Because this observation-based method quickly loses skill after the first 2 hr of the forecast, it needs to be combined with NWP forecasts to extend the skillful lead time of short-term rainfall forecasts, which should increase decision-making times. We implemented an adaptive scale-dependent ensemble blending method in the open-source pysteps library, based on the Short-Term Ensemble Prediction System scheme. In this implementation, the extrapolation (ensemble) nowcast, (ensemble) NWP, and noise components are combined with skill-dependent weights that vary per spatial scale level. To constrain the (dis)appearance of rain in the ensemble members to regions around the rainy areas, we have developed a Lagrangian blended probability matching scheme and incremental masking strategy. We describe the implementation details and evaluate the method using three heavy and extreme (July 2021) rainfall events in four Belgian and Dutch catchments. We benchmark the results of the 48-member blended forecasts against the Belgian NWP forecast, a 48-member nowcast, and a simple 48-member linear blending approach. Both on the radar domain and catchment scale, the introduced blending approach predominantly performs similarly or better than only nowcasting (in terms of event-averaged continuous ranked probability score and critical success index values) and adds value compared with NWP for the first hours of the forecast, although the difference, particularly with the linear blending method, reduces when we focus on catchment-average cumulative rainfall sums instead of instantaneous rainfall rates. By properly combining observations and NWP forecasts, blending methods such as these are a crucial component of seamless prediction systems.","blending; early warning; nowcasting; numerical weather prediction; open source; rainfall","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:a6372484-3549-4d47-b9ba-8a55a138887b","http://resolver.tudelft.nl/uuid:a6372484-3549-4d47-b9ba-8a55a138887b","Semicentennial Response of a Bifurcation Region in an Engineered River to Peak Flows and Human Interventions","Chowdhury, M. Kifayath (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Blom, A. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Ylla Arbos, C. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Verbeek, Merel C. (Rijkswaterstaat); Schropp, Max H.I. (Rijkswaterstaat); Schielen, R.M.J. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Rijkswaterstaat)","","2023","A bifurcation in an engineered river system (i.e., fixed planform and width) has fewer degrees of freedom in its response to interventions and natural changes than a natural bifurcation system. Our objective is to provide insight into how a bifurcation in an engineered river responds to peak flows and human interventions. To this end, we analyze the change in hydraulics, bed level, and bed surface grain size in the region of two bifurcations in the upper Rhine delta in the Netherlands over the last century. We show that, over the last two decades, the water discharge in one bifurcate (the Waal branch) has steadily increased at the expense of the other. This gradual increase in the water discharge of the first branch is associated with its erosion rate being larger than the other branch. The quick succession of two or three peak flow events (1993, 1995, and 1998) caused rapid sediment deposition over the upstream part of the bifurcate that has gradually lost discharge, which seems to have triggered the slow change in flow partitioning.","River bifurcation; Rhine River; Netherlands; Flow partitioning; Peak flow; Engineered Rivers; Tipping point; Gravel bed rivers; Upper Rhine delta; Flood","en","journal article","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:3e525070-6302-431c-b1e6-53467875dd15","http://resolver.tudelft.nl/uuid:3e525070-6302-431c-b1e6-53467875dd15","Unifying Tactical Conflict Prevention, Detection, and Resolution Methods in Non-Orthogonal Constrained Urban Airspace","Badea, C. (TU Delft Control & Simulation); Morfin Veytia, A. (TU Delft Control & Simulation); Patrinopoulou, Niki (University of Patras); Daramouskas, Ioannis (University of Patras); Ellerbroek, Joost (TU Delft Control & Simulation); Lappas, Vaios (University of Athens); Kostopoulos, Vassilios (University of Patras); Hoekstra, J.M. (TU Delft Control & Simulation)","","2023","The use of small aircraft for a wide range of missions in urban airspace is expected to increase in the future. In Europe, efforts have been invested into developing a unified system, called U-space, to manage aircraft in dense very-low-level urban airspace. The Metropolis II project aimed to research what degree of centralisation an air traffic management system should use in such airspace. The paper at hand is a follow-up, and investigates improvements that can be brought to the tactical conflict prevention, detection, and resolution module of such a system in order to harmonise these components with an organic high-density U-space environment. The proposed improvements are: the prioritisation of vertical conflict prevention in intersections, the use of intent in detecting and resolving conflicts, and the use of heading-based manoeuvres in open airspace. Results show that the use of intent information in the conflict detection process, as well as the implementation of suitable tactical prevention procedures, can greatly increase airspace safety. Furthermore, the experiments revealed that the effectiveness of conflict resolution algorithms is highly dependent on the airspace rules and structure. This reiterates the potential for increasing the safety and efficiency of operations within constrained airspace if the tactical separation modules are unified with the other components of air traffic management systems for U-space.","U-space; UTM; conflict resolution; conflict detection; conflict prevention; BlueSky simulator; constrained airspace; organic network","en","journal article","","","","","","","","","","","Control & Simulation","","",""
"uuid:9e0166d7-4ded-4b09-b255-debaa0577a6a","http://resolver.tudelft.nl/uuid:9e0166d7-4ded-4b09-b255-debaa0577a6a","Practical Product Development Challenges","Ashruf, CMA (TU Delft Electronic Instrumentation)","","2023","Despite the many product development techniques available today, manufacturers under pressure to reduce time to market while keeping up with stricter regulatory demands are struggling more than ever with their product development processes. Here, I list a selection of problems and challenges frequently encountered in my consulting practice and checked with literature, as well as practical recommendations for improvement. While in specific situations some project management techniques prove better suited than others, overall product development success seems more dependent on the organization's willingness and ability to learn and adapt rather than on the specific technique chosen.","Companies; empowerment; implementation methodologies & project management; Industries; management of innovation; management of scientists and engineers; Product development; product innovations; project and R&D management; project success factors; Standards organizations; success factors in product development; Technological innovation; Training; Weaving","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-04","","","Electronic Instrumentation","","",""
"uuid:2868a0c2-8398-43bb-a05b-52c77224abbc","http://resolver.tudelft.nl/uuid:2868a0c2-8398-43bb-a05b-52c77224abbc","Broadband Effective Permittivity Simulation and Measurement Techniques for 3-D-Printed Dielectric Crystals","Hehenberger, Simon P. (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Caizzone, Stefano (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Thurner, Stefan (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2023","Frequency-dependent dielectric properties of 3-D-printed structured dielectrics (dielectric crystals) with engineered effective permittivity for micro-and mmWave applications are studied. Different modeling and measurement techniques for broadband dielectric properties of such 3-D-printed crystals are reviewed, their tradeoffs discussed, and individual results compared. Numerically obtained results from the plane wave expansion method (PWEM) and Floquet port scattering are compared with traveling-wave measurements in both guided and free-space setups. Furthermore, the shortcomings of effective media theories (EMTs) and resonance measurement methods are addressed and contrasted against broadband methods. Individual simulation and measurement setups are reviewed with respect to dielectric crystals with simple cubic (SC) and face-centered cubic (FCC) symmetry, with different unit cell sizes and volumetric infill fractions. Extracted effective permittivity values from PWEM and Floquet port simulations show excellent agreement with traveling-wave measurements in both guided and free-space scenarios. Furthermore, the discussed broadband methods predict and measure frequency-dependent effects that are not covered by EMTs and resonance measurement setups, highlighting the necessity to adopt more sophisticated simulation tools for the design of graded-index devices. It is shown that the effective media bandwidth of dielectric crystals depends on the respective unit cell symmetry and that FCC symmetry obtains a significantly increased bandwidth compared with SC symmetry.","3-D-printing; additive manufacturing (AM); cutoff; dielectric crystals (DC); effective media; Floquet port; Maxwell–Garnett; periodic dielectric; Permittivity measurement; permittivity modeling; plane wave expansion method (PWEM); structured dielectric","en","journal article","","","","","","","","","","","Microwave Sensing, Signals & Systems","","",""
"uuid:661d77ea-8952-4d97-ab88-967058918260","http://resolver.tudelft.nl/uuid:661d77ea-8952-4d97-ab88-967058918260","Highly Efficient Estimators with High Breakdown Point for Linear Models with Structured Covariance Matrices","Lopuhaä, H.P. (TU Delft Statistics)","","2023","A unified approach is provided for a method of estimation of the regression parameter in balanced linear models with a structured covariance matrix that combines a high breakdown point with high asymptotic efficiency at models with multivariate normal errors. Of main interest are linear mixed effects models, but our approach also includes several other standard multivariate models, such as multiple regression, multivariate regression, and multivariate location and scatter. Sufficient conditions are provided for the existence of the estimators and corresponding functionals, strong consistency and asymptotic normality is established, and robustness properties are derived in terms of breakdown point and influence function. All the results are obtained for general identifiable covariance structures and are established under mild conditions on the distribution of the observations, which goes far beyond models with elliptically contoured densities. Some results are new and others are more general than existing ones in the literature. In this way, results on high breakdown estimation with high efficiency in a wide variety of multivariate models are completed and improved.","Asymptotic distribution; Breakdown point; Influence function; Linear model with structured covariance; MM-estimation; Robust method","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-06","","","Statistics","","",""
"uuid:0a020d5d-1b38-4102-ab14-de2f4efd414d","http://resolver.tudelft.nl/uuid:0a020d5d-1b38-4102-ab14-de2f4efd414d","How Engineers Can Care from a Distance: Promoting Moral Sensitivity in Engineering Ethics Education","van Grunsven, J.B. (TU Delft Ethics & Philosophy of Technology); Marin, L. (TU Delft Ethics & Philosophy of Technology); Stone, T.W. (TU Delft Ethics & Philosophy of Technology); Doorn, N. (TU Delft Ethics & Philosophy of Technology); Roeser, S. (TU Delft Values Technology and Innovation)","Miller, Glenn (editor); Mateus Jerónimo, Helena (editor); Zhu, Qin (editor)","2023","Moral (or ethical) sensitivity is widely viewed as a foundational learning goal in engineering ethics education. We have argued in this paper is that this view of moral sensitivity cannot be readily transported from the nursing context to the engineering context on the basis of a care-analogy. The particularized care characteristic of the nursing context is decisively different from the generalized and universalized forms of care characteristic of the engineering context. Through a focus on care and maintenance, the engineering student’s moral sensitivity can be refined, opening up a perceptual awakening and affectivity towards the complex nature of the engineer’s Other. This awakening is in part promoted through an understanding of the ideology of neutrality as a moment in the history engineering. Becoming aware of this ideology as an ideology can then be seen as an activity of dividing loyalties that allows for a reflexive and critical view of the biases and presuppositions inherited within the world of engineering. This process of deepening the engineering student’s moral sensitivity is perhaps as much a process of the student becoming aware of her professional world, how it shapes her understanding of herself, and what it means to be a good engineer.","Philosophy of engineering; Engineering education; philosophy of technology; Moral sensitivity","en","book chapter","Rowman & Littlefield","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-01","","Values Technology and Innovation","Ethics & Philosophy of Technology","","",""
"uuid:2c31913f-2230-41fc-b5e2-fbeed13beea6","http://resolver.tudelft.nl/uuid:2c31913f-2230-41fc-b5e2-fbeed13beea6","Randomized Testing of Byzantine Fault Tolerant Algorithms","Winter, Levin N. (Student TU Delft); Buşe, Florena (Student TU Delft); de Graaf, Daan (Student TU Delft); von Gleissenthall, Klaus (Vrije Universiteit Amsterdam); Kulahcioglu Ozkan, Burcu (TU Delft Software Engineering)","","2023","Byzantine fault-tolerant algorithms promise agreement on a correct value, even if a subset of processes can deviate from the algorithm arbitrarily. While these algorithms provide strong guarantees in theory, in practice, protocol bugs and implementation mistakes may still cause them to go wrong. This paper introduces ByzzFuzz, a simple yet effective method for automatically finding errors in implementations of Byzantine fault-tolerant algorithms through randomized testing. ByzzFuzz detects fault-tolerance bugs by injecting randomly generated network and process faults into their executions. To navigate the space of possible process faults, ByzzFuzz introduces small-scope message mutations which mutate the contents of the protocol messages by applying small changes to the original message either in value (e.g., by incrementing the round number) or in time (e.g., by repeating a proposal value from a previous message). We find that small-scope mutations, combined with insights from the testing and fuzzing literature, are effective at uncovering protocol logic and implementation bugs in real-world fault-tolerant systems.
We implemented ByzzFuzz and applied it to test the production implementations of two popular blockchain systems, Tendermint and Ripple, and an implementation of the seminal PBFT protocol. ByzzFuzz detected several bugs in the implementation of PBFT, a potential liveness violation in Tendermint, and materialized two theoretically described vulnerabilities in Ripple’s XRP Ledger Consensus Algorithm. Moreover, we discovered a previously unknown fault-tolerance bug in the production implementation of Ripple, which is confirmed by the developers and fixed.","Distributed consensus; Byzantine fault-tolerance; Random testing","en","journal article","","","","","","","","","","","Software Engineering","","",""
"uuid:2e551a9b-250c-4228-8a17-e9d3fc2cd11b","http://resolver.tudelft.nl/uuid:2e551a9b-250c-4228-8a17-e9d3fc2cd11b","Critical Analysis of Policy Integration Degrees between Heritage Conservation and Spatial Planning in Amsterdam and Ballarat","Tarrafa Silva, A. (TU Delft Heritage & Architecture); Pereira Roders, A. (TU Delft Heritage & Architecture); Cunha Ferreira, Teresa (Universidade do Porto); Nevzgodin, I. (TU Delft Heritage & Architecture)","","2023","The growing complexity of managing the sustainable development of cities stresses the need for interdisciplinary approaches, with a stronger articulation between different fields. The integration between heritage conservation and spatial planning has already been addressed in recent literature, ranging from a traditional sectorial perspective towards more cooperative and coordinated initiatives, occasionally resulting in integrated policies. Nevertheless, the lack of institutional and policy articulation remains among the most frequent critical governance issues unsolved. This paper unveils the integration degrees between heritage conservation and spatial planning policies in Amsterdam (The Netherlands) and Ballarat (Australia), acknowledged for local and upper governmental initiatives, such as the Belvedere Memorandum and the Imagine Ballarat project, placing both at the forefront of the roadmap to this policy integration. In-depth semi-structured interviews with municipal officials in both cities reveal that, while policy integration is aimed at, implementation remains challenging. Both cities’ heritage conservation and spatial planning fields keep operating in parallel, often in conflict, and with different perspectives on the cultural heritage commonly managed. By identifying local technicians’ challenges, this research demonstrates that policy integration between heritage conservation and spatial planning is an ongoing process that demands more effective articulation towards more sustainable and resilient cities.","policy integration; heritage conservation; spatial planning; local government","en","journal article","","","","","","","","","","","Heritage & Architecture","","",""
"uuid:62a68248-d708-49ad-93f6-4fa5dc6b8453","http://resolver.tudelft.nl/uuid:62a68248-d708-49ad-93f6-4fa5dc6b8453","Closure to ""Air-Water Flows and Head Losses on Stepped Spillways with Inclined Steps""","Arosquipa-Nina, Yvan (University of Queensland); Shi, Rui (University of Queensland); Wüthrich, D. (TU Delft Hydraulic Structures and Flood Risk); Chanson, Hubert (University of Queensland)","","2023","","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-01","","","Hydraulic Structures and Flood Risk","","",""
"uuid:2d1b9cdf-35df-4467-a95b-7642cf7394ce","http://resolver.tudelft.nl/uuid:2d1b9cdf-35df-4467-a95b-7642cf7394ce","Large diameter laterally loaded piles in sand: Numerical evaluation of soil stress paths and relevance of laboratory soil element testing","Cheng, Xiaoyang (University of Bristol); Ibraim, Erdin (University of Bristol); Liu, Haoyuan (Norwegian Geotechnical Institute); Pisano, F. (TU Delft Geo-engineering); Diambra, Andrea (University of Bristol)","","2023","This paper uses 3D numerical analyses to investigate the stress path experienced by soil elements around large diameter piles in sand subjected to monotonic drained lateral loading. Inspection of the loading-induced stresses in the soil revealed the multiaxial nature of these stress paths, which are characterised by rotation of one or more principal stress axes. Based on the outcome of the finite element analyses, typical stress paths for different soil elements around the piles are extracted. Such stress paths are then evaluated against those enabled by conventional and advanced laboratory soil element testing. It is found that a combination of tests in the Hollow Cylinder Torsional Apparatus (HCTA) can reproduce most features of the numerically identified stress paths for soil elements around the pile. Unavoidable limitations in laboratory testing are discussed as well as the major challenge in replicating the loading direction with respect to the material axes. Some guidance for the experimental implementation of these stress paths in the HCTA are provided as well as a discussion on the use of conventional experimental equipment, such as the conventional triaxial or simple shear apparatus.","Large-diameter pile; Stress path; Monotonic loading; Laboratory element testing; Finite element","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:03770abc-911c-4f95-9798-87180ab2d657","http://resolver.tudelft.nl/uuid:03770abc-911c-4f95-9798-87180ab2d657","Determination of the property boundary: A review of selected civil law jurisdictions","Çağdaş, Volkan (Yildiz Technical University); Kara, A. (TU Delft GIS Technologie); Lisec, Anka (University of Ljubljana); Paasch, Jesper M. (Aalborg University; University of Gävle); Paulsson, Jenny (KTH Royal Institute of Technology); Skovsgaard, Tanja L. (Aalborg University); Velasco, Amalia (Spanish Directorate General for Cadastre)","","2023","The boundary of real property is the fundamental element for securing rights attached to land. Countries, even with a long-standing cadastral tradition, often face the challenge of interpreting the course of a parcel boundary on the ground based on the available evidence, as data quality is very heterogeneous. Various cadastral principles and procedures have been developed for the determination of parcel boundaries in the field, which may also be associated with resolving boundary disputes. This article documents and compares the principles and procedures applied in the determination of property boundaries in selected civil law countries based on a novel conceptual model developed for that purpose. The notion of ‘boundary determination’ used in this article refers to demarcating and surveying land parcel boundaries during the initial cadastral survey and cadastral update procedures. The selected countries include Denmark and Sweden, which apply Nordic civil law; Slovenia and Turkey, which apply German civil law; and Spain, which applies Napoleonic civil law. The demarcation principles and processes applied in the different cadastral systems, the parties involved, and the evidence taken into consideration in these processes are described and compared. The main aim is to contribute to the documentation of the reasoning applied to the property boundary determination in the selected civil law countries.","Boundary determination; Cadastre; Land; Property boundary; Real property","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","GIS Technologie","","",""
"uuid:0393955e-d3ac-475e-b37a-dc1733d2e810","http://resolver.tudelft.nl/uuid:0393955e-d3ac-475e-b37a-dc1733d2e810","The effect of interactions between failure mechanisms on the reliability of flood defenses","Pol, J.C. (TU Delft Hydraulic Structures and Flood Risk; HKV Consultant); Kindermann, P.E. (HKV Consultants); van der Krogt, M.G. (TU Delft Hydraulic Structures and Flood Risk; Deltares); van Bergeijk, Vera M. (University of Twente); Remmerswaal, G. (TU Delft Geo-engineering; Deltares); Kanning, W. (TU Delft Hydraulic Structures and Flood Risk; Deltares); Jonkman, Sebastiaan N. (TU Delft Hydraulic Structures and Flood Risk); Kok, M. (TU Delft Hydraulic Structures and Flood Risk; HKV Consultants)","","2023","Structural reliability analysis often considers failure mechanisms as correlated but non-interacting processes. Interacting failure mechanisms affect each others performance, and thereby the system reliability. We describe such interactions in the context of flood defenses, and analyze under which conditions such interactions have a large impact on reliability using a Monte Carlo-based quantification method. We provide simple examples and an application to levee failure due to landward slope instability and backward erosion piping (BEP). The examples show that the largest interaction effects are expected when the trigger mechanism is relatively likely to occur and the affected mechanism has a relatively large contribution to the system reliability. For the studied levee example, interactions between slope instability and BEP increased the failure probability up to a factor 4. Implications for the assessment and design of flood defenses are discussed.","Reliability; Interaction; Failure mechanisms; Flood defenses; Slope instability; Backward erosion piping","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:39ad271d-9bbe-4240-804c-0e1589c988d2","http://resolver.tudelft.nl/uuid:39ad271d-9bbe-4240-804c-0e1589c988d2","The existence and uniqueness of solutions for kernel-based system identification","Khosravi, M. (TU Delft Team Tamas Keviczky); Smith, Roy S. (ETH Zürich)","","2023","The notion of reproducing kernel Hilbert space (RKHS) has emerged in system identification during the past decade. In the resulting framework, the impulse response estimation problem is formulated as a regularized optimization defined on an infinite-dimensional RKHS consisting of stable impulse responses. The consequent estimation problem is well-defined under the central assumption that the convolution operators restricted to the RKHS are continuous linear functionals. Moreover, according to this assumption, the representer theorem hold, and therefore, the impulse response can be estimated by solving a finite-dimensional program. Thus, the continuity feature plays a significant role in kernel-based system identification. We show that this central assumption is guaranteed to be satisfied in considerably general situations, namely when the input signal is bounded, the kernel is an integrable function, and in the case of continuous-time dynamics, continuous. Furthermore, the strong convexity of the optimization problem and the continuity property of the convolution operators imply that the kernel-based system identification admits a unique solution. Consequently, it follows that kernel-based system identification is a well-defined approach.","Existence and uniqueness of solution; Integrable kernels; Kernel-based methods; System identification","en","journal article","","","","","","","","","","","Team Tamas Keviczky","","",""
"uuid:46f88883-cbc2-4de7-807c-ae3706b8219d","http://resolver.tudelft.nl/uuid:46f88883-cbc2-4de7-807c-ae3706b8219d","Adaptation through prediction: multisensory active inference torque control","Meo, Cristian (Student TU Delft); Franzese, G. (TU Delft Learning & Autonomous Control); Pezzato, C. (TU Delft Robot Dynamics); Spahn, M. (TU Delft Learning & Autonomous Control); Lanillos, Pablo (Radboud Universiteit Nijmegen)","","2023","Adaptation to external and internal changes is of major importance for robotic systems in uncertain environments. Here, we present a novel multisensory active inference (AIF) torque controller for industrial arms that shows how prediction can be used to resolve adaptation. Our controller, inspired by the predictive brain hypothesis, improves the capabilities of current AIF approaches by incorporating learning and multimodal integration of low- and high-dimensional sensor inputs (e.g., raw images) while simplifying the architecture. We performed a systematic evaluation of our model on a 7DoF Franka Emika Panda robot arm by comparing its behavior with previous AIF baselines and classic controllers, analyzing both qualitatively and quantitatively adaptation capabilities and control accuracy. The results showed improved control accuracy in goal-directed reaching with high noise rejection due to multimodal filtering, and adaptability to dynamical inertial changes, elasticity constraints, and human disturbances without the need to relearn the model or parameter retuning.","Adaptation models; Inference algorithms; Mathematical models; Robot sensing systems; Robots; State estimation; Torque","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-03","","","Learning & Autonomous Control","","",""
"uuid:fef66e9a-eb54-481d-94e3-f1ed0a1812cd","http://resolver.tudelft.nl/uuid:fef66e9a-eb54-481d-94e3-f1ed0a1812cd","P-satI-D Shape Regulation of Soft Robots","Pustina, P. (Sapienza University of Rome); Borja, Pablo (Plymouth University); Della Santina, C. (TU Delft Learning & Autonomous Control; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); De Luca, Alessandro (Sapienza University of Rome)","","2023","Soft robots are intrinsically underactuated mechanical systems that operate under uncertainties and disturbances. In these conditions, this letter proposes two versions of PID-like control laws with a saturated integral action for the particularly challenging shape regulation task. The closed-loop system is asymptotically stabilized and matched constant disturbances are rejected using a very reduced amount of system information for control implementation. Stability is assessed on the underactuated dynamic model through the Invariant Set Theorem for two relevant classes of soft robots, i.e., elastically decoupled and elastically dominated soft robots. Extensive simulation results validate the proposed controllers.","Gold; Modeling, Control, and Learning for Soft Robots; Motion Control; Regulation; Regulators; Robots; Shape; Soft robotics; Symmetric matrices; Underactuated Robots","en","journal article","","","","","","","","","","","Learning & Autonomous Control","","",""
"uuid:74cd6ebe-fc6e-4827-9a84-eb1fba059c97","http://resolver.tudelft.nl/uuid:74cd6ebe-fc6e-4827-9a84-eb1fba059c97","In Real Estate Investment We Trust: State De-Risking and the Ownership of Listed US and German Residential Real Estate Investment Trusts","Aalbers, Manuel (Katholieke Universiteit Leuven); Taylor, Zac (TU Delft Urban Development Management; Katholieke Universiteit Leuven); Klinge, Tobias (Katholieke Universiteit Leuven); Fernandez, Rodrigo (Trinity College Dublin; SOMO Centre for Research on Multinational Corporations)","","2023"," Real estate investment trusts (REITs) have been around since 1960 but have only become major players in housing markets in the last twenty years. The current and ongoing wave of residential REIT (R-REIT) expansion has attracted significant scholarly and broader public interest. This article examines how real estate, finance, and the state are configured in relation to each other through R-REITs. While much of the housing financialization literature has focused on the real estate/state axis of this relationship, we explore the underexamined connections between the real estate/finance axis and the finance/state axis of the real estate–finance–state triangle. We analyze the financial accounts of the world’s fifteen largest publicly traded R-REITs and R-REIT–like funds in the two largest markets: the United States and Germany. Our findings demonstrate how the ownership of R-REIT stock is remarkably homogeneous: the largest shareholders in each of the studied R-REITs are the three largest index exchange-traded funds, which are heavily backed by pension fund capital. For these investors, it is important that R-REITs provide a healthy return on investment at the lowest possible risk. The investors require the state, in its various guises, to guarantee attractive risk-adjusted returns on R-REITs investments. We identify six dimensions of state de-risking in this context, deepening our understanding of the role of the state in housing financialization. It is the state that creates the trust in real estate investment trusts, and it thus is what generates the investment in real estate investment trusts.","housing; financialization; real estate investment trust (REIT); exchange traded fund (ETF); institutional investors; asset class","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-20","","","Urban Development Management","","",""
"uuid:850245df-f061-469e-972b-223be8853df8","http://resolver.tudelft.nl/uuid:850245df-f061-469e-972b-223be8853df8","A 90.6% Efficient, 0.333 W/mm2 Power Density Direct 48V-to-1V Dual Inductor Hybrid Converter with Delay-line Based V2D Controller","Hua, Yuan (Student TU Delft); Lu, Qi (Student TU Delft); Li, Shuangmu (Student TU Delft); Zhao, Bo (Zhejiang University); Du, S. (TU Delft Electronic Instrumentation)","","2023","This brief presents a 48V-to-1V 10-level dual inductor hybrid converter (DIHC) containing 11 on-chip switches and an off-chip gallium nitride (GaN) switch. Thanks to the 10-level Dickson switched-capacitor (SC) circuit, most of the voltage stress will be taken over by off-chip capacitors, which reduces the voltage stress of each switch to 4.8 V and takes full advantage of the voltage pressure on the 5-V on-chip transistors. This proposed structure is implemented in a 0.18- $\mu \text{m}$ BCD process to convert 48-V input to 1-V output with up to 18-A current load. The post-layout simulations show that a peak power efficiency of 90.6% can be achieved at 5.2-A loading and the power density is about 0.333 $W/mm^{2}$ considering the power stage area.","hybrid dc-dc converter; 10-level; 48V-to-1V; GaN switch; 5-V on-chip transistors","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-27","","","Electronic Instrumentation","","",""
"uuid:a72e36ba-e643-41de-a3fb-cbb79fd553f0","http://resolver.tudelft.nl/uuid:a72e36ba-e643-41de-a3fb-cbb79fd553f0","Analogy versus Metaphor: Aldo van Eyck’s Poetic Images In-Between Fields","Campos Uribe, A. (TU Delft Space & Type); Lacomba Montes, P. (TU Delft Space & Type)","Borree, Sarah (editor); Knuth, Stephanie (editor); Röger, Moritz (editor)","2023","The Dutch architect Aldo van Eyck wrote the Tree-Leaf Statement in 1961, as a visiting professor at Washington University. Contrary to what it may seem, the words were in fact a declaration against the direct analogy of tree-city, since Van Eyck rejected the use of tree hierarchies within the urbanism of his time. ""The tree analogy fails altogether [...] direct analogy leads nowhere, neither to the idea of the tree nor of the city"". Instead, Van Eyck proposed a kaleidoscopic poetic image that succeeded in capturing the deep meaning of his own urban thinking, which he called the configurative discipline. However, the tree-leaf metaphor also resulted in a strong dispute within Team 10 that caused an important shift in Van Eyck’s career, who subsequently limited himself to exploring the intrinsic quality of architectural space and abandoned large scale projects. We propose in this article that this event demonstrates the power and danger of metaphors as poetic images that grow in-between fields, and that can yield incredible transformative powers.","","en","book chapter","Routledge - Taylor & Francis Group","","","","","","","2024-06-23","","","Space & Type","","",""
"uuid:75529ca8-0b89-44bf-bfea-cd5f622a82a0","http://resolver.tudelft.nl/uuid:75529ca8-0b89-44bf-bfea-cd5f622a82a0","Improved Winding Losses Calculation based on Bessel Functions","Luo, T. (TU Delft High Voltage Technology Group); Ghaffarian Niasar, M. (TU Delft High Voltage Technology Group); Vaessen, P.T.M. (TU Delft High Voltage Technology Group)","","2023","In this article, an approach combining semi-empirical equations and the method of images is proposed for round conductor layer windings with un-gapped core. The new equation for proximity effect can convert the constant field strength from the magneto-motive force (MMF) across the core window into a frequency-dependent uniform background magnetic field strength, which can take partly the interaction between conductors into account. Geometric factors are introduced by fitting the finite element method (FEM) results to improve the accuracy. The method of images is used to calculate the field strength in order to counteract the impact of the 2-D edge effect. The new method is compared with the 2-D FEM, analytical methods, and is also validated by measurements with EE core transformers. The proposed method shows good accuracy (< 10% error) compared with 2-D FEM for both high and low porosity factor windings. Therefore, it can handle more winding configurations than other 1-D analytical methods.","Conductors; Eddy current; Finite element analysis; losses; Magnetic cores; Magnetic fields; Mathematical models; Proximity effects; skin effect; transformer winding; Windings","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-15","","","High Voltage Technology Group","","",""
"uuid:fc9dbef5-0dce-4163-8f55-aa97f38adcda","http://resolver.tudelft.nl/uuid:fc9dbef5-0dce-4163-8f55-aa97f38adcda","Liability Factors and Conceptual Framework for Contracts to Manage Design for Digital Fabrication in Construction Projects","Ng, Ming Shan (ETH Zürich); Hall, Daniel M. (TU Delft Design & Construction Management); Hsieh, Shang Hsien (National Taiwan University)","","2023","The adoption of digital fabrication - fabrication based on digital design - in the early design phase in projects requires a thorough understanding of the liability factors to design the contract. This paper addresses this issue using a two-stage research approach. First, a case study research maps the process from digital design to digital fabrication in an existing project that adopted digital fabrication using the design-bid-build model. Second, a three-round Delphi survey of 14 stakeholders of that project identifies and ranks 163 liability factors under eight categories: actors, resources, conditions, attributes, processes, artifacts, values, and risks. The resources of management capability and building information modeling (BIM) expertise rank as the two most important liability factors. Building on these findings, the paper presents a conceptual framework for contract design and discusses how the existing project delivery models - design-bid-build, construction management, design-build, and integrated project delivery (IPD) - can consider the liability factors in contracts.","","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:4e5ab45d-9288-474b-bcb3-5b63b28d10e1","http://resolver.tudelft.nl/uuid:4e5ab45d-9288-474b-bcb3-5b63b28d10e1","Structuring the water quality policy problem: Using Q methodology to explore discourses in the Brantas River basin","Houser, R.S. (TU Delft Water Resources); Pramana, K.E.R. (TU Delft Water Resources); Ertsen, M.W. (TU Delft Water Resources)","","2023","Recognizing the interrelatedness of water use and conceptual value of IWRM, progressive water resource management systems are moving beyond hierarchical arrangements toward more integrated networks. Increasing calls for participation recognize the value of broadened perspectives that provide both technical expertise as well as social, cultural, and administrative knowledge. Moreover, the call for evidence-based policy of '00s has been tempered by recognition of the political nature of data and science. As such, water decision-makers striving to coproduce and employ shared knowledge must grapple with integrating inputs from diverse participant groups to characterize policy problems and identify effective and feasible solutions. Participatory mandates, coordination bodies, and collaborative networks have emerged to facilitate such integration, and their effective cooperation and alignment relies upon some degree of shared purpose, rather than command and control. But guidance is limited with respect to how to accomplish such integrative aims, including how to support discussions across sectors and silos of practice in order to foster better understanding regarding the problems a policy network collectively aims to address. Motivated by observations within the discourse on water quality in the Brantas River basin in Indonesia, this research explores alternative concepts and problem structures regarding river health via Q methodology. Q methodology, an approach that uses factor analysis to explore human subjectivity, is applied to explore conceptualizations of water quality and the structures of the “water quality problem” in the Brantas. The results show that different groups of perspectives emerge regarding the concept itself, as well as characterization of the current condition of the Brantas. Surprisingly, these variant perspectives do not follow oft-cited government-business-civil society divisions. Moreover, the emergent perspectives demonstrate which aspects of the policy problem are consistent and which are contested, suggesting several starting points for early collaboration and several areas that require further research and facilitated deliberation. The results also offer participants in the collaborative network greater appreciation of the various perspectives and definitions in use, within and across organizations, when discussing water quality.","","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:5b2f3ffe-7823-4d56-b3ff-23aea0c298b2","http://resolver.tudelft.nl/uuid:5b2f3ffe-7823-4d56-b3ff-23aea0c298b2","Coordination and Optimization Control Framework for Vessels Platooning in Inland Waterborne Transportation System","Tao, W. (TU Delft Transport Engineering and Logistics; Wuhan University of Technology); Zhu, Man (Wuhan University of Technology); Chen, Shengyong (Tianjin University of Technology); Cheng, Xu (Smart Innovation Norway); Wen, Yuanqiao (Wuhan University of Technology); Zhang, Weidong (Shanghai Jiao Tong University); Negenborn, R.R. (TU Delft Transport Engineering and Logistics); Pang, Y. (TU Delft Transport Engineering and Logistics)","","2023","Vessels sailing in a single platoon could reduce resistance from the perspective of the whole platoon and the individual vessel, and contribute to improving energy benefits. Moreover, transportation energy costs and traffic efficiency are essential indicators for measuring waterborne transportation systems. We attempt to minimize transportation energy costs by coordinating platoon formation using a distributed framework of controllers. A large-scale coordinated vessel platooning program is proposed to minimize transportation energy costs and optimize traffic efficiency while guaranteeing safety. The control framework covers routing, energy consumption-dependent cooperative platooning decision and speed optimization based on graph search algorithm, cluster analysis, optimal control approach and model predictive control. Firstly, a local scheduling strategy combined with the leader vessel selection algorithm is adopted. Furthermore, we used cluster analysis to create a series of mergeable vessel platooning sets. Then, we used the mathematical planning method and a two-step hybrid optimal control approach to calculate the improvement and optimization of each vessel platoon's path and speed. Finally, the scalability of the scheduling strategy is elucidated. In a simulation of large scale inland waterborne network, savings surpassed 3.5% when six hundreds vessels participated in the system. These simulation results reveal that the scheduling strategy coordinating vessels into vessel platooning, which improves transportation efficiency as well as descends cost, comparing to a fixed origin route in the waterway network.","cooperative control; Costs; Energy consumption; energy consumption; Merging; Optimization; Planning; Safety; scheduling scheme; Transportation; Vessel platooning; waterborne transportation system","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-11","","","Transport Engineering and Logistics","","",""
"uuid:c898a66e-b760-4528-aaa5-e82212694e09","http://resolver.tudelft.nl/uuid:c898a66e-b760-4528-aaa5-e82212694e09","Influence of fiber orientation on the mechanical responses of engineering cementitious composite (ECC) under various loading conditions","Tawfek, Abdullah M. (Shandong University; Sana'a University); Ge, Zhi (Shandong University); Yuan, Huaqiang (Shandong University); Zhang, Ning (Tsinghua University); Zhang, Hongzhi (Shandong University); Ling, Yifeng (Shandong University); Guan, Yanhua (Shandong University); Šavija, B. (TU Delft Materials and Environment)","","2023","The mechanical performance of engineered cementitious composite (ECC) depends greatly on fiber orientation and distribution. In this paper, the effect of fiber orientation on ECC's mechanical properties was investigated using two different casting methods: a flow-induced casting was used to enhance the fiber orientation within ECC mixture and compared with the conventional casting. The fiber orientation was quantified using scanning electron microscope (SEM) and image processing. Mechanical tests on the specimens with various fiber orientations were performed. The failure processes of ECC specimens under compression and tensile tests were analyzed using digital image correlation (DIC) technique. The proposed flow-induced casting enhanced the fiber alignment in the flow direction. Consequently, ECC's mechanical properties were significantly improved with more finer cracks under uniaxial loading. In conclusion, the proposed flow-induced casting can be adopted as an effective approach to improve fiber bridging efficiency in ECC.","Engineering cementitious composite; Fiber orientation; Mechanical behavior; Digital image correlation; Crack width","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Materials and Environment","","",""
"uuid:22efc57b-9411-4b75-b2b0-274858635660","http://resolver.tudelft.nl/uuid:22efc57b-9411-4b75-b2b0-274858635660","How Gaps are Created during Anticipation of Lane Changes","Chen, Kequan (Southeast University); Knoop, V.L. (TU Delft Transport and Planning); Liu, Pan (Southeast University); Li, Zhibin (Southeast University); Wang, Yuxuan (Southeast University)","","2023","The pre-insertion process called anticipation is an essential component of a lane-changing manoeuvre. There is little empirical research regarding the impact of anticipation. Thus, this paper aims to explore the behaviour of the new follower (NF) in the target lane when it encounters anticipation by using new trajectory datasets. The changing magnitude of the reaction pattern is proposed to identify the NF’s behaviour. We find that the anticipation significantly affects the NF’s movement in terms of gap creation and speed reduction. Then, we conduct a detailed analysis of critical variables to reveal their relationship with the NF’s behaviour. Following this, we develop binary logistic models to predict the NF’s behaviour, resulting in a good performance. It also suggests that the NF’s behaviour is highly related to the anticipation-related variables. The transferability test results show that this model can be directly used in different locations and times with satisfactory accuracy.","Anticipation behavilour; lane-changing impact; car-following behaviour; microscopic trajectory data","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Transport and Planning","","",""
"uuid:5d1401ab-b41d-4433-9f9d-16ab6bf9515b","http://resolver.tudelft.nl/uuid:5d1401ab-b41d-4433-9f9d-16ab6bf9515b","Simplex-based Proximal Multicategory Support Vector Machine","Fu, Sheng (National University of Singapore); Chen, P. (TU Delft Statistics); Ye, Zhisheng (National University of Singapore)","","2023","The multicategory support vector machine (MSVM) has been widely used for multicategory classification. Despite its widespread popularity, regular MSVM cannot provide direct probabilistic results and suffers from excessive computational cost, as it is formulated on the hinge loss function and it solves a sum-to-zero constrained quadratic programming problem. In this study, we propose a general refinement of regular MSVM, termed as the simplex-based proximal MSVM (SPMSVM). Our SPMSVM uses a novel family of squared error loss functions in place of the hinge loss and it removes the explicit sum-to-zero constraint by the simplex structure. Consequently, the SPMSVM only requires solving an unconstrained linear system, leading to closed-form solutions. In addition, the SPMSVM can be cast into a weighted regression problem so that it is scalable for large-scale applications. Moreover, the SPMSVM naturally yields an estimate of the conditional category probability, which is more informative than regular MSVM. Theoretically, the SPMSVM is shown to include many existing MSVMs as its special cases, and its asymptotic and finite-sample statistical properties are well established. Simulations and real examples show that the proposed SPMSVM is a stable, scalable and competitive classifier.","Category probability; fisher consistency; kernel learning; multicategory classification; universal consistency","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-06","","","Statistics","","",""
"uuid:9b7805bf-78db-479d-a2fc-5b59b2508ce2","http://resolver.tudelft.nl/uuid:9b7805bf-78db-479d-a2fc-5b59b2508ce2","Extracting small time-lapse traveltime changes in a reservoir using primaries and internal multiples after Marchenko-based target zone isolation","van IJsseldijk, J.E. (TU Delft Applied Geophysics and Petrophysics); van der Neut, J.R. (TU Delft ImPhys/Medical Imaging); Thorbecke, J.W. (TU Delft Applied Geophysics and Petrophysics); Wapenaar, C.P.A. (TU Delft Applied Geophysics and Petrophysics)","","2023","Geophysical monitoring of subsurface reservoirs relies on detecting small changes in the seismic response between a baseline and monitor study. However, internal multiples, related to the over- and underburden, can obstruct the view of the target response, hence complicating the time-lapse analysis. To retrieve a response that is free from the over- and underburden effects, the data-driven Marchenko method is used. This method effectively isolates the target response, which can then be used to extract more precise time-lapse changes. In addition, the method also reveals target-related multiples that probe the reservoir more than once, which further defines the changes in the reservoir. To verify the effectiveness of the method, a numerical example is constructed. This test finds that, when using the isolated target response, the observed time differences resemble the expected time differences in the reservoir. Moreover, the results obtained with target-related multiples also benefit from the Marchenko-based isolation of the reservoir. It is, therefore, concluded that this method has the potential to observe dynamic changes in the subsurface with increased accuracy.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-27","","","Applied Geophysics and Petrophysics","","",""
"uuid:689b18b0-7fe7-49d2-aa2f-e05f77ffcf2f","http://resolver.tudelft.nl/uuid:689b18b0-7fe7-49d2-aa2f-e05f77ffcf2f","Size-modified Poisson–Nernst–Planck approach for modeling a local electrode environment in CO2 electrolysis†","Butt, E.N. (TU Delft Complex Fluid Processing); Padding, J.T. (TU Delft Complex Fluid Processing); Hartkamp, Remco (TU Delft Complex Fluid Processing)","","2023","Electrochemical reduction of CO2 heavily depends on the reaction conditions found near the electrode surface. These local conditions are affected by phenomena such as electric double layer formation and steric effects of the solution species, which in turn impact the passage of CO2 molecules to the catalytic surface. Most models for CO2 reduction ignore these effects, leading to an incomplete understanding of the local electrode environment. In this work, we present a modeling approach consisting of a set of size-modified Poisson–Nernst–Planck equations and the Frumkin interpretation of Tafel kinetics. We introduce a modification to the steric effects inside the transport equations which results in more realistic concentration profiles. We also show how the modification lends the model numerical stability without adopting any separate stabilization technique. The model can replicate experimental current densities and faradaic efficiencies till −1.5 vs. SHE/V of applied electrode potential. We also show the utility of this approach for systems operating at elevated CO2 pressures. Using Frumkin-corrected kinetics gels well with the theoretical understanding of the double layer. Hence, this work provides a sound mechanistic understanding of the CO2 reduction process, from which new insights on key performance controlling parameters can be obtained.","","en","journal article","","","","","","","","","","","Complex Fluid Processing","","",""
"uuid:b9b4dd72-d292-4950-a747-5c0ba2d69a4d","http://resolver.tudelft.nl/uuid:b9b4dd72-d292-4950-a747-5c0ba2d69a4d","Foul Air: Aldo van Eyck on Postmodern Architecture","Campos Uribe, A. (TU Delft Space & Type)","Sotos, Miguel (editor)","2023","Introduction to book","","en","book chapter","Calmo Editions","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Space & Type","","",""
"uuid:66921a78-4fd4-4f7c-b9a6-05cddfd7ae04","http://resolver.tudelft.nl/uuid:66921a78-4fd4-4f7c-b9a6-05cddfd7ae04","Procedural Generation of Narrative Worlds","Balint, J.T. (TU Delft Computer Graphics and Visualisation; Stonehill College); Bidarra, Rafael (TU Delft Computer Graphics and Visualisation)","","2023","A narrative world typically consists of several interrelated locations that, all together, fully support enacting a given story. For this, each location in a narrative world features all the objects as required there by the narrative, as well as a variety of other objects that plausibly describe or decorate the location. Procedural generation of narrative worlds poses many challenges, including that, First, it cannot lean only on domain knowledge (e.g., patterns of objects commonly found in typical locations), and, second, it involves a temporal dimension, which introduces dynamic fluctuations of objects between locations. In this article, we present a novel approach for the procedural generation of narrative worlds, following two stages: first, a narrative world mold is generated (only once) for a given story; second, the narrative world mold is used to create one (or more) possible narrative worlds for that story. For each story, its narrative world mold integrates spatio-temporal descriptions of its locations with the object semantics and the domain knowledge previously acquired on typical locations. We describe how a narrative world mold can be generated, as well as how it can be fed to existing procedural generation methods, to create a variety of narrative worlds that fit that narrative. We evaluate our own implementation of this approach, performing a number of experiments that illustrate both the expressive power of narrative world molds and their ability to steer the generation of narrative worlds.","Computational Narratives; Fluctuations; Games; Layout; Narrative World; Narrative World Mold; Natural languages; Pipelines; Procedural Content Generation; Semantics; Visualization","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-16","","","Computer Graphics and Visualisation","","",""
"uuid:72927964-1336-4d7e-bfcb-7f3710be1249","http://resolver.tudelft.nl/uuid:72927964-1336-4d7e-bfcb-7f3710be1249","The effect of pH on the corrosion protection of aluminum alloys in lithium-carbonate-containing NaCl solutions","Michailidou, E. (AkzoNobel); Visser, P. (AkzoNobel); Mol, J.M.C. (TU Delft Team Arjan Mol); Kosari, A. (TU Delft Team Yaiza Gonzalez Garcia); Terryn, H.A. (Vrije Universiteit Brussel); Baert, K. (Vrije Universiteit Brussel); Gonzalez Garcia, Y. (TU Delft Team Yaiza Gonzalez Garcia)","","2023","The corrosion inhibiting effect of lithium carbonate has been studied over the pH range relevant for atmospheric corrosion conditions at the polymer/metal interface. It is demonstrated that lithium carbonate offers optimum corrosion protection under moderately alkaline conditions attributed to the formation of a protective layer identified as aluminum-lithium layered double hydroxide. It is proposed that an active-to-passive transition occurs in the presence of lithium carbonate under moderately alkaline conditions where anodic dissolution of the aluminum matrix is an important step prior to the formation of the protective layer. After prolonged exposure, the protective layer is uniformly formed on the surface of AA2024-T3 and lithium containing AA2198-T8 covering both the matrix and active intermetallic particles thus hindering further corrosion reactions.","Aluminium; Lithium carbonate; Potentiodynamic polarisation; Raman Spectroscopy; SEM; Passivation","en","journal article","","","","","","","","","","","Team Arjan Mol","","",""
"uuid:60e66ed6-7e2c-48b8-876a-08c09fce3b6e","http://resolver.tudelft.nl/uuid:60e66ed6-7e2c-48b8-876a-08c09fce3b6e","Developing health indicators and RUL prognostics for systems with few failure instances and varying operating conditions using a LSTM autoencoder","de Pater, I.I. (TU Delft Air Transport & Operations); Mitici, M.A. (TU Delft Air Transport & Operations; Universiteit Utrecht)","","2023","Most Remaining Useful Life (RUL) prognostics are obtained using supervised learning models trained with many labelled data samples (i.e., the true RUL is known). In aviation, however, aircraft systems are often preventively replaced before failure. There are thus very few labelled data samples available. We therefore propose a Long Short-Term Memory (LSTM) autoencoder with attention to develop health indicators for an aircraft system instead. This autoencoder is trained with unlabelled data samples (i.e., the true RUL is unknown). Since aircraft fly under various operating conditions (varying altitude, speed, etc.), these conditions are also integrated in the autoencoder. We show that the consideration of the operating conditions leads to robust health indicators and improves significantly the monotonicity, trendability and prognosability of these indicators. These health indicators are further used to predict the RUL of the aircraft system using a similarity-based matching approach. We illustrate our approach for turbofan engines. We show that the consideration of the operating conditions improves the monotonicity of the health indicators by 97%. Also, our approach leads to accurate RUL estimates with a Root Mean Square Error (RMSE) of 2.67 flights only. Moreover, a 19% reduction in the RMSE is obtained using our approach in comparison to existing supervised learning models.","Attention; Autoencoder; Health indicators; Remaining Useful Life prognostics; Unlabelled data samples; Varying operating conditions","en","journal article","","","","","","","","","","","Air Transport & Operations","","",""
"uuid:29808a6b-f2a6-4462-9de0-78b59c6065cc","http://resolver.tudelft.nl/uuid:29808a6b-f2a6-4462-9de0-78b59c6065cc","Detecting darting out pedestrians with occlusion aware sensor fusion of radar and stereo camera","Palffy, A. (TU Delft Intelligent Vehicles); Kooij, J.F.P. (TU Delft Intelligent Vehicles); Gavrila, D. (TU Delft Intelligent Vehicles)","","2023","Early and accurate detection of crossing pedestrians is crucial in automated driving in order to perform timely emergency manoeuvres. However, this is a difficult task in urban scenarios where pedestrians are often occluded (not visible) behind objects, e.g., other parked vehicles. We propose an occlusion aware fusion of stereo camera and radar sensors to address scenarios with crossing pedestrians behind such parked vehicles. Our proposed method adapts both the expected rate and properties of detections in different areas according to the visibility of the sensors. In our experiments on a real-world dataset, we show that the proposed occlusion aware fusion of radar and stereo camera detects the crossing pedestrians on average 0.26 seconds earlier than using the camera alone, and 0.15 seconds earlier than fusing the sensors without occlusion information. Our dataset containing 501 relevant recordings of pedestrians behind vehicles will be publicly available on our website for non-commercial, scientific use.","Automobiles; Cameras; Intelligent vehicles; Laser radar; Radar; Radar detection; Radar tracking","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-08","","","Intelligent Vehicles","","",""
"uuid:f7443cf2-593f-43ea-8a75-7dd4e38572e8","http://resolver.tudelft.nl/uuid:f7443cf2-593f-43ea-8a75-7dd4e38572e8","The limited regional employment benefits of XXL-logistics centres in the Netherlands","Nefs, Merten (TU Delft Spatial Planning and Strategy); van Haaren, Jeroen (Erasmus Universiteit Rotterdam); van Oort, Frank (Erasmus Universiteit Rotterdam)","","2023","In the Netherlands, a shift occurred over the last two decades from positively framed spatial-economic policies promoting the development of extra-large distribution centres (DCs) and their claimed positive employment benefits towards a critical stance questioning the benefits of such policies, fuelled by the connected debate regarding the extensive land use and environmental impacts of DCs. In this paper, we unravel the assumed regional employment benefits of DCs into (i) direct employment benefits within the DCs, (ii) indirect employment benefits in the supply chain, and (iii) employment benefits from structural changes in regional production systems around DCs. We analyse these benefits using detailed business microdata and logistics-building data over a 20-year timeframe in the East-Southeast freight corridor (from Rotterdam to Germany). In the corridor, logistics footprint has doubled, and average DC size has tripled in this timeframe. We demonstrate that, although part of the hypothesised benefits can be spatially identified, employment benefits of new DCs decrease over time, due in part to automation and use of migrant labour. The expected co-agglomeration of manufacturing near DCs does not occur structurally, and although DC-favouring regions have successfully established competitive logistics business ecosystems, they can be vulnerable to a spatial-economic lock-in, relying primarily on the logistics sector. The spatial-economic policy narratives framing DCs as employment catalysts are thus of limited validity.","Logistics employment; XXL distribution center; Hinterland region; Spatial policy; Employment; Corridor","en","journal article","","","","","","","","","","","Spatial Planning and Strategy","","",""
"uuid:50100575-2534-4485-865d-2b77297325bb","http://resolver.tudelft.nl/uuid:50100575-2534-4485-865d-2b77297325bb","Assessment of the Croatian Open Data Portal Using User-Oriented Metrics","Miletić, Andrea (University of Zagreb); Kuveždić Divjak, Ana (University of Zagreb); Welle Donker, F.M. (TU Delft Urban Data Science)","","2023","Open data portals are web services that serve as a central access point for all government-published open data and can exist at local, regional, national, and international levels. They are an important element of most open data initiatives that have enabled a large amount of government data to be widely available. However, data quantity and quality are not the only aspects that should be considered when publishing data. To improve the reusability of data and to achieve greater impact and benefits from open data, it is important to consider user-oriented aspects of the portal management, discovery, and use of data (e.g., organizing the portal in a user-centric way, providing accurate metadata, using a standardized and open data format, etc.). In this paper, we adopted the metrics proposed by the European Commission to assess compliance of the Croatian Open Data Portal with 10 user-oriented principles that open data portals should implement in terms of sustainability and added value. While the results show the government’s efforts in publishing data, some aspects such as better collaboration with data providers and other data portals, offering different visualization tools, etc. need to be improved to achieve active use and impact.","Open data; open data portal; User Experience; data reuse; assessment","en","journal article","","","","","","","","","","","Urban Data Science","","",""
"uuid:8f19f4d5-90f1-4fe7-847a-745bc35dfb28","http://resolver.tudelft.nl/uuid:8f19f4d5-90f1-4fe7-847a-745bc35dfb28","Study on Sintering Mechanism and Mechanical Properties of Nano-Cu based on Molecular Dynamics Simulation","Qian, Cheng (Fudan University); Hu, D. (TU Delft Electronic Components, Technology and Materials); Liu, Xu (Fudan University); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Fudan University); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University; Research Institute of Fudan University, Ningbo)","","2023","Nano-metal materials sintering has received increasing attention in recent years for its promising performance in the wide bandgap semiconductor packaging. In this paper, molecular dynamics (MD) simulation method were applied to simulate the nano-Cu sintering mechanism and the subsequent mechanical behavior. Hybrid sintering, comprising nanosphere (NS) and nanoflake (NF), was carried out at temperatures ranging from 500K to 650K. Furthermore, shearing simulations were conducted with constant strain rates on the sintered structure at multiple temperatures, and subsequently correlated the extracted mechanical properties with the sintering behavior. The results indicated that the mechanical properties of nano-Cu sintered structure were improved by tuning material composition and increasing the sintering temperature. We established a relationship between the sintered microstructure and mechanical response, the shear modulus and shear strength of the sintered structure with NF particles increased to 41.2GPa and 3.51GPa respectively. It offers valuable insights into the preparation phase of nano Cu paste for sintering technology.","Nano Cu sintering; molecular dynamics simulation; Nanoflake; Shearing simulation","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-17","","","Electronic Components, Technology and Materials","","",""
"uuid:69d8a57d-f4ea-4ac5-9a0c-3fcb8290650f","http://resolver.tudelft.nl/uuid:69d8a57d-f4ea-4ac5-9a0c-3fcb8290650f","On McEliece-Type Cryptosystems Using Self-Dual Codes With Large Minimum Weight","Mariot, L. (University of Twente); Picek, S. (TU Delft Cyber Security; Radboud Universiteit Nijmegen); R Yorgova, R.A. (TU Delft Cyber Security)","","2023","One of the Round 3 Finalists in the NIST post-quantum cryptography call is the Classic McEliece cryptosystem. Although it is one of the most secure cryptosystems, the large size of its public key remains a practical limitation. In this work, we propose a McEliece-type cryptosystem using large minimum distance error-correcting codes derived from self-dual codes. To the best of our knowledge, such codes have not been implemented in a code-based cryptosystem until now. Moreover, we modify the decryption step of the system by introducing a decryption algorithm based on two private keys. We determine the parameters of binary codes with large minimum distance, which, if implemented into a McEliece-type cryptosystem, would provide a security level respectively of 80, 128, and 256 bits. For the 80-bit security case, we construct a large minimum distance self-dual code of length 1064, and use it to derive a random punctured code to be used in the corresponding McEliece-type cryptosystem. Compared to the original McEliece cryptosystem, the key size is reduced by about 38.5%, although an optimal decoding set is yet to be constructed to make the new system fully defined and usable.","Cryptograph; Security; Decoding; Encryption; Codecs; Linear codes; Generators; Codes; Post-quantum cryptography; McEliece cryptosystem; self-dual codes","en","journal article","","","","","","","","","","","Cyber Security","","",""
"uuid:0a1ae4ac-11e8-4e29-ab51-294eb0cecfee","http://resolver.tudelft.nl/uuid:0a1ae4ac-11e8-4e29-ab51-294eb0cecfee","Temperature Field Simulation and optimization for Horizontal 6-inch 4H-SiC Epitaxial CVD Reactor by Induction Heating","Tang, Zhuorui (Fudan University; Jihua Laboratory); Tian, Jing (Fudan University); Mao, Chaobin (Jihua Laboratory); Zhang, Nan (Jihua Laboratory); Huang, Jiyu (Jihua Laboratory); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University; Research Institute of Fudan University, Ningbo); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","Silicon carbide (SiC) epitaxial process is a key step in the fabrication of power devices, and the temperature field inside the reactor chamber plays an essential role in this process. In this paper, the temperature field in the horizontal chemical-vapor-deposition reactor chamber used for growing homo-epitaxial 4H-SiC material is studied using the finite-element method. A three-dimensional time-dependency model is built for the accuracy of simulation, and the effects of 11 relative coil locations (−50, −28, −18, −10, −4,0,4,10,18,28, and 50 mm) on heating efficiency and temperature uniformity of the substrate are analyzed. Results indicate that the suitable relative location between the center of coil and that of the substrate to achieve optimum temperature uniformity is −4 mm, and 18 mm to obtain the highest heating efficiency. To increase the heating efficiency and temperature uniformity of the substrate, the structure of the reactor was analyzed and optimized. It is observed that both heating efficiency and temperature uniformity can be effectively improved by adding a graphite pillar inside the down susceptor.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-17","","","Electronic Components, Technology and Materials","","",""
"uuid:ebf03802-4508-4d52-97f5-9cd586dab35d","http://resolver.tudelft.nl/uuid:ebf03802-4508-4d52-97f5-9cd586dab35d","Adaptive Optimal Flight Control for a Fixed-wing Unmanned Aerial Vehicle using Incremental Value Iteration","Li, Y. (TU Delft Control & Simulation); van Kampen, E. (TU Delft Control & Simulation)","","2023","This paper deals with the design of an adaptive optimal controller for a fixed-wing Unmanned Aerial Vehicle(UAV) using an incremental value iteration algorithm. The incremental model is firstly introduced to linearize a nonlinear system. The recursive least squares(RLS) identification algorithm is then used to identify the incremental model. Based on incremental control, the incremental value iteration algorithm is developed for a nonlinear optimal control problem. Moreover, this algorithm is applied to longitudinal attitude tracking of a fixed-wing unmanned aerial vehicle. Simulation results show that the designed adaptive flight controller is robust to variations in initial value of the angle of attack.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-23","","","Control & Simulation","","",""
"uuid:021b6617-c9bd-4b61-9a93-18f43294fe2e","http://resolver.tudelft.nl/uuid:021b6617-c9bd-4b61-9a93-18f43294fe2e","Federated Learning for Online Resource Allocation in Mobile Edge Computing: A Deep Reinforcement Learning Approach","Zheng, Jingjing (CISTER Research Centre); Li, Kai (CISTER Research Centre); Mhaisen, N. (TU Delft Networked Systems); Ni, Wei (CSIRO: Commonwealth Scientific and Industrial Research); Tovar, Eduardo (CISTER Research Centre); Guizani, Mohsen (Mohamed Bin Zayed University of Artificial Intelligence)","","2023","Federated learning (FL) is increasingly considered to circumvent the disclosure of private data in mobile edge computing (MEC) systems. Training with large data can enhance FL learning accuracy, which is associated with non-negligible energy use. Scheduled edge devices with small data save energy but decrease FL learning accuracy due to a reduction in energy consumption. A trade-off between the energy consumption of edge devices and the learning accuracy of FL is formulated in this proposed work. The FL-enabled twin-delayed deep deterministic policy gradient (FL-TD3) framework is proposed as a solution to the formulated problem because its state and action spaces are large in a continuous domain. This framework provides the maximum accuracy ratio of FL divided by the device’s energy consumption. A comparison of the numerical results with the state-of-the-art demonstrates that the ratio has been improved significantly.","Federated learning; mobile edge computing; online resource allocation; deep reinforcement learning","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-12","","","Networked Systems","","",""
"uuid:2d2b8171-ddbd-4f22-9f54-a845330b35e6","http://resolver.tudelft.nl/uuid:2d2b8171-ddbd-4f22-9f54-a845330b35e6","Opportunity Charging of Electric Buses Directly from a DC Metro Catenary and Without Storage","Diab, I. (TU Delft DC systems, Energy conversion & Storage); Chandra Mouli, G.R. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2023","A typical approach to harvesting the excess braking energy of a railway car has been to use a storage system. However, research momentum has been growing in the direction of integrating smart loads like EV chargers into traction networks, and this can offer a more efficient and economical solution to the harvesting of braking energy. This paper examines the case study of a segment of the Amsterdam metro grid with two 350kW integrated DC opportunity chargers for charging electric buses from the traction grid. Of the charging episodes investigated, none of them broke the minimum line voltage requirements of the grid. They managed to greatly offset any additional line losses that they had caused by a successful recuperation of up to 1212kWh per day, depending on the charging duration. In all four schemes, about 22.8% of the picked-up charging energy of the buses per day came from harvesting otherwise-wasted metro braking energy.","Electric Buses; Opportunity Charging; Smart Grids; Storage; Transport","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-11","","","DC systems, Energy conversion & Storage","","",""
"uuid:5e4ed2bd-3919-4140-9239-7b2bdbb8c5ef","http://resolver.tudelft.nl/uuid:5e4ed2bd-3919-4140-9239-7b2bdbb8c5ef","New thermosphere neutral mass density and crosswind datasets from CHAMP, GRACE, and GRACE-FO","Siemes, C. (TU Delft Astrodynamics & Space Missions); Borries, Claudia (Institute for Solar-Terrestrial Physics); Bruinsma, S. (CNES Centre National d'Etudes Spatiales); Fernandez-Gomez, I. (Institute for Solar-Terrestrial Physics); Hladczuk, N.A. (TU Delft Astrodynamics & Space Missions); van den IJssel, J.A.A. (TU Delft Astrodynamics & Space Missions); Kodikara, T. (Institute for Solar-Terrestrial Physics); Vielberg, K. (Universität Bonn); Visser, P.N.A.M. (TU Delft Space Engineering)","","2023","We present new neutral mass density and crosswind observations for the CHAMP, GRACE, and GRACE-FO missions, filling the last gaps in our database of accelerometer-derived thermosphere observations. For consistency, we processed the data over the entire lifetime of these missions, noting that the results for GRACE in 2011- 2017 and GRACE-FO are entirely new. All accelerometer data are newly calibrated. We modeled the temperature-induced bias variations for the GRACE accelerometer data to counter the detrimental effects of the accelerometer thermal control deactivation in April 2011. Further, we developed a new radiation pressure model, which uses ray tracing to account for shadowing and multiple reflections and calculates the satellitea's thermal emissions based on the illumination history. The advances in calibration and radiation pressure modeling are essential when the radiation pressure acceleration is significant compared to the aerodynamic one above 450 km altitude during low solar activity, where the GRACE and GRACE-FO satellites spent a considerable fraction of their mission lifetime. The mean of the new density observations changes only marginally, but their standard deviation shows a substantial reduction compared to thermosphere models, up to 15% for GRACE in 2009. The mean and standard deviation of the new GRACE-FO density observations are in good agreement with the GRACE observations. The GRACE and CHAMP crosswind observations agree well with the physics-based TIE-GCM winds, particularly the polar wind patterns. The mean observed crosswind is a few tens of m·s-1 larger than the model one, which we attribute primarily to the crosswind errors being positive by the definition of the retrieval algorithm. The correlation between observed and model crosswind is about 60%, except for GRACE in 2004- 2011 when the signal was too small to retrieve crosswinds reliably.","","en","journal article","","","","","","","","","","Space Engineering","Astrodynamics & Space Missions","","",""
"uuid:e9fba20c-c71c-48c8-9867-9248eda9c06c","http://resolver.tudelft.nl/uuid:e9fba20c-c71c-48c8-9867-9248eda9c06c","DroneVLC: Exploiting Drones and VLC to Gather Data from Batteryless Sensors","de Groot, Lucan (Student TU Delft); Xu, M. (TU Delft Networked Systems); Zuniga, Marco (TU Delft Networked Systems)","","2023","We explore a new alternative for drones to gather information from sensors. Instead of using the traditional radio-frequency spectrum, whose broadcast nature makes it more difficult to poll specific objects, we utilize the light spectrum. In our system, the drone carries a light, and flies to an area that it is interested in polling. Only the sensor (tag) under the coverage of the light sends data back by backscattering the impinging light waves. Enabling this system poses two challenges. First, a reliable modulation method with light is required. The method must overcome noise dynamics introduced by the drone (mechanical oscillations), the object (backscattering effects) and the environment (interference from ambient light). Second, to facilitate the deployment of tags in pervasive applications, the design of the tag should be battery-less and have a small surface area. These requirements limit the amount of power available for reception, transmission and sensing, since the energy harvested by solar cells is proportional to their surface area. Regarding the first challenge, we show that the amplitude-based modulation methods used in state-of-the-art studies do not work in our scenario, and investigate instead a frequency-based approach. For the second challenge, we optimize the computation, reception and transmission of the tag to create a battery-less design that operates with frequency-modulated signals generated from light. We build a prototype for the drone and the tag, and test them under different lighting scenarios: dark, indoors, and outdoors with sunlight. The results show that, under standard indoor lighting, our system can attain a polling range of 1.1 m with a data rate of 120 bps, while the tag operates with small solar cells and consumes less than 1 mW.","Visible Light Communication; Backscatter; Bat-teryless; Drones; UAV; Dynamic Channel","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-18","","","Networked Systems","","",""
"uuid:dafa329e-d89a-478f-90fc-ffcd86dcd134","http://resolver.tudelft.nl/uuid:dafa329e-d89a-478f-90fc-ffcd86dcd134","Microstructure Analysis Based on 3D reconstruction Model and Transient Thermal Impedance Measurement of Resin-reinforced Sintered Ag layer for High power RF device","Hu, X. (TU Delft Electronic Components, Technology and Materials; Ampleon); Martin, H.A. (TU Delft Electronic Components, Technology and Materials; Chip Integration Technology Center); Poelma, René H. (TU Delft Electronic Components, Technology and Materials); Huang, J.L. (Ampleon); Rijckevorsel, H. (Ampleon); Scholten, H. (Ampleon); Smits, E.C.P. (Chip Integration Technology Center); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","Resin-reinforced silver (Ag) sintering material is an effective and highly reliable solution for power electronics packaging. The hybrid material’s process parameters strongly influence its microstructure and pose a significant challenge in estimating its effective properties as a thin interconnect layer. This research demonstrates a novel 3D reconstruction methodology for the microstructural investigation of the resin-reinforced Ag sintering material from OverMolded Plastic (OMP) packages. Based on the reconstructed models with different sintering parameters (temperature and time), the fraction of Ag and Resin volume distribution, the connectivity of silver particles, and the tortuosity factors were estimated. A 99% connectivity of sintered Ag particles was achieved with various sintering conditions, such as 200°C for 2 hours, 200°C for 4 hours, and 250°C for 2 hours. However, coarsening of Ag particles was promoted when sintered at 250°C. Increasing the sintering time at 200°C had insignificant changes. The estimated tortuosity factor also indicated that sintering at 250°C provides the shortest heat transport path between the semiconductor die and the package substrate. In order to quantify the microstructural findings, the OMP packages’ thermal performance with different sintering conditions (temperature, time, and interconnect thickness) was experimentally assessed. Although the experimental measurements were less sensitive to the effective interface thermal resistances’, the measurement results show a good correlation with the microstructural analysis. Sintering the Resin-reinforced Ag sintering material at higher temperatures (250°C) seems to improve the package thermal performance, and increasing the sintering time at 200°C has a negligible effect.","Hybrid Ag Sintering; Pressureless Sintering; 3D Reconstruction; Microstructure Analysis; Tortuosity; Transient Thermal Impedance; LDMOS Body Diode Measurement","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-17","","","Electronic Components, Technology and Materials","","",""
"uuid:fb74f355-e21e-4385-b1a1-d72a3bd24f94","http://resolver.tudelft.nl/uuid:fb74f355-e21e-4385-b1a1-d72a3bd24f94","Hydrolysis Mechanism Analysis of (Ca, Sr)AlSiN₃:Eu²⁺ Red Phosphor Aged Under Pressure Cooker Test and 85°C&85%RH Test: Kinetics Modeling and First-principles Calculation","Wen, Minzhen (Fudan University); Guo, Baotong (Fudan University); Chen, Shanghuan (Hohai University); Hu, X. (TU Delft Electronic Components, Technology and Materials); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University; Chinese Academy of Sciences; Fudan Zhangjiang Institute,)","","2023","The (Ca, Sr) AlSiN₃:Eu²⁺(CSASN:Eu) red phosphor is widely used to improve color rendering of high-power phosphor-converted lighting diode (pc-WLED), but it is always unstable under high temperature and high humidity environments. Therefore, the studies on the temperature and humidity resistance of red phosphors and their aging mechanism have become essential to evaluate its reliability in harsh applications. In this paper, the pressure cooker test (PCT) and 85°C&85% RH aging test were carried out for the CSASN:Eu red phosphors. And, its hydrolysis reaction-driven degradation mechanism was simulated and analyzed based on first-principle calculation, in which the optimized adsorption of simplified CaAlSiN3(CASN) and H2 O was simulated based on Density Function Theory (DFT) and the specific aging process was analyzed by the charge density difference and ab initio molecular dynamics (AIMD). The experimental results showed that the photoluminescence performance of CSASN:Eu red phosphor dropped gradually and finally disappeared under PCT aging, and its temperature-dependent degradation kinetics followed the Arrhenius model well. Meanwhile, the simulation results indicate that the CASN, reacted with H2 O when the H atoms had a tendency to approach N atoms. Both the temperature and humidity could accelerate the hydrolysis reaction rate.","Degradation; Resistance; Simulation; Phosphors; Humidity; Aging; Rendering (computer graphics)","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-17","","","Electronic Components, Technology and Materials","","",""
"uuid:7079b39d-3c3e-4469-897a-975309d5255d","http://resolver.tudelft.nl/uuid:7079b39d-3c3e-4469-897a-975309d5255d","Effect of Thermomigration on Electromigration in SWEAT Structures","Cui, Z. (TU Delft Electronic Components, Technology and Materials); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","This paper investigates thermomigration (TM) and electromigration (EM) in SWEAT structure. Firstly, the distribution of temperature along SWEAT structure during EM is obtained by using finite element (FE) simulation. The FE simulation results show that the temperature is almost uniformly distributed in the most region of narrow line in SWEAT structure, but temperature decreases rapidly at both sides of conductor. Accordingly, the temperature gradient in the narrow line of SWEAT structures is calculated. Then, we apply the obtained temperature and temperature gradient in the governing equation of EM in terms of atomic concentration. The numerical results show that the TM caused by temperature gradient causes the material depletion near both ends of conductor. At the same time, atoms diffuse from the middle region of conductor to both sides driven by the atomic concentration, causing the voids in middle of conductor.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-17","","","Electronic Components, Technology and Materials","","",""
"uuid:c6704def-d193-4034-8128-897567d7f00b","http://resolver.tudelft.nl/uuid:c6704def-d193-4034-8128-897567d7f00b","Statistical characterization of simulated wind ramps","Baki, B. (TU Delft Atmospheric Remote Sensing); Basu, S. (TU Delft Atmospheric Remote Sensing); Lavidas, G. (TU Delft Offshore Engineering)","","2023","Wind ramps, or rapid changes in wind speed, are a crucial aspect of atmospheric dynamics and have significant implications for various wind energy applications. For example, wind ramps tend to increase uncertainty in power output predictions. Furthermore, they also induce fatigue damage to wind turbines.
In a recent study, DeMarco and Basu (2018; Wind Energy) used long-term observational data from four geographical locations to characterize the tails of the wind ramp probability distribution functions (pdfs). They showed that the pdfs from these various sites (ranging from offshore to complex terrain) portray quasi-universal behavior. The tails of the pdfs are much heavier than the Gaussian pdf and decay faster with increasing time increments. The tail-index
statistics, computed via the so-called Hill plots, exhibited minimal height dependency up to
approximately one hundred meters above the land or sea surface level. However, wind ramp
statistics at higher altitudes at Cabauw (the Netherlands) were quite distinct.
In the present study, we investigate if state-of-the-art reanalysis datasets capture the intrinsic traits of wind ramp pdfs. Specifically, we make use of the newly released Copernicus European Regional ReAnalysis (CERRA) dataset in conjunction with the popular fifth-generation ECMWF reanalysis (ERA5) dataset. These datasets allow us to describe the characteristics of wind ramp pdfs at high altitudes (up to 500 m). Given the disparity of the spatial resolution of CERRA (~5.5 km) and ERA5 (~32 km) datasets, we are also able to demonstrate the impact of spatial resolution on simulated tail index characteristics. Lastly, the influence of natural climate patterns such as El-Nino and La-Nina on wind ramp pdfs are examined.","","en","abstract","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:4c536315-7881-47cb-92b2-d9c19e9f0a51","http://resolver.tudelft.nl/uuid:4c536315-7881-47cb-92b2-d9c19e9f0a51","Manufacturing of an In-Package Relative Humidity Sensor for Epoxy Molding Compound Packages","Sattari, R. (TU Delft Electronic Components, Technology and Materials); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","This study presents the design and fabrication of an in-package relative humidity sensor for epoxy molding compound (EMC) packages. The sensor comprises shielded interdigital electrodes (SIDE) for in-situ monitoring of humidity absorption/desorption in the package encapsulation layer. A novel approach is employed in the device fabrication to maximize the electrical field lines to pass through the EMC and enhance the sensitivity. The manufactured wafer includes 6×6mm2 dies, each containing six identical capacitive sensors with an area of 480 × 620 μ m2. SU-8 through polymer vias (TPVs) with high aspect ratio were created to locally mold the sensors by EMC. The linear capacitance change with the relative humidity level is simulated in COMSOL Multiphysics. Three designs were compared, and the calibration results show the capacitance value of 1.54 pF and 5.85 pF before and after molding, respectively. The capacitance value stays within the range of 5.85 to 5.86 pF with less than 7 aF variation under different biasing voltages, indicating the stability and robustness of the capacitance.","Electromagnetic compatibility; In-package relative humidity sensor; epoxy molding compound; shielded interdigital electrodes; encapsulation layer; electrical field lines; through polymer vias","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-17","","","Electronic Components, Technology and Materials","","",""
"uuid:fa1ec7be-2440-4e7f-9824-f0dc8c5ed984","http://resolver.tudelft.nl/uuid:fa1ec7be-2440-4e7f-9824-f0dc8c5ed984","Micro-cantilever Bending Test of Sintered Cu nanoparticles for Power Electronic Devices","Du, L. (TU Delft Electronic Components, Technology and Materials); Hu, D. (TU Delft Electronic Components, Technology and Materials); Poelm, René (Nexperia); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials; Signify); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","The application of microporous sintered copper (Cu) as a bonding material to replace conventional die-attach materials in power electronic devices has attracted considerable interest. Many previous studies have focused on the effect of processing parameters (temperature, time, pressure) on the microstructure evolution of sintered Cu. However, there are only a few studies with regard to the mechanical properties of sintered Cu. As the die-attach layer undergoes thermal and mechanical stress during its application, it is essential to investigate the micro-scale mechanical properties of sintered Cu. Fracture toughness is a measure of the resistance of a material to crack propagation under predominantly linear-elastic conditions, which is an essential parameter for predicting fracture failure. As cracks and defects are difficult to avoid during fabrication and application processing for sintered Cu, which will definitely cause a significant effect on micromechanical properties. Thus, it is essential to reveal the effect of microstructure on fracture toughess of sintered Cu nanoparticles.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-17","","","Electronic Components, Technology and Materials","","",""
"uuid:b13bcd40-1a6e-4308-ad29-b616d4617813","http://resolver.tudelft.nl/uuid:b13bcd40-1a6e-4308-ad29-b616d4617813","Sizing of Hybrid Power Systems for Off-Grid Applications Using Airborne Wind Energy","Reuchlin, S.P.A. (Student TU Delft); Joshi, R. (TU Delft Wind Energy); Schmehl, R. (TU Delft Wind Energy)","","2023","The majority of remote locations not connected to the main electricity grid rely on diesel generators to provide electrical power. High fuel transportation costs and significant carbon emissions have motivated the development and installation of hybrid power systems using renewable energy such these locations. Because wind and solar energy is intermittent, such sources are usually combined with energy storage for a more stable power supply. This paper presents a modelling and sizing framework for off-grid hybrid power systems using airborne wind energy, solar PV, batteries and diesel generators. The framework is based on hourly time-series data of wind resources from the ERA5 reanalysis dataset and solar resources from the National Solar Radiation Database maintained by NREL. The load data also include hourly time series generated using a combination of modelled and real-life data from the ENTSO-E platform maintained by the European Network of Transmission System Operators for Electricity. The backbone of the framework is a strategy for the sizing of hybrid power system components, which aims to minimise the levelised cost of electricity. A soft-wing ground-generation-based AWE system was modelled based on the specifications provided by Kitepower B.V. The power curve was computed by optimising the operation of the system using a quasi-steady model. The solar PV modules, battery systems and diesel generator models were based on the specifications from publicly available off-the-shelf solutions. The source code of the framework in the MATLAB environment was made available through a GitHub repository. For the representation of results, a hypothetical case study of an off-grid military training camp located in Marseille, France, was described. The results show that significant reductions in the cost of electricity were possible by shifting from purely diesel-based electricity generation to an hybrid power system comprising airborne wind energy, solar PV, batteries and diesel.","hybrid power systems; airborne wind energy; fluid-structure interaction; vortex step method; lifting line method; particle system model; membrane structures","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:d38f576a-664e-48fd-9742-99ae4bb3a118","http://resolver.tudelft.nl/uuid:d38f576a-664e-48fd-9742-99ae4bb3a118","Application-level performance of cross-layer scheduling for social VR in 5G","Du, Z. (Student TU Delft); van den Berg, J.L. (University of Twente); Dimitrovski, T. (TNO); Litjens, R. (TU Delft Network Architectures and Services; TNO)","","2023","Social VR aims at enabling people located at different places to communicate and interact with each other in a natural way. It poses extremely strong throughput and latency requirements on the underlying communication networks. This paper investigates the potential of using cross-layer design approaches for radio access scheduling in order to realize these challenging requirements in (beyond) 5G networks. In particular, we provide an in-depth simulation study of the performance/capacity gains that can be achieved by exploiting the end-to-end latency budget and/or video frame type as cross-layer information in the scheduling decisions, and show how the benefits depend on the actual social VR scenario. This study further reveals the importance of using application-level metrics such as PSNR or SSIM rather than traditional network-level metrics like the packet drop rate in the performance assessment.","Social VR; cross-layer scheduling; application-level performance; 5G","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-12","","","Network Architectures and Services","","",""
"uuid:774d3479-f226-4a64-8921-d171451e6612","http://resolver.tudelft.nl/uuid:774d3479-f226-4a64-8921-d171451e6612","Participatory Design of Participatory Systems for Sustainable Collaboration: Exploring Its Potential in Transport and Logistics","van Langen, P.H.G. (TU Delft System Engineering); Pijper, Gerdje (Van der Wal); de Vries, P. (TU Delft System Engineering); Brazier, F.M. (TU Delft System Engineering)","","2023","Challenges involving economic, environmental, and societal aspects necessitate organisations in business networks to collaborate. The scientific problem central to this paper is the difficulty of building sustainable collaborations. The research question is how to support organisations in building sustainable collaborations in their business relationships. This paper presents a new socio-technical approach to this end, i.e., PDPS (an acronym for Participatory Design of Participatory Systems) and explores its potential in a case study. PDPS is a value-based approach to the participatory design of participatory systems. Such socio-technical systems enable people working in different disciplines, departments, and organisational levels to create sustainable relationships supported by distributed information and communication technology. In a participatory system, participants gain trust, engagement, and empowerment to self-organise actions that produce results they could not have achieved alone. Following PDPS, participants collectively explore challenges in their relationship, define a joint value-based mission, and create a continuous process of self-organisation to fulfil this mission. In a case study, PDPS supported two Dutch business partners in solving recurring transport and logistics issues in retail store refurbishment projects. Turning their traditional business processes into participatory ones led to new solutions for sustainable transport and logistics, more joint business, and more profit. PDPS differs from other approaches in its involvement of all participants in a business relationship, its focus on shared values, and its capacity for creating a continuous process of self-organisation to fulfil a joint mission. This paper may support researchers, practitioners, and organisational policymakers interested in building sustainable collaborations in business networks.","business network; collaboration; complex systems; design thinking; participatory design; self-organisation; sustainability; systems thinking; value-sensitive design","en","journal article","","","","","","","","","","","System Engineering","","",""
"uuid:2295e0b9-1380-4d9c-8dfa-ed01b580c827","http://resolver.tudelft.nl/uuid:2295e0b9-1380-4d9c-8dfa-ed01b580c827","Investigating energy production and wake losses of multi-gigawatt offshore wind farms with atmospheric large-eddy simulation","Baas, Peter (Whiffle Weather Finecasting); Verzijlbergh, R.A. (TU Delft Energie and Industrie; Whiffle Weather Finecasting); van Dorp, Pim (Whiffle Weather Finecasting); Jonker, H.J.J. (TU Delft Atmospheric Remote Sensing; Whiffle Weather Finecasting)","","2023","As a consequence of the rapid growth of the globally installed offshore wind energy capacity, the size of individual wind farms is increasing. This poses a challenge to models that predict energy production. For instance, the current generation of wake models has mostly been calibrated on existing wind farms of much smaller size. This work analyzes annual energy production and wake losses for future, multi-gigawatt wind farms with atmospheric large-eddy simulation. To that end, 1 year of actual weather has been simulated for a suite of hypothetical 4 GW offshore wind farm scenarios. The scenarios differ in terms of applied turbine type, installed capacity density, and layout. The results suggest that production numbers increase significantly when the rated power of the individual turbines is larger while keeping the total installed capacity the same. Even for turbine types with similar rated power but slightly different power curves, significant differences in production were found. Although wind speed was identified as the most dominant factor determining the aerodynamic losses, a clear impact of atmospheric stability and boundary layer height has been identified. By analyzing losses of the first-row turbines, the yearly average global-blockage effect is estimated to between 2 and 3 %, but it can reach levels over 10 % for stably stratified conditions and wind speeds around 8 m s−1. Using a high-fidelity modeling technique, the present work provides insights into the performance of future, multi-gigawatt wind farms for a full year of realistic weather conditions.","","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:4d71ba35-8ba2-4bf8-bc62-fc7e2e0493be","http://resolver.tudelft.nl/uuid:4d71ba35-8ba2-4bf8-bc62-fc7e2e0493be","An integrated target field framework for point-of-care halbach array low-field MRI system design","de Vos, B. (Leiden University Medical Center); Remis, R.F. (TU Delft Signal Processing Systems); Webb, A. (TU Delft Signal Processing Systems; Leiden University Medical Center)","","2023","Objective: Low-cost low-field point-of-care MRI systems are used in many different applications. System design has correspondingly different requirements in terms of imaging field-of-view, spatial resolution and magnetic field strength. In this work an iterative framework has been created to design a cylindrical Halbach-based magnet along with integrated gradient and RF coils that most efficiently fulfil a set of user-specified imaging requirements. Methods: For efficient integration, target field methods are used for each of the main hardware components. These have not been used previously in magnet design, and a new mathematical model was derived accordingly. These methods result in a framework which can design an entire low-field MRI system within minutes using standard computing hardware. Results: Two distinct point-of-care systems are designed using the described framework, one for neuroimaging and the other for extremity imaging. Input parameters are taken from literature and the resulting systems are discussed in detail. Discussion: The framework allows the designer to optimize the different hardware components with respect to the desired imaging parameters taking into account the interdependencies between these components and thus give insight into the influence of the design choices.","Gradient coil; Halbach array; Inverse source problem; Low-Field MRI; RF coil; System Design","en","journal article","","","","","","","","","","","Signal Processing Systems","","",""
"uuid:a94c94f1-e979-486c-ae44-aa1c599faea5","http://resolver.tudelft.nl/uuid:a94c94f1-e979-486c-ae44-aa1c599faea5","Quantifying the trade-offs in re-operating dams for the environment in the Lower Volta River","Owusu, A.G. (TU Delft Policy Analysis; IHE Delft Institute for Water Education); Zatarain Salazar, J. (TU Delft Policy Analysis); Mul, Marloes (IHE Delft Institute for Water Education); van der Zaag, P. (TU Delft Water Resources; IHE Delft Institute for Water Education); Slinger, J (TU Delft Policy Analysis; Rhodes University)","","2023","The construction of the Akosombo and Kpong dams in the Lower Volta River basin in Ghana changed the downstream riverine ecosystem and affected the lives of downstream communities, particularly those who lost their traditional livelihoods. In contrast to the costs borne by those in the vicinity of the river, Ghana has enjoyed vast economic benefits from the affordable hydropower, irrigation schemes and lake tourism that developed after construction of the dams. Herein lies the challenge; there exists a trade-off between water for river ecosystems and related services on the one hand and anthropogenic water demands such as hydropower or irrigation on the other. In this study, an Evolutionary Multi-Objective Direct Policy Search (EMODPS) is used to explore the multi-sectoral trade-offs that exist in the Lower Volta River basin. Three environmental flows, previously determined for the Lower Volta, are incorporated separately as environmental objectives. The results highlight the dominance of hydropower production in the Lower Volta but show that there is room for providing environmental flows under current climatic and water use conditions if the firm energy requirement from Akosombo Dam reduces by 12% to 38% depending on the environmental flow regime that is implemented. There is uncertainty in climate change effects on runoff in this region; however multiple scenarios are investigated. It is found that climate change leading to increased annual inflows to the Akosombo Dam reduces the trade-off between hydropower and the environment as this scenario makes more water available for users. Furthermore, climate change resulting in decreased annual inflows provides the opportunity to strategically provide dry-season environmental flows, that is, reduce flows sufficiently to meet low flow requirements for key ecosystem services such as the clam fishery. This study not only highlights the challenges in balancing anthropogenic water demands and environmental considerations in managing existing dams but also identifies opportunities for compromise in the Lower Volta River.","","en","journal article","","","","","","","","","","","Policy Analysis","","",""
"uuid:ffa6c914-c6c1-4391-8fb7-dbb4870ae353","http://resolver.tudelft.nl/uuid:ffa6c914-c6c1-4391-8fb7-dbb4870ae353","EdgeBOL: A Bayesian Learning Approach for the Joint Orchestration of vRANs and Mobile Edge AI","Ayala-Romero, Jose A. (NEC Laboratories Europe); Garcia-Saavedra, Andres (NEC Laboratories Europe); Costa-Perez, Xavier (NEC Laboratories Europe; i2CAT Foundation and ICREA); Iosifidis, G. (TU Delft Networked Systems)","","2023","Future mobile networks need to support intelligent services which collect and process data streams at the network edge, so as to offer real-time and accurate inferences to users. However, the widespread deployment of these services is hindered by the unprecedented energy cost they induce to the network, and by the difficulties in optimizing their end-to-end operation. To address these challenges, we propose a Bayesian learning framework for jointly configuring the service and the Radio Access Network (RAN), aiming to minimize the total energy consumption while respecting accuracy and latency service requirements. Using a fully-fledged prototype with a software-defined base station (vBS) and a GPU-enabled edge server, we profile a typical video analytics service and identify new performance trade-offs and optimization opportunities. Accordingly, we tailor the proposed learning framework to account for the (possibly varying) network conditions, user needs, and service metrics, and apply it to a range of experiments with real traces. Our findings suggest that this approach effectively adapts to different hardware platforms and service requirements, and outperforms state-of-the-art benchmarks based on neural networks.","Base stations; Bayes methods; Bayesian online learning; Costs; edge computing; Energy efficiency; machine learning; network virtualization; Optimization; Performance evaluation; Power demand; Servers; wireless testbeds","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-04","","","Networked Systems","","",""
"uuid:464c9671-d1f6-4057-aeaf-994aa39f8c94","http://resolver.tudelft.nl/uuid:464c9671-d1f6-4057-aeaf-994aa39f8c94","LinoSPAD2: A 512×1 linear SPAD camera with system-level 135-ps SPTR and a reconfigurable computational engine for time-resolved single-photon imaging","Bruschini, Claudio (EPFL Neuchâtel); Burri, Samuel (EPFL Neuchâtel); Bernasconi, Ermanno (EPFL Neuchâtel); Milanese, Tommaso (EPFL Neuchâtel); Ulku, Arin C. (EPFL Neuchâtel); Homulle, Harald (TU Delft QCD/DiCarlo Lab); Charbon-Iwasaki-Charbon, E. (EPFL Neuchâtel)","Razeghi, Manijeh (editor); Khodaparast, Giti A. (editor); Vitiello, Miriam S. (editor)","2023","The LinoSPAD2 camera combines a 512×1 linear single-photon avalanche diode (SPAD) array with an FPGA-based photon-counting and time-stamping platform, to create a reconfigurable sensing system capable of detecting single photons. The read-out is fully parallel, where each SPAD is connected to a different FPGA input. The hardware can be reconfigured to achieve different functionalities, such as photon counters, time-to-digital converter (TDC) arrays and histogramming units. Time stamping is performed by an array of 64 TDCs, with 20 ps resolution (LSB), serving 256 channels by means of 4:1 sharing. At sensor level, the pixel pitch is 26.2 μm with a fill factor of 25.1%. The median dark count rate of each SPAD at room temperature is below 100 cps at 6V excess bias, the single-photon timing resolution (SPTR) of each channel is 50 ps FWHM, and the peak photon detection probability reaches ~50% at 510 nm at the same excess bias. The fill factor can be increased by 2.3× by means of microlenses, with good spatial uniformity and flat spectral response above 400 nm. At system level, the average instrument response function (IRF) is 135 ps FWHM. The LinoSPAD2 camera enables a wide range of time-of-flight and time-resolved applications, including 3D imaging, fluorescence lifetime imaging microscopy (FLIM), heralded spectroscopy, and compressive Raman imaging, to name a few. Thanks to its features, LinoSPAD2 is a novel generation of reconfigurable single-photon image sensors capable of adapting their read-out and processing to match application-specific requirements, and combining SPAD arrays with advanced, massively-parallel computational functionalities.","Compressive Raman imaging; Heralded spectroscopy; Microlenses; Reconfigurable camera system; Single-photon avalanche diodes (SPADs); Time-resolved imaging; Time-todigital converters (TDCs) on FPGA","en","conference paper","SPIE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-15","","","QCD/DiCarlo Lab","","",""
"uuid:dec3c106-0fc2-456b-946f-18e520cba2b1","http://resolver.tudelft.nl/uuid:dec3c106-0fc2-456b-946f-18e520cba2b1","Interactive Depixelization of Pixel Art through Spring Simulation","Matusovic, Marko (Student TU Delft); Parakkat, Amal Dev (Institut Polytechnique de Paris); Eisemann, E. (TU Delft Computer Graphics and Visualisation)","","2023","We introduce an approach for converting pixel art into high-quality vector images. While much progress has been made on automatic conversion, there is an inherent ambiguity in pixel art, which can lead to a mismatch with the artist's original intent. Further, there is room for incorporating aesthetic preferences during the conversion. In consequence, this work introduces an interactive framework to enable users to guide the conversion process towards high-quality vector illustrations. A key idea of the method is to cast the conversion process into a spring-system optimization that can be influenced by the user. Hereby, it is possible to resolve various ambiguities that cannot be handled by an automatic algorithm.","CCS Concepts; Shape modeling; Applied computing → Fine arts; Computing methodologies → Image manipulation","en","journal article","","","","","","","","","","","Computer Graphics and Visualisation","","",""
"uuid:29d41dc9-ad7e-40de-aa97-3072a48dea57","http://resolver.tudelft.nl/uuid:29d41dc9-ad7e-40de-aa97-3072a48dea57","Unlocking the Potential of Smart Technologies: Addressing Adoption Challenges","Sharma, Sujeet K. (Indian Institute of Management Nagpur); Janssen, M.F.W.H.A. (TU Delft Engineering, Systems and Services); Bunker, Deborah (University of Sydney); Dominguez-Péry, Carine (Université Grenoble Alpes); Singh, Jang Bahadur (Indian Institute of Management Tiruchirappalli); Dwivedi, Yogesh K. (Symbiosis Institute of Business Management, Pune; Swansea University); Misra, Santosh K. (Government of Tamil Nadu)","","2023","","","en","contribution to periodical","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-27","","Engineering, Systems and Services","","","",""
"uuid:c2448a0b-35f0-4f0b-8677-6c7f78867cd7","http://resolver.tudelft.nl/uuid:c2448a0b-35f0-4f0b-8677-6c7f78867cd7","Integrated Fault Detection, Classification and Section Identification (I-FDCSI) Method for Real Distribution Networks Using μPMUs","Haleem Medattil Ibrahim, M.I. (TU Delft Intelligent Electrical Power Grids; University of Petroleum and Energy Studies); Sharma, Madhu (University of Petroleum and Energy Studies); Subramaniam Rajkumar, Vetrivel (TU Delft Intelligent Electrical Power Grids)","","2023","This paper presents a rules-based integrated fault detection, classification and section identification (I-FDCSI) method for real distribution networks (DN) using micro-phasor measurement units ((Formula presented.) PMUs). The proposed method utilizes the high-resolution synchronized realistic measurements from the strategically installed (Formula presented.) PMUs to detect and classify different types of faults and identify the faulty section of the distribution network. The I-FDCSI method is based on a set of rules developed using expert knowledge and statistical analysis of the generated realistic measurements. The algorithms mainly use line currents per phase reported by the different (Formula presented.) PMUs to calculate the minimum and maximum short circuit current ratios. The algorithms were then fine-tuned with all the possible types and classes of fault simulations at all possible sections of the network with different fault parameter values. The proposed I-FDCSI method addresses the inherent challenges of DN by leveraging the high-precision measurements provided by (Formula presented.) PMUs to accurately detect, classify, and sectionalise faults. To ensure the applicability of the developed IFDCSI method, it is further tested and validated with all the possible real-time events on a real distribution network and its performance has been compared with the conventional fault detection, classification and section identification methods. The results demonstrate that the I-FDCSI method has a higher accuracy and faster response time compared to the conventional methods and facilitates faster service restoration, thus improving the reliability and resiliency indices of DN.","μPMUs; fault detection; fault management; fault classification; section identification; distribution network; fault indicators; modelling; simulation; reliability indices","en","journal article","","","","","","","","","","","Intelligent Electrical Power Grids","","",""
"uuid:b669fb5f-975f-4471-849a-2aa671535e8a","http://resolver.tudelft.nl/uuid:b669fb5f-975f-4471-849a-2aa671535e8a","Perceiving Grown Bacterial Cellulose: An Aesthetic and Sensorial Evaluation of a Bio-Fabricated Material","Papile, Flavia (Politecnico di Milano); Bolzan, Patrizia (Politecnico di Milano); Parisi, S. (TU Delft Materials and Manufacturing); Pollini, Barbara (Politecnico di Milano)","Duarte, Emilia (editor); Di Roma, Annalisa (editor)","2023","Biofabricated and grown materials are an emergent trend in the design discipline. The push towards the re-search of innovative and sustainable material solutions has recently increased since there is a real necessity to find solutions compatible with sustainable production paradigms. In the presented work, bacterial cellulose (BC) from kombucha tea fermentation has been chosen for investigation. The biofabrication of this peculiar material enables the realisation of several textural and aesthetical features, giving the designer important freedom. However, to properly look for possible applications in products design, some precise characterisations must be observed and discussed. In this contribution, the authors analysed the sensorial and aesthetical dimensions of six different BC samples to highlight and assess the peculiar element of this promising material.","Circular Economy; Growing Materials; Sensorial Material Characterisation; Bacterial cellulose; Material designer","en","conference paper","Springer Nature","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-09","","","Materials and Manufacturing","","",""
"uuid:109da31e-54c6-47b2-8b6e-8232daa5eb72","http://resolver.tudelft.nl/uuid:109da31e-54c6-47b2-8b6e-8232daa5eb72","Graph Greenifier: Towards Sustainable and Energy-Aware Massive Graph Processing in the Computing Continuum","Iosup, Alexandru (Vrije Universiteit Amsterdam); Prodan, Radu (Aau Klagenfurt, Klagenfurt); Varbanescu, Ana Lucia (University of Twente); Talluri, Sacheendra (Aau Klagenfurt, Klagenfurt); Magalhaes, Gilles (Aau Klagenfurt, Klagenfurt); Hokstam, Kailhan (Aau Klagenfurt, Klagenfurt); Zwaan, Hugo (Vrije Universiteit Amsterdam); van Beek, V.S. (TU Delft Dataintensive Systems); Farahani, Reza (Aau Klagenfurt, Klagenfurt)","","2023","Our society is increasingly digital, and its processes are increasingly digitalized. As an emerging technology for the digital society, graphs provide a universal abstraction to represent concepts and objects, and the relationships between them. However, processing graphs at a massive scale raises numerous sustainability challenges; becoming energy-aware could help graph-processing infrastructure alleviate its climate impact. Graph Greenifier aims to address this challenge in the conceptual framework offered by the Graph Massivizer architecture. We present an early vision of how Graph Greenifier could provide sustainability analysis and decision-making capabilities for extreme graph-processing workloads. Graph Greenifier leverages an advanced digital twin for data center operations, based on the OpenDC open-source simulator, a novel toolchain for workload-driven simulation of graph processing at scale, and a sustainability predictor. The input to the digital twin combines monitoring of the information and communication technology infrastructure used for graph processing with data collected from the power grid. Graph Greenifier thus informs providers and consumers on operational sustainability aspects, requiring mutual information sharing, reducing energy consumption for graph analytics, and increasing the use of electricity from renewable sources.","computing continuum; digital twin; energy-awareness; graph greenifier; graph massivizer; graph processing; scalability; sustainability","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Dataintensive Systems","","",""
"uuid:069a1937-431c-49a6-83a6-01af8a5722f7","http://resolver.tudelft.nl/uuid:069a1937-431c-49a6-83a6-01af8a5722f7","Modelling and Eigenanalysis of Sub-synchronous Oscillations Excited by Large Wind Power Plants","van Vledder, C.A. (TU Delft Intelligent Electrical Power Grids); Rueda, José L. (TU Delft Intelligent Electrical Power Grids); Stefanov, Alexandru (TU Delft Intelligent Electrical Power Grids); Palensky, P. (TU Delft Intelligent Electrical Power Grids); Anaya-Lara, Olimpo (Norwegian University of Science and Technology (NTNU)); Kruimer, B. (DNV Energy Systems); Gonzalez-Longatt, Francisco (University of Exeter)","","2023","The amount of power electronic interfaced generation (PEIG) is significantly proliferating in modern cyber-physical energy systems (CPESs). The limited capabilities (e.g. inertia, over-current) of PEIG, together with their location and technology-specific designed control systems, alter the dynamic properties of different types of stability phenomena, e.g. sub-synchronous oscillations (SSOs). A poorly damped SSO can emerge, within a sub-second time scale, through conflicting inter-actions between the controls of PEIG and the dynamic response of the surrounding electrical network. This paper focuses on the modelling and assessment of such interactions, with emphasis on the integration of large-size full converter (a.k.a. type-4) based wind power plants (WPPs). By combining different analysis tools, the implemented model supports sensitivity assessment of the occurrence and observability of a poorly damped SSO. State-space model based eigenanalysis is iteratively used to ascertain damping variability of a dominant SSO, excited by inappropriate controller settings of the WPP. Power spectral density (PSD) analysis is used to qualitatively estimate the degree of observability of the poorly damped SSO across different buses of a CEPS. Numerical tests are performed on a modified version of the IEEE-39 bus system by using DIgSILENT PowerFactory 2022 SP1. Suggestions are provided for the deployment of data generated from phasor measurement units (PMUs) in the monitoring and wide-area damping control of critical SSOs.","Sub-synchronous oscillations; observability; phasor measurement units; control interaction; wide area monitoring and control","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-15","","","Intelligent Electrical Power Grids","","",""
"uuid:06dbed70-90c5-42d8-9138-fe63a6220b58","http://resolver.tudelft.nl/uuid:06dbed70-90c5-42d8-9138-fe63a6220b58","More-Than-Human Perspectives and Values in Human-Computer Interaction","Yoo, Daisy (Eindhoven University of Technology); Bekker, Tilde (Eindhoven University of Technology); Dalsgaard, Peter (Aarhus University); Eriksson, Eva (Aarhus University); Fougt, Simon Skov (Aarhus University); Frauenberger, Christopher (University of Salzburg); Friedman, Batya (University of Washington); Giaccardi, Elisa (TU Delft Human Information Communication Design); Hansen, Anne Marie (Malmö University)","","2023","In this special interest group (SIG) we invite researchers, practitioners, and educators to share their perspectives and experiences on the expansion of human-centred perspective to more-than-human design orientation in human-computer interaction (HCI). This design for and with more-than-human perspectives and values cover a range of fields and topics, and comes with unique design opportunities and challenges. In this SIG, we propose a forum for exchange of concrete experiences and a range of perspectives, and to facilitate reflective discussions and the identification of possible future paths.","HCI; more-than-human; values","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-19","","","Human Information Communication Design","","",""
"uuid:421da66f-7670-4421-ab8b-4426f32cff3f","http://resolver.tudelft.nl/uuid:421da66f-7670-4421-ab8b-4426f32cff3f","Melk in je koffie: Een onderzoek met mogelijkheden","Pols, C.F.J. (TU Delft ImPhys/Docenten); Mooldijk, A.H. (CMA-Science)","","2023","In 1999 stond in de NRC een column van Karel Knip over de vraag uit de wetenschapsquiz of je direct een wolkje melk in je koffie moet doen als de bel gaat of dat je dat beter kunt doen als je terugkomt van de buitendeur. De column geeft een mooi beeld van wat er allemaal kan komen kijken als je wat dieper op de vraag ingaat. Er blijken veel mogelijkheden te zijn om ook in de klas met deze vraag aan de slag te gaan!","","nl","journal article","","","","","","","","","","","ImPhys/Docenten","","",""
"uuid:54da12f2-6de5-4387-851e-5e5a0025bb5e","http://resolver.tudelft.nl/uuid:54da12f2-6de5-4387-851e-5e5a0025bb5e","Creating room for citizen perspectives in ‘smart city’ Amsterdam through interactive theatre","Fraaije, A. (Vrije Universiteit Amsterdam); van der Meij, Marjoleine G. (Vrije Universiteit Amsterdam); Vermeeren, A.P.O.S. (TU Delft Human Information Communication Design); Kupper, Frank (Vrije Universiteit Amsterdam); Broerse, Jacqueline E.W. (Vrije Universiteit Amsterdam)","","2023","The ‘smart city’ vision is popular, but it lacks citizen perspectives. The aim of this study was to gain insight into whether and how art-based citizen engagement can create more room for citizen perspectives in smart cities by developing and testing an art-based citizen engagement project in Amsterdam, the Netherlands. To that end, a combination of interactive theatre, interaction design and social research methods was used to bring together diverse publics and innovation professionals for joint exploration of increased dataveillance in cities. The events were studied through observations, and through interviews with participants and organisers. Data analysis was guided by the outcomes, processes and challenges of the responsible innovation dimensions: inclusion, reflexivity, anticipation
and responsiveness (Stilgoe et al., 2013). The most important achievements of art-based citizen engagement were: engaging people who would not have engaged with the topic otherwise, encouraging participants to question common phrases and assumptions, exploring future social implications of technologies, and staging meaningful interactions between citizens and professionals. The most significant challenge was to involve citizens in a way that could influence innovation trajectories.","public participation; public engagement; inclusion; Responsible research and innovation (RRI); impact; urban technologies; interaction design; art; theatre; science communication","en","journal article","","","","","","","","","","","Human Information Communication Design","","",""
"uuid:a24f4b3b-2965-4af0-9a76-6a558e749b53","http://resolver.tudelft.nl/uuid:a24f4b3b-2965-4af0-9a76-6a558e749b53","How strategic design abilities address unmet value in service engagement strategies","Bouman, N.L.J. (TU Delft Industrial Design Engineering); Simonse, LWL (TU Delft Methodologie en Organisatie van Design)","","2023","Purpose – Engaging with customers and addressing unmet value have become increasingly challenging within multi-stakeholder environments of service innovation. Therefore, this paper aims to address this challenge by studying how strategic design abilities address unmet value in service engagement strategies. Design/methodology/approach – The authors conducted a qualitative inductive study at a multinational corporation and interviewed marketing
and design professionals on their innovation practices in service engagement strategies. Findings – From the inductive analysis, this study identified three strategic design abilities that effectively contribute to addressing unmet value
throughout the co-evolving process of service engagement: envisioning value, modelling value and engaging value. Based on this, this study proposes the emerging co-evolving loop framework of service engagement strategies.
Research limitations/implications – The limitation of this emerging theory is a lack of broad generalizability with mutual exclusivity or collective exhaustiveness across industries. A theoretical implication of the framework is the integration of strategic design and services marketing towards co-created engagement strategies.
Practical implications – The service engagement loop framework can be of great value to service innovation processes, for which an integrated, cross-functional approach is often missing. Social implications – The findings further suggest that next to a methodological skillset, strategic design abilities consist of a distinct mindset. Originality/value – This paper introduces strategic design abilities to address unmet value and proposes a novel co-evolving loop framework ofservice engagement strategies","Strategic Design; Service strategy; Engagement; Service innovation; customer value; Qualitative methods; unmet need; abilities","en","journal article","","","","","","","","","Industrial Design Engineering","","Methodologie en Organisatie van Design","","",""
"uuid:f6826895-fa1b-445b-9b45-a2eb20e6a338","http://resolver.tudelft.nl/uuid:f6826895-fa1b-445b-9b45-a2eb20e6a338","Interspecific competition shapes the structural stability of mutualistic networks","Wang, Xiangrong (Shenzhen University); Peron, Thomas (Universidade de São Paulo); Dubbeldam, J.L.A. (TU Delft Mathematical Physics); Kéfi, Sonia (Université de Montpellier; Santa Fe Institute); Moreno, Yamir (University of Zaragoza; CENTAI Institute)","","2023","Mutualistic networks, such as plant–pollinator networks, have attracted increasing attention in the ecological literature in the last decades, not only because of their fascinating natural history, but also because mutualistic interactions have been shown to play a key role in the maintenance of biodiversity. Although inter-specific competition has long been known to be a crucial driver of species coexistence as well, there is a lack of theory investigating the interplay between the structures of competitive and mutualistic networks when jointly considered. Here, we develop an analytical framework to study the structural stability — the range of conditions under which all species coexist stably, i.e. where the community is both feasible and stable — of ecological communities in which both mutualistic interactions between plants and pollinators and competitive interactions among plants and among pollinators are present. Using the structure of 50 real networks for mutualistic interactions, combined with analytical and numerical analyses, we show that the structure of the competitive network radically alters the necessary conditions for species coexistence in these communities. Our mathematical framework also allows to accurately characterize the structural stability of these systems. Moreover, we introduce a new metric that accurately links the network structures of competitive and mutualistic interactions to species coexistence. Our results highlight the joint role of the structures of different interaction types to understand the stability of ecological communities and facilitate the analysis of similar natural and artificial systems in which mutualism and competition coexist.","Mutualistic networks; Interspecific competition; Structural stability; Species coexistence","en","journal article","","","","","","","","","","","Mathematical Physics","","",""
"uuid:5e4d33ea-4f0b-4aeb-a706-d748498202be","http://resolver.tudelft.nl/uuid:5e4d33ea-4f0b-4aeb-a706-d748498202be","Piecewise Affine Curvature model: a Reduced-Order Model for Soft Robot-Environment Interaction Beyond PCC","Stella, F. (TU Delft Learning & Autonomous Control; EPFL Switzerland); Guan, Qinghua (EPFL Switzerland; Harbin Institute of Technology); Della Santina, C. (TU Delft Learning & Autonomous Control; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Hughes, Josie (EPFL Switzerland)","","2023","Soft robot are celebrated for their propensity to enable compliant and complex robot-environment interactions. Soft robotic manipulators, or slender continuum structure robots have the potential to exploit these interactions to enable new exploration and manipulation capabilities and safe human-robot interactions. However, the interactions, or perturbations by external forces cause the soft structure to deform in an infinite degree of freedom (DOF) space. To control such system, reduced order models are needed; typically models consider piecewise sections of constant curvature although external forces often deform the structure out of the constant curvature hypothesis. In this work we perform an analysis of the trade-off between computational treatability and modelling accuracy. We then propose a new kinematic model, the Piecewise Affine Curvature (PAC) which we validate theoretically and experimentally showing that this higher-order model better captures the configuration of a soft continuum body robot when perturbed by the external forces. In comparison to the current state of the art Piecewise Constant Curvature (PCC) model we demonstrate up to 30% reduction in error for the end position of a soft continuum body robot.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-15","","","Learning & Autonomous Control","","",""
"uuid:9f0be8ec-725c-4912-983c-3f84ace28ab8","http://resolver.tudelft.nl/uuid:9f0be8ec-725c-4912-983c-3f84ace28ab8","The Questions of Hermeneutic TA: Towards a Toolbox","Sand, M. (TU Delft Ethics & Philosophy of Technology)","","2023","The present chapter begins by exploring whether the project of a hermeneutic technology assessment (TA) squares well with some of TA's most fundamental presuppositions and commitments including, for instance, to provide assessments that are relevant for policymakers and can guide the shaping of emerging technologies in a responsible manner. If – as will be shown – the hermeneutic idea can be coherently established within TA, we must ask what does an understanding of emerging technologies in their guise as futuristic narratives entail? Which questions do we have to ask, to gain a better understanding of future technologies in their manifold present representations? The present chapter approaches these questions by examining the cases of nanotechnology and “Slaughterbots.” This will result in a demarcation of various dimensions and a list of questions about the origins and guise of those narratives that can be posed at other narratives of this sort. Taken together, this chapter makes some major steps towards a toolkit for applying the hermeneutic research lens to various socio-technical visions and narratives.","","en","book chapter","Routledge - Taylor & Francis Group","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-01","","","Ethics & Philosophy of Technology","","",""
"uuid:98223aec-2a6a-4ad3-b3e7-a422e9dadfdd","http://resolver.tudelft.nl/uuid:98223aec-2a6a-4ad3-b3e7-a422e9dadfdd","Consistent Regularization of Induced Coulomb Stresses in Displaced Faults","Jansen, J.D. (TU Delft Civil Engineering & Geosciences)","","2023","This note provides unregularized and regularized closed-form analytical expressions for the depletion-induced or injection-induced pre-slip Coulomb stresses in two-dimensional displaced dip-slip faults. The regularization serves to remove logarithmic singularities and jump-discontinuities in the unregularized formulation. The expressions are identical to those in Appendices A and B of Jansen & Meulenbroek (2022): Netherlands Journal of Geosciences 101 e13, except for the correction of a small error in the regularized formulation. In numerical examples the difference of the correction is hardly noticeable, but it ensures that the corrected formulation is internally consistent in the sense that integrals of stresses and pressure along a fault are identical for the unregularized and regularized expressions.","induced seismicity; Coulomb stress; displaced fault; regularization","en","report","Delft University of Technology","","","","","Note for file to document the correction of a minor error in an earlier publication.","","","Civil Engineering & Geosciences","","","","",""
"uuid:5ccc603c-6efb-4eae-a172-3cb26c8268b3","http://resolver.tudelft.nl/uuid:5ccc603c-6efb-4eae-a172-3cb26c8268b3","Calibrating and Validating the MFI-UF Method to Measure Particulate Fouling in Reverse Osmosis","Abunada, M.B.M. (TU Delft Sanitary Engineering; IHE Delft Institute for Water Education); Dhakal, Nirajan (IHE Delft Institute for Water Education); Andyar, William Z. (IHE Delft Institute for Water Education); Li, Yuke (IHE Delft Institute for Water Education); Ajok, Pamela (IHE Delft Institute for Water Education); Ghaffour, Noreddine (King Abdullah University of Science and Technology); Schippers, Jan C. (IHE Delft Institute for Water Education); Kennedy, M.D. (TU Delft Sanitary Engineering; IHE Delft Institute for Water Education)","","2023","This study aimed to calibrate and validate the MFI-UF method in order to ensure the accuracy of particulate fouling measurements in RO. Firstly, the MFI-UF calibration was examined using two solutions of standard particles (dextran and polystyrene). Two main criteria were investigated: (i) MFI-UF linearity with particle concentrations at both low and high ranges of fouling potential and (ii) the reproducibility of MFI-UF linearity. Dextran solutions showed a strong MFI-UF linearity over the entire range of measured MFI-UF. However, the linearity was not reproducible, and different batches of dextran prepared under the same conditions produced very variable results. For polystyrene solutions, the MFI-UF linearity was verified at the higher range of MFI-UF (>10,000 s/L2), while the MFI-UF at the lower range (<5000 s/L2) appeared to be underestimated. Secondly, MFI-UF linearity was investigated using natural (surface) water under a wide range of testing conditions (at 20–200 L/m2·h using 5–100 kDa membranes). Strong MFI-UF linearity was obtained over the entire range of measured MFI-UF (up to 70,000 s/L2). Thus, the MFI-UF method was validated to measure different levels of particulate fouling in RO. However, future research focusing on MFI-UF calibration is still required through the selection, preparation, and testing of heterogeneous mixtures of standard particles.","reverse osmosis; particulate fouling; MFI-UF; calibration; linearity","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:3bf9e596-e126-4cdf-b4d4-55f17cd705d9","http://resolver.tudelft.nl/uuid:3bf9e596-e126-4cdf-b4d4-55f17cd705d9","Data Lakes: A Survey of Functions and Systems","Hai, R. (TU Delft Web Information Systems); Koutras, C. (TU Delft Web Information Systems); Quix, Christoph (Hochschule Niederrhein); Jarke, Matthias (Fraunhofer Institute for Applied Information Technology FIT)","","2023","Data lakes are becoming increasingly prevalent for Big Data management and data analytics. In contrast to traditional 'schema-on-write' approaches such as data warehouses, data lakes are repositories storing raw data in its original formats and providing a common access interface. Despite the strong interest raised from both academia and industry, there is a large body of ambiguity regarding the definition, functions and available technologies for data lakes. A complete, coherent picture of data lake challenges and solutions is still missing. This survey reviews the development, architectures, and systems of data lakes. We provide a comprehensive overview of research questions for designing and building data lakes. We classify the existing approaches and systems based on their provided functions for data lakes, which makes this survey a useful technical reference for designing, implementing and deploying data lakes. We hope that the thorough comparison of existing solutions and the discussion of open research challenges in this survey will motivate the future development of data lake research and practice.","Big Data applications; Data discovery; Data lake; Lakes; Maintenance engineering; Memory; Metadata; Metadata management; Proposals; Semantics","en","journal article","","","","","","","","","","","Web Information Systems","","",""
"uuid:fc89a970-70c0-40d8-813b-54fd5e9152c7","http://resolver.tudelft.nl/uuid:fc89a970-70c0-40d8-813b-54fd5e9152c7","A Highly Linear Receiver Using Parallel Preselect Filter for 5G Microcell Base Station Applications","Montazerolghaem, M.A. (TU Delft Electronics); de Vreede, L.C.N. (TU Delft Electronics); Babaie, M. (TU Delft Electronics)","","2023","By introducing three different techniques, this article, for the first time, presents a wideband highly linear receiver (RX) capable of handling blocking scenarios in fifth-generation (5G) microcell base station applications. First, a parallel preselect filter is introduced to satisfy the base station co-location blocking requirements. Next, a combination of third-order RF and baseband (BB) filters is adopted to attenuate close-in blockers by a -120 dB/dec roll-off. Finally, a translational feedback network is proposed to reduce the in-band gain ripple to below 0.5 dB and provide better than -19 dB input matching. Fabricated in the 40-nm CMOS technology, the proposed RX occupies a core area of 0.8 mm2 and consumes 108-176 mW from a 1.3 V supply over the RX's 0.5-3-GHz operating frequency. It achieves a 3-dB bandwidth of 150 MHz and a noise figure (NF) of 2.6-3.9 dB over the RX frequency range. Activating the parallel preselect filter degrades the NF by as little as 1.2 dB in the worst case. The RX shows a ≥q 97.5% throughput when receiving a 100-MS/s quadrature phase shift keying (QPSK) signal with 7.5-dB SNR and achieves a -9.7 dB error vector magnitude (EVM) while facing a -15 dBm continuous-wave (CW) blocker only 20 MHz away from the desired 100-MS/s QPSK signal with 12.3-dB SNR, thus satisfying the 3rd generation partnership project (3GPP) requirements with sufficient margin.","Band-pass filters; Blocker-tolerant; current-mode receiver (RX); fifth-generation (5G); Filtering; harmonic rejection (HR); high-order bandpass filter; Impedance; Linearity; Noise measurement; Notch filters; preselect filter; Radio frequency; software-defined radio; wideband RX","en","journal article","","","","","","","","","","","Electronics","","",""
"uuid:adddd872-0e0c-41a0-9981-70d07ee35f27","http://resolver.tudelft.nl/uuid:adddd872-0e0c-41a0-9981-70d07ee35f27","Applying vertical greening systems to reduce traffic noise in outdoor environments: Overview of key design parameters and research methods","Bakker, J.J. (TU Delft Building Physics); Lugten, M.C. (TU Delft Environmental & Climate Design); Tenpierik, M.J. (TU Delft Environmental & Climate Design)","","2023","Vertical greening can be used to absorb and scatter sound, which may reduce noise levels in street canyons. In this paper, a literature review is presented, which combines results and methods from over 40 individual studies. The article describes the guiding principles behind the acoustic effects of vertical greening and provides an overview of the prevalent research methods. The article shows that vertical greenery is effective for the reduction of mid and high frequency noise, unless air cavities or resonators are introduced inside, or behind, the systems. The review also reflects on studies with an emphasis on the application of vertical greenery in streets and urban blocks. The aim of the article is to set out the key design parameters for noise reduction that can be achieved by vertical greening, focusing on designers and engineers.","literature review; sound absorption; Vertical greening","en","review","","","","","","","","","","","Building Physics","","",""
"uuid:1ed0bc44-60d5-42d7-a0f6-769e528c99e6","http://resolver.tudelft.nl/uuid:1ed0bc44-60d5-42d7-a0f6-769e528c99e6","An organ-on-chip device with integrated charge sensors and recording microelectrodes","Aydogmus, H. (TU Delft EKL Processing); Hu, M. (TU Delft Biomechanical Engineering; Leiden University Medical Center); Ivancevic, Lovro; Frimat, Jean Philippe (Leiden University Medical Center); van den Maagdenberg, Arn M.J.M. (Leiden University Medical Center); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials); Mastrangeli, Massimo (TU Delft Electronic Components, Technology and Materials)","","2023","Continuous monitoring of tissue microphysiology is a key enabling feature of the organ-on-chip (OoC) approach for in vitro drug screening and disease modeling. Integrated sensing units are particularly convenient for microenvironmental monitoring. However, sensitive in vitro and real-time measurements are challenging due to the inherently small size of OoC devices, the characteristics of commonly used materials, and external hardware setups required to support the sensing units. Here we propose a silicon-polymer hybrid OoC device that encompasses transparency and biocompatibility of polymers at the sensing area, and has the inherently superior electrical characteristics and ability to house active electronics of silicon. This multi-modal device includes two sensing units. The first unit consists of a floating-gate field-effect transistor (FG-FET), which is used to monitor changes in pH in the sensing area. The threshold voltage of the FG-FET is regulated by a capacitively-coupled gate and by the changes in charge concentration in close proximity to the extension of the floating gate, which functions as the sensing electrode. The second unit uses the extension of the FG as microelectrode, in order to monitor the action potential of electrically active cells. The layout of the chip and its packaging are compatible with multi-electrode array measurement setups, which are commonly used in electrophysiology labs. The multi-functional sensing is demonstrated by monitoring the growth of induced pluripotent stem cell-derived cortical neurons. Our multi-modal sensor is a milestone in combined monitoring of different, physiologically-relevant parameters on the same device for future OoC platforms.","","en","journal article","","","","","","","","","","Biomechanical Engineering","EKL Processing","","",""
"uuid:f354b443-9433-4f92-be0d-0919495192a5","http://resolver.tudelft.nl/uuid:f354b443-9433-4f92-be0d-0919495192a5","Dynamic operation of water electrolyzers: A review for applications in photovoltaic systems integration","Martinez Lopez, V.A. (TU Delft Photovoltaic Materials and Devices); Ziar, H. (TU Delft Photovoltaic Materials and Devices); Haverkort, J.W. (TU Delft Energy Technology); Zeman, M. (TU Delft Electrical Sustainable Energy); Isabella, O. (TU Delft Photovoltaic Materials and Devices)","","2023","This review provides a comprehensive overview of the dynamics of low-temperature water electrolyzers and their influence on coupling the three major technologies, alkaline, Proton Exchange Membrane (PEM) and, Anion Exchange Membrane (AEM) with photovoltaic (PV) systems. Hydrogen technology is experiencing considerable interest as a way to accelerate the energy transition. With no associated CO2 emissions and fast response, water electrolyzers are an attractive option for producing green hydrogen on an industrial scale. This can be seen by the ambitious goals and large-scale projects being announced for hydrogen, especially with solar energy dedicated entirely to drive the process. The electrical response of water electrolyzers is extremely fast, making the slower variables, such as temperature and pressure, the limiting factors for variable operation typically associated with PV-powered electrolysis systems. The practical solar-to-hydrogen efficiency of these systems is in the range of 10% even with a very high coupling factor exceeding 99% for directly coupled systems. The solar-to-hydrogen efficiency can be boosted with a battery, potentially sacrificing the cost. The intermittency of solar irradiance, rather than its variability is the biggest challenge for PV-hydrogen systems regarding operation and degradation.","","en","review","","","","","","","","","","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:9fce025d-37c8-4730-9a1c-e7fb335ddf77","http://resolver.tudelft.nl/uuid:9fce025d-37c8-4730-9a1c-e7fb335ddf77","An energy-conservative DG-FEM approach for solid–liquid phase change","Kaaks, B.J. (TU Delft RST/Reactor Physics and Nuclear Materials); Rohde, M. (TU Delft RST/Reactor Physics and Nuclear Materials); Kloosterman, J.L. (TU Delft RST/Radiation, Science and Technology); Lathouwers, D. (TU Delft RST/Reactor Physics and Nuclear Materials)","","2023","We present a discontinuous Galerkin method for melting/solidification problems based on the “linearized enthalpy approach,” which is derived from the conservative form of the energy transport equation and does not depend on the use of a so-called mushy zone. We use the symmetric interior penalty method and the Lax–Friedrichs flux to discretize diffusive and convective terms, respectively. Time is discretized with a second-order implicit backward differentiation formula, and two outer iterations with second-order extrapolation predictors are used for the coupling of the momentum and energy. The numerical method was validated with three different benchmark cases, i.e., the one-dimensional Stefan problem, octadecane melting in a square cavity and gallium melting in a rectangular cavity. The performance of the method was quantified based on the L 2 norm error and the number of iterations needed to convergence the energy equation at each time step. For all three validation cases, a mesh convergence rate of approximately O(h) was obtained, which is below the expected accuracy of the numerical method. Only for the gallium melting case, the use of a higher-order method proved to be beneficial. The results from the present numerical campaign demonstrate the promise of the discontinuous Galerkin finite element method for modeling certain solid–liquid phase change problems where large gradients in the flow field are present or the phase change is highly localized, however, further enhancement of the method is needed to fully benefit from the use of a higher-order numerical method when solving solid–liquid phase change problems.","Energy-conservative discontinuous Galerkin method; linearized enthalpy approach; solid–liquid phase change","en","journal article","","","","","","","","","","RST/Radiation, Science and Technology","RST/Reactor Physics and Nuclear Materials","","",""
"uuid:bddebc70-7874-4369-8992-a975972802a4","http://resolver.tudelft.nl/uuid:bddebc70-7874-4369-8992-a975972802a4","Multivariable Signal Processing for Characterization of Failure Modes in Thin-Ply Hybrid Laminates Using Acoustic Emission Sensors","Fotouhi, Sakineh (University of Glasgow); Assaad, Maher (Ajman University); Nasor, Mohamed (Ajman University); Imran, Ahmed (Ajman University); Ashames, Akram (Ajman University); Fotouhi, M. (TU Delft Materials and Environment)","","2023","The aim of this study was to find the correlation between failure modes and acoustic emission (AE) events in a comprehensive range of thin-ply pseudo-ductile hybrid composite laminates when loaded under uniaxial tension. The investigated hybrid laminates were Unidirectional (UD), Quasi-Isotropic (QI) and open-hole QI configurations composed of S-glass and several thin carbon prepregs. The laminates exhibited stress-strain responses that follow the elastic-yielding-hardening pattern commonly observed in ductile metals. The laminates experienced different sizes of gradual failure modes of carbon ply fragmentation and dispersed delamination. To analyze the correlation between these failure modes and AE signals, a multivariable clustering method was employed using Gaussian mixture model. The clustering results and visual observations were used to determine two AE clusters, corresponding to fragmentation and delamination modes, with high amplitude, energy, and duration signals linked to fragmentation. In contrast to the common belief, there was no correlation between the high frequency signals and the carbon fibre fragmentation. The multivariable AE analysis was able to identify fibre fracture and delamination and their sequence. However, the quantitative assessment of these failure modes was influenced by the nature of failure that depends on various factors, such as stacking sequence, material properties, energy release rate, and geometry.","multivariable analysis; acoustic emission; fragmentation;; carbon/glass hybrids","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:21c95f7d-5185-419b-bd82-25d888e30841","http://resolver.tudelft.nl/uuid:21c95f7d-5185-419b-bd82-25d888e30841","WebAndTheCity'23: 9th International Workshop on The Web and Smart Cities","Anthopoulos, Leonidas (University of Thessaly); Janssen, M.F.W.H.A. (TU Delft Engineering, Systems and Services); Weerakkody, Vishanth (Bradford University)","","2023","This is the 9th edition of the workshop series labeled ""AW4City - Web Applications and Smart Cities"", which started back in Florence in 2015 and kept on taking place every year in conjunction with the WWW conference series. Last year the workshop was held virtually in Lyon, France. The workshop series aims to investigate the Web and Web applications' role in establishing smart city (SC) promises. The workshop series aim to investigate the role of the Web and of Web applications in SC growth. This year, the workshop focuses on the role of the web in social coherence. cities appear to play a crucial role in securing humanity against social threats and generating sustainable and circular cities. In this regard, cities attempt to secure social sustainability and coherence (e.g., deal with affordable energy, poverty, hunger, equal opportunities in education, jobs, and health, etc.) and enhance their performance to become friendlier and able to host their increasing populations. Additionally, new types of business appear (e.g., for smart energy), while the co-existence of autonomous things and people generate another challenge that cities have started phasing. This workshop aims to demonstrate how web applications Apps can Web intelligence serve communities.","smart citizens; smart city; smart living; web Apps","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","Engineering, Systems and Services","","","",""
"uuid:dadefdd0-44d8-4202-b9a8-bd75ebb8fc6c","http://resolver.tudelft.nl/uuid:dadefdd0-44d8-4202-b9a8-bd75ebb8fc6c","Assessing the appropriateness of different climate modelling approaches for the estimation of aviation NOx climate effects","Maruhashi, J. (TU Delft Aircraft Noise and Climate Effects); Mertens, Mariano (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Grewe, V. (TU Delft Aircraft Noise and Climate Effects); Dedoussi, I.C. (TU Delft Aircraft Noise and Climate Effects)","","2023","Aviation’s contribution to anthropogenic global warming is estimated to be between 3 – 5% [1]. This assessment comprises two contributions: the well understood atmospheric impact of carbon dioxide (CO2) and the more uncertain non-CO2 effects. The latter pertain to persistent contrails and pollutants like nitrogen oxides (NOx), water vapor (H2O), sulfur oxides (SOx) and soot particles. NOx emissions are involved in non-linear processes that result in the short-term production of ozone (O3) and longer-term destruction of methane (CH4), stratospheric water vapor (SWV), and primary mode ozone (PMO). The aviation-attributable impacts arising from this short-term increase in O3 can vary by more than a factor of 1.5 depending on the selected modelling approach. This O3 increase is associated with the second largest warming effect across aviation’s main climate forcers [1]. We therefore quantify this figure using three modelling approaches (an Eulerian and a Lagrangian tagging scheme as well as a perturbation approach) at three potential aircraft cruise altitudes (200, 250 and 300 hPa) at which NOx pulse emissions are introduced in the Americas, Africa, Eurasia and Australasia. In general, the tagging method computes the contribution by an emission source to the concentration of a chemical species while a perturbation approach consists in calculating the total impact of an emission to the concentration of a species by means of subtracting two simulations: one with all emissions and a second without the specific source’s emissions. We compare results from Eulerian and Lagrangian simulations using the same climate-chemistry code: the ECHAM5/MESSy Atmospheric Chemistry (EMAC) model. With the Eulerian setup, we are able to capture non-linear processes and feedback effects, but not track the transport of emitted species in detail. The Lagrangian setup [2], on the other hand, allows for the accompaniment of thousands of air parcel trajectories, but at the cost of assuming a simplified linear chemistry mechanism. We find that the Lagrangian tagging approach provides the largest estimates for O3 production and radiative forcing (RF), followed by the Eulerian tagging scheme and lastly by the perturbation method. We therefore investigate the appropriateness of each of these in quantifying aviation’s total and marginal climate effects by addressing the following research questions: 1) By how much are the estimates for the short-term NOx-induced O3 perturbation and consequent RF varying across the three modelling approaches and why? 2) How does this RF vary with emission altitude within the upper Troposphere/lower Stratosphere (UTLS)?
[1] Lee, D.S., Fahey, D.W., Skowron, A., Allen, M.R., Burkhardt, U., Chen, Q., Doherty, S.J., Freeman, S., Forster, P.M., Fuglestvedt, J., Gettelman, A., De León, R.R., Lim, L.L., Lund, M.T., Millar, R.J., Owen, B., Penner, J.E., Pitari, G., Prather, M.J., Sausen, R., and Wilcox, L.J.: The contribution of global aviation to anthropogenic climate forcing for 2000 to 2018, Atmos. Environ., 244, 117834, https://doi.org/10.1016/j.atmosenv.2020.117834, 2021.
[2] Maruhashi, J., Grewe, V., Frömming, C., Jöckel, P., and Dedoussi, I. C.: Transport patterns of global aviation NOx and their short-term O3 radiative forcing – a machine learning approach, Atmos. Chem. Phys., 22, 14253–14282, https://doi.org/10.5194/acp-22-14253-2022, 2022.","Model comparison; NOx-O3 effects; Aviation climate impact","en","abstract","","","","","","","","","","","Aircraft Noise and Climate Effects","","",""
"uuid:b3ab49a6-a7bc-41fa-ae32-0014ff9a95a1","http://resolver.tudelft.nl/uuid:b3ab49a6-a7bc-41fa-ae32-0014ff9a95a1","Doughnut-Architecture: The Doughnut Economic approach in Architecture","Medici, P. (TU Delft Theory, Territories & Transitions); Cavallo, R. (TU Delft Theory, Territories & Transitions); van Bennekom, H.A. (TU Delft History, Form & Aesthetics)","","2023","In 2017, Professor Kate Raworth from Oxford University and Amsterdam University published the book “Doughnut Economics: seven ways to think like a 21st century economist”. The Doughnut, the core concept at the heart of Doughnut Economics (DE), is a tool for human prosperity in the 21st century to meet the needs of all people within the means of the living planet. It consists of two concentric rings, a social foundation and an ecological ceiling, and in between lies a doughnut-shaped space, the safe and just spacewhere humanity can thrive.","","en","journal article","","","","","","","","","","","Theory, Territories & Transitions","","",""
"uuid:f6a94190-d0cb-4526-973b-b7191de247c4","http://resolver.tudelft.nl/uuid:f6a94190-d0cb-4526-973b-b7191de247c4","The doughnut economic approach in architecture","Medici, P. (TU Delft Theory, Territories & Transitions); Cavallo, R. (TU Delft Theory, Territories & Transitions); van Bennekom, H.A. (TU Delft History, Form & Aesthetics)","","2023","","","en","conference paper","","","","","","","","","","","Theory, Territories & Transitions","","",""
"uuid:9d16b556-3943-4fcf-8fff-59ed2abd3950","http://resolver.tudelft.nl/uuid:9d16b556-3943-4fcf-8fff-59ed2abd3950","Steering Stories: Confronting Narratives of Driving Automation through Contestational Artifacts","Lupetti, M.L. (TU Delft Design Aesthetics); Cavalcante Siebert, L. (TU Delft Interactive Intelligence); Abbink, D.A. (TU Delft Human-Robot Interaction)","","2023","In this paper, we problematize popular narratives of driving automation. Whether positive or negative, these propagate simplistic assumptions about human abilities and reinforce technocratic approaches to mobility innovation. We build on narrative approaches to participatory research and adversarial design, to explore how design-led confrontation can create opportunities for reflection on implicit assumptions and narratives that stakeholders may refer to when discussing and making decisions about automated driving technologies. Specifically, we discuss the results of four focus groups where we used contestational artifacts to promote critical discussions and confront taken-for-granted beliefs among stakeholders. We reflect on the results to distill methodological insight and design recommendations for conducting adversarial participatory design research as a way towards confronting dominant narratives. Together with the methodological approach, the main contribution of this work, we also provide a set of narrative tensions that can be used to question common beliefs surrounding automated driving futures.","Adversarial Design; Automated Driving; Critical Design; Narratives of Technology; Political Design","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Design Aesthetics","","",""
"uuid:4dc40d4a-a481-4708-843e-7934b86266ba","http://resolver.tudelft.nl/uuid:4dc40d4a-a481-4708-843e-7934b86266ba","What is Sensitive About (Sensitive) Data? Characterizing Sensitivity and Intimacy with Google Assistant Users","Gomez Ortega, A. (TU Delft Internet of Things); Bourgeois, J. (TU Delft Internet of Things); Kortuem, G.W. (TU Delft Internet of Things)","","2023","Digital technologies have increasingly integrated into people's lives, continuously capturing their behavior through potentially sensitive data. In the context of voice assistants, there is a misalignment between experts, regulators, and users on whether and what data is 'sensitive', partly due to how data is presented to users; as single interactions. We investigate users' perspectives on the sensitivity and intimacy of their Google Assistant speech records, introduced comprehensively as single interactions, patterns, and inferences. We collect speech records through data donation and explore them in collaboration with 17 users during interviews based on predefined data-sharing scenarios. Our results indicate a tipping point in perceived sensitivity and intimacy as participants delve deeper into their data and the information derived from it. We propose a conceptualization of sensitivity and intimacy that accounts for the fuzzy nature of data and must disentangle from it. We discuss the implications of our findings and provide recommendations.","Intimate Data; Personal Data; Sensitive Data; Voice Assistants","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Internet of Things","","",""
"uuid:a73acffb-9714-49a4-9c20-dd20fd777838","http://resolver.tudelft.nl/uuid:a73acffb-9714-49a4-9c20-dd20fd777838","Experimental Testing and Constitutive Modelling of Pavement Materials","Liu, X. (TU Delft Pavement Engineering); Zhang, Yuqing (Aston University); You, Zhanping (Michigan Technological University); Wang, Linbing (University of Georgia); Zhou, Changhong (Guilin University of Electronic Technology)","","2023","","","en","contribution to periodical","","","","","","","","","","","Pavement Engineering","","",""
"uuid:feb8f289-072e-4a46-840f-bf7cee9c679c","http://resolver.tudelft.nl/uuid:feb8f289-072e-4a46-840f-bf7cee9c679c","Experiencing Temporary Home Design for Young Urban Dwellers: “We Can’t Put Anything on the Wall”","Overtoom, M.E. (TU Delft Environmental & Climate Design); Elsinga, M.G. (TU Delft Urban Development Management); Bluyssen, P.M. (TU Delft Environmental & Climate Design)","","2023","A significant number of young people live in temporary homes, which are designed to fulfil basic needs and provide space for normal activities. However, it is unclear what those basic activities are. Moreover, the indoor environmental quality is often left out of the meaning of home, although activities and objects can affect its experienced quality. We therefore verbally and visually explored how young temporary dwellers appropriate and experience their homes, including the indoor environmental quality. Fourteen young adults took part in semi-structured interviews and photographed their most used as well as their favourite place. The interviews were transcribed and analysed following an interpretative phenomenological analysis. The experiences of appropriation in the home were connected to the physical environment through an analysis of the photos and floor plans (sketched by the researcher) using an architectural analysis from the user perspective. The outcome showed that the young adults appropriated their home in three ways: by familiarising the place with objects and “normal” activities, organising where things are and when they happen, and managing the indoor environmental quality through activities and objects. It is concluded that qualitative and visual analyses can assist with making recommendations to improve the design of temporary housing.","indoor environmental quality; home; activities; appropriation; temporary housing","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:811b97b5-1f56-48dd-85ec-7828b28e9f79","http://resolver.tudelft.nl/uuid:811b97b5-1f56-48dd-85ec-7828b28e9f79","A Model-Assisted Probability of Detection Framework for Optical Fiber Sensors","Falcetelli, F. (University of Bologna); Yue, N. (TU Delft Structural Integrity & Composites); Rossi, Leonardo (IMM Institute); Bolognini, Gabriele (IMM Institute); Bastianini, Filippo (SOCOTEC Photonics); Zarouchas, D. (TU Delft Structural Integrity & Composites); Di Sante, Raffaella (University of Bologna)","","2023","Optical fiber sensors (OFSs) represent an efficient sensing solution in various structural health monitoring (SHM) applications. However, a well-defined methodology is still missing to quantify their damage detection performance, preventing their certification and full deployment in SHM. In a recent study, the authors proposed an experimental methodology to qualify distributed OFSs using the concept of probability of detection (POD). Nevertheless, POD curves require considerable testing, which is often not feasible. This study takes a step forward, presenting a model-assisted POD (MAPOD) approach for the first time applied to distributed OFSs (DOFSs). The new MAPOD framework applied to DOFSs is validated through previous experimental results, considering the mode I delamination monitoring of a double-cantilever beam (DCB) specimen under quasi-static loading conditions. The results show how strain transfer, loading conditions, human factors, interrogator resolution, and noise can alter the damage detection capabilities of DOFSs. This MAPOD approach represents a tool to study the effects of varying environmental and operational conditions on SHM systems based on DOFSs and for the design optimization of the monitoring system.","","en","journal article","","","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:bbe040ce-f9db-4fca-9efd-5b11e1719b9e","http://resolver.tudelft.nl/uuid:bbe040ce-f9db-4fca-9efd-5b11e1719b9e","Using Empathy-Centric Design in Industry: Reflections from the UX Researcher, the Client, and the Method Expert","Drouet, Luce (University of Luxembourg); Sleeswijk Visser, F. (TU Delft Design Conceptualization and Communication); Lallemand, Carine (University of Luxembourg)","","2023","Empathic design provides tools and frameworks supporting designers to understand users’ experiences with products or services. However, how does one hand over this empathic understanding of users to other internal stakeholders shaping the service experience? In this contribution, we reflect on a three-year implementation of an empathy-centric design approach in an industrial context with a low user experience maturity from three different professional viewpoints: ours as UX researchers, the one of a company manager, and an expert researcher on empathy in design. These narrative introspective accounts unveil some of the main benefits, opportunities, and challenges of implementing an empathy-centric design approach in the industry. We discuss and confront them to prior work.We contribute to the field of empathic design with rich in-situ research insights and principles for a successful empathic approach.","Empathic research method; Service design; UX; Empathy-Centric Design; Industry insights; Introspection","en","conference paper","","","","","","","","","","","Design Conceptualization and Communication","","",""
"uuid:192625ef-6727-44db-a997-8db5f2f6c54a","http://resolver.tudelft.nl/uuid:192625ef-6727-44db-a997-8db5f2f6c54a","Indications of marine benthos occurrence from multi-spectral multi-beam backscatter data: a case study in the North Sea","Bai, Q. (TU Delft Aircraft Noise and Climate Effects); Mestdagh, S.M.F. (TU Delft Aircraft Noise and Climate Effects); Snellen, M. (TU Delft Control & Operations; TU Delft Aircraft Noise and Climate Effects); Simons, D.G. (TU Delft Aircraft Noise and Climate Effects)","","2023","To facilitate the conservation of seafloor habitats and planning of offshore activities, there is a growing need for mapping marine benthos in an effective and efficient way. Acoustic data acquired by multi-beam echosounders (MBES) have been extensively used for large-scale and high-resolution seafloor characterization. A deeper understanding of the relationship between backscatter data and sediment compositions can help to identify the benthos occurrence from the MBES data. With two multi-spectral MBES datasets collected near the western Wadden Sea islands in the North Sea, we investigated the potential of mapping marine benthos through backscatter classification. Two unsupervised classification methods, i.e., Bayesian classification, which mainly exploits the backscatter strength from incident angles larger than 20°, and hierarchical clustering of the backscatter strength at different angular ranges, were employed and the results were compared. The classification results from both methods showed a good correspondence with sediment properties such as the median grain size. Moreover, based on a principal component analysis of bottom sample properties, the hierarchical clustering results indicated a better distinction between contributions from the gravel content and benthos occurrence, e.g., sand mason worm density, than Bayesian classification, through involving the backscatter angular variations. Classification for multiple frequencies, on the other hand, showed little difference regarding the relationship with bottom sample properties. Although the backscatter difference between frequencies was also found to positively correlate with certain sample properties, using multi-spectral features for acoustic classification in this study did not reveal additional information compared to single-frequency classification results.","multi-beam echosounder; backscatter; multi-spectral; seafloor classification; marine benthos occurrence","en","journal article","","","","","","","","","","Control & Operations","Aircraft Noise and Climate Effects","","",""
"uuid:a5e48768-e144-449d-b8fc-fb25bffaaf10","http://resolver.tudelft.nl/uuid:a5e48768-e144-449d-b8fc-fb25bffaaf10","On the design of bank revetments at inland waterways subjected to ship-induced water level drawdown: A probabilistic infinite slope analysis","Sorgatz, Julia (Bundesanstalt für Wasserbau); van den Eijnden, A.P. (TU Delft Geo-engineering); Montenegro, Héctor (Bundesanstalt für Wasserbau); Hicks, M.A. (TU Delft Geo-engineering)","","2023","To protect embankments along German inland waterways against local slope sliding failure caused by ship-induced water level drawdown, they are mainly secured by bank revetments. Often, large embankment sections are designed on the basis of a limited number of field and laboratory tests. Thus, uncertainties arise with regard to the mechanical and hydraulic ground properties. Current design standards account for these uncertainties by conservative design assumptions and empirical knowledge. This paper investigates the effects of vertically non-homogeneous ground properties on the required armour layer thickness using 1D random fields and an infinite slope model, which was modified to account for ship-induced drawdowns. Within the limitations of the infinite slope assumptions, the effects of a spatially variable friction angle and hydraulic conductivity are investigated and compared to deterministic benchmark cases. The investigations show that the level of safety obtained with the deterministic design depends strongly on the choice of the characteristic values. Particularly, the hydraulic conductivity determines the reliability of the design. In some cases, the 5 % quantile of the hydraulic conductivity does not yield a conservative estimate of the required armour layer thickness. In the case of the effective friction angle, the 5 % quantile may overestimate the required armour layer thickness for permeable soils. For less permeable soils, the 5 % quantile meets the solution of the random field analyses. For the combination of random effective friction angle and random hydraulic conductivity, all investigated benchmark studies seem to ensure engineering safety, but on different reliability levels. Based on these findings, recommendations regarding site exploration and choice of characteristic values of hydraulic conductivity and effective friction angle are provided.","slope stability; ship-induced drawdown; revetment design; non-homogeneous ground properties; random fields","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:0c7ee6cb-22f0-4c59-a2c0-a032dbf06ca4","http://resolver.tudelft.nl/uuid:0c7ee6cb-22f0-4c59-a2c0-a032dbf06ca4","Analysis of movements in tooth removal procedures using robot technology","van Riet, T.C.T. (Universiteit van Amsterdam); de Graaf, W.M. (TU Delft Learning & Autonomous Control); de Lange, Jan (Universiteit van Amsterdam); Kober, J. (TU Delft Learning & Autonomous Control)","","2023","Being one of the oldest en most frequently performed invasive procedures; the lack of scientific progress of tooth removal procedures is impressive. This has most likely to do with technical limitations in measuring different aspects of these keyhole procedures. The goal of this study is to accurately capture the full range of motions during tooth removal as well as angular velocities in clinically relevant directions. An ex vivo measuring setup was designed consisting of, amongst others, a compliant robot arm. To match clinical conditions as closely as possible, fresh-frozen cadavers were used as well as regular dental forceps mounted on the robot’s end-effector. Data on 110 successful tooth removal experiments are presented in a descriptive manner. Rotation around the longitudinal axis of the tooth seems to be most dominant both in range of motion as in angular velocity. Buccopalatal and buccolingual movements are more pronounced in the dorsal region of both upper and lower jaw. This study quantifies an order of magnitude regarding ranges of motion and angular velocities in tooth removal procedures. Improved understanding of these complex procedures could aid in the development of evidence-based educational material.","","en","journal article","","","","","","","","","","","Learning & Autonomous Control","","",""
"uuid:4273526d-74ea-429c-95be-cf8dc40212e5","http://resolver.tudelft.nl/uuid:4273526d-74ea-429c-95be-cf8dc40212e5","Environmental research for sustaining quality and integrity of natural habitat and human settlement","Chen, Y. (TU Delft Urban Development Management); Yang, Yizhao (University of Oregon)","","2023","The research on the quality of natural habitats and human settlements has been paid attention to by academia, design professionals and policymakers in recent years. Most of the concern is driven by rapid spatial transformation of and ecological deterioration in both natural habitats and human settlement globally due to the rapid urbanisation process, climate change, and environmental disasters (Franklin, Anderson, Gutiérrez, & Burnham, 2000; Kareiva, Tallis, Ricketts, Daily, & Polasky, 2011; Zhu et al., 2020). Besides the spatial and environmental changes, various social-demographic and economic factors connected with concerns on gender, age and lifestyle also contribute to the evolution of public space and architectural space that influence the daily life of individuals and communities (Carmona, 2014; Moser, 2017). The questions raised here are, what is the status of habitat quality and the spatial quality in and around the human settlements? How can we assess the status? And to what extent can planners and policymakers develop strategies to better balance the need to sustain the quality of nature and human settlement based on quantitative and qualitative assessment and prediction?","","en","journal article","","","","","","","","","","","Urban Development Management","","",""
"uuid:28067361-6d40-4e7e-9688-85190f1e2a7a","http://resolver.tudelft.nl/uuid:28067361-6d40-4e7e-9688-85190f1e2a7a","Resilient Estate Landscapes Gelderland: Past, Present, Future","Nijhuis, S. (TU Delft Landscape Architecture); Storms-Smeets, Elyze; Thissen, Paul (Lead Erfgoed en Landschap bij Provincie Gelderland)","","2023","The province of Gelderland, in the Netherlands, has many castles, country houses and estates. Together, they form historic estate landscapes that partially determine the regional landscape character. Climate change and urbanisation have a significant effect on the management and protection of these heritage landscapes. An abundance and a shortage of water, spatial fragmentation and increasing pressure from recreation and tourism are only a few of the challenges that need to be addressed. The complexity of these challenges requires a regional perspective to understand the coherence and systemic relationships between the estates and to help find common ground in which stakeholders can work together to increase the resilience and adaptability of these valuable landscapes.
Resilient Estate Landscapes Gelderland proffers a landscape-based regional approach to understanding, planning and designing heritage estate landscapes. It elaborates a preservation-through-planning approach that takes spatial development with historical landscape structures as a basis and engages in a process with meaningful stakeholder engagement and visualisation/communication to invent spatial strategies and principles founded on co-creation and collaboration while employing spatial design as an essential means.
Resilient Estate Landscapes Gelderland results from a collaborative project of TU Delft Landscape Architecture, the Province of Gelderland and heritage organisation Gelders Genootschap in the framework of the Characteristic and Sustainable Heritage (KaDEr) programme and the EU-Interreg project Innocastle. The book provides a powerful roadmap for the protection and development of future-proof estate landscapes by presenting a practice-oriented approach and its implementation in policy, planning and design. While showcasing best practices from the region and beyond, the book is a valuable resource for every-one interested in the past, present and future of heritage estate landscapes.","Landscape approach; heritage landscapes; Landscape-based regional design; Sustainable heritage landscapes; water sensitive design; landscape architecture; Preservation-Through-Planning","en","book","Jap Sam Books","9789492852540","","","","","","2025-01-01","","","Landscape Architecture","","",""
"uuid:e83889c7-ffc0-4d1a-966f-89e87e0cfa0d","http://resolver.tudelft.nl/uuid:e83889c7-ffc0-4d1a-966f-89e87e0cfa0d","EMGSense: A Low-Effort Self-Supervised Domain Adaptation Framework for EMG Sensing","Duan, Di (Shenzhen Research Institute; City University of Hong Kong); Yang, Huanqi (Shenzhen Research Institute; City University of Hong Kong); Lan, G. (TU Delft Embedded Systems); Li, Tianxing (Michigan State University); Jia, Xiaohua (Shenzhen Research Institute; City University of Hong Kong); Xu, Weitao (City University of Hong Kong; Shenzhen Research Institute)","","2023","This paper presents EMGSense, a low-effort self-supervised domain adaptation framework for sensing applications based on Electromyography (EMG). EMGSense addresses one of the fundamental challenges in EMG cross-user sensing—the significant performance degradation caused by time-varying biological heterogeneity—in a low-effort (data-efficient and label-free) manner. To alleviate the burden of data collection and avoid labor-intensive data annotation, we propose two EMG-specific data augmentation methods to simulate the EMG signals generated in various conditions and scope the exploration in label-free scenarios. We model combating biological heterogeneity-caused performance degradation as a multi-source domain adaptation problem that can learn from the diversity among source users to eliminate EMG heterogeneous biological features. To relearn the target-user-specific biological features from the unlabeled data, we integrate advanced self-supervised techniques into a carefully designed deep neural network (DNN) structure. The DNN structure can seamlessly perform two training stages that complement each other to adapt to a new user with satisfactory performance. Comprehensive evaluations on two sizable datasets collected from 13 participants indicate that EMGSense achieves an average accuracy of 91.9% and 81.2% in gesture recognition and activity recognition, respectively. EMGSense outperforms the state-of-the-art EMG-oriented domain adaptation approaches by 12.5%-17.4% and achieves a comparable performance with the one trained in a supervised learning manner.","EMG sensing; biological heterogeneity; domain adaptation; self-supervised learning","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-18","","","Embedded Systems","","",""
"uuid:ee0a3a4f-f638-47ed-8d92-8997a4ca48e3","http://resolver.tudelft.nl/uuid:ee0a3a4f-f638-47ed-8d92-8997a4ca48e3","Photochromic samarium oxyhydride thin films","Chaykina, D. (TU Delft ChemE/Materials for Energy Conversion and Storage); Colombi, G. (TU Delft ChemE/Materials for Energy Conversion and Storage); Schreuders, H. (TU Delft ChemE/O&O groep); Dam, B. (TU Delft ChemE/Chemical Engineering)","","2023","Photochromism has been reported for several rare-earth (RE) metal oxyhydride thin films and is characterized by a reversible darkening of the sample when exposed to light with energy greater than its optical bandgap. Here, we extend the range of known photochromic RE-oxyhydrides to include samarium oxyhydrides. These SmH3−2xOx thin films are made by reactive magnetron sputtering of as-deposited SmH1.9+δ and post-oxidation in the air to the oxyhydride phase. The deposition pressure during sputtering is used to control the resultant properties of the Sm-oxyhydride film, such as the optical bandgap, cubic lattice constant, photochromic contrast, and photochromic bleaching speed. Using Sm as the RE-cation results in slower bleaching speeds compared to other lanthanides. We posit that this is due to the stability of the Sm2+ state and the difficulty to oxidizing it back to the original RE3+ state. This points to the key role of the RE-cation charge state for the optical properties of the material.","OA-Fund TU Delft","en","journal article","","","","","","","","","","ChemE/Chemical Engineering","ChemE/Materials for Energy Conversion and Storage","","",""
"uuid:0797fccc-d436-40f1-af00-2b0f2d43c23d","http://resolver.tudelft.nl/uuid:0797fccc-d436-40f1-af00-2b0f2d43c23d","A 13.56MHz Fully Integrated 91.8% Efficiency Single-Stage Dual-Output Regulating Voltage Doubler for Biomedical Wireless Power Transfer","Lu, T. (TU Delft Electronic Instrumentation); Chang, Z.Y. (TU Delft Electronic Instrumentation); Jiang, Junmin (Southern University of Science and Technology); Makinwa, K.A.A. (TU Delft Microelectronics); Du, S. (TU Delft Electronic Instrumentation)","","2023","Dual-output regulating rectifier is highly desired in wireless power transfer (WPT) for sub-100mW bioimplants. Such rectifiers perform voltage rectification and dual-output regulation simultaneously, thus avoiding post DC-DC conversions and cascaded power losses [1 –4]. However, the conventional dual-output structure suffers from a low voltage conversion ratio (VCR) (< 1) due to the full bridge rectifier (FBR) topology (Fig. 1), severely limiting the receiver operation when wireless link condition varies [1–2]. In order to extend the operational range without increasing the power demand from the transmitter, [3] presents a charge-pump based dual-output rectifier; however, it uses 10 power transistors (PTs) and 8 off-chip capacitors, degrading the power conversion efficiency (PCE) and increasing the integration cost. Alternatively, the current-mode dual-output rectifier can realize a VCR higher than 1, but the output power is limited to less than 10mW [4], which is insufficient for advanced bioimplants. In this work, a 13. 56MHz single-stage dual-output voltage doubler (DOVD) is proposed to address the above limitations, which employs only two PTs and a fully integrated design. lt can achieve a peak VCR of1.78 and outputs power up to 8lmWwith a 91.8% peak PCE.","Wireless communication; Transmitters; Rectifiers; Wireless power transfer; Receivers; Regulation; Topology","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-11","","Microelectronics","Electronic Instrumentation","","",""
"uuid:52c7d74e-098a-47a5-9648-b1c84270aba2","http://resolver.tudelft.nl/uuid:52c7d74e-098a-47a5-9648-b1c84270aba2","Investigating the potential added value of [ 18 F]FDG-PET/CT in long COVID patients with persistent symptoms: a proof of concept study","Chen, Linda L. (Alrijne Ziekenhuis; Leiden University Medical Center; Erasmus MC; Student TU Delft); van de Burgt, Alina (Alrijne Ziekenhuis; Leiden University Medical Center); Smit, Frits (Alrijne Ziekenhuis; Leiden University Medical Center); Audhoe, Rowena S. (Alrijne Ziekenhuis); de Boer, Sandra M. (Alrijne Ziekenhuis); van Velden, Floris H.P. (Leiden University Medical Center); de Geus-Oei, L.F. (TU Delft RST/Radiation, Science and Technology; Leiden University Medical Center; University of Twente)","","2023","Objective Since the end of 2019, the coronavirus disease 2019 (COVID-19) virus has infected millions of people, of whom a significant group suffers from sequelae from COVID-19, termed long COVID. As more and more patients emerge with long COVID who have symptoms of fatigue, myalgia and joint pain, we must examine potential biomarkers to find quantifiable parameters to define the underlying mechanisms and enable response monitoring. The aim of this study is to investigate the potential added value of [ 18F]FDG-PET/computed tomography (CT) for this group of long COVID patients. Methods For this proof of concept study, we evaluated [ 18F]FDG-PET/CT scans of long COVID patients and controls. Two analyses were performed: semi-quantitative analysis using target-to-background ratios (TBRs) in 24 targets and total vascular score (TVS) assessed by two independent nuclear medicine physicians. Mann-Whitney U-test was performed to find significant differences between the two groups. Results Thirteen patients were included in the long COVID group and 25 patients were included in the control group. No significant differences (P < 0.05) were found between the long COVID group and the control group in the TBR or TVS assessment. Conclusion As we found no quantitative difference in the TBR or TVS between long COVID patients and controls, we are unable to prove that [ 18F]FDG is of added value for long COVID patients with symptoms of myalgia or joint pain. Prospective cohort studies are necessary to understand the underlying mechanisms of long COVID.","","en","journal article","","","","","","","","","","RST/Radiation, Science and Technology","","","",""
"uuid:6a5dd64d-933f-45aa-9fa7-ec4cb81a2eb6","http://resolver.tudelft.nl/uuid:6a5dd64d-933f-45aa-9fa7-ec4cb81a2eb6","Exploring shape memory alloys in haptic wearables for visually impaired people","Ghodrat, S. (TU Delft Emerging Materials); Sandhir, P. (TU Delft Methodologie en Organisatie van Design); Huisman, G. (TU Delft Human Information Communication Design)","","2023","Wearable haptic assistive devices can provide tactile information to visually impaired people (VIP) to support independent living. However, electromechanical haptic feedback has a number of disadvantages, including hardware being relatively heavy, large, and producing excessive sound. Here, we present a design-driven investigation of the potential of shape memory alloy-based haptic feedback for VIP. We followed an iterative approach, focusing on hands-on material explorations, in which we identified challenges and subsequent solutions that designers of SMA-based wearable haptic assistive devices may be faced with when incorporating SMAs in their designs. We present several prototype iterations and an initial evaluation with VIP to offer insights into the potential of SMA-based wearable haptic devices for VIP.","shape memory alloy; haptics; wearables; visually impaired people; assistive device; research through design; material-driven design","en","journal article","","","","","","","","","","","Emerging Materials","","",""
"uuid:8e5b08f6-ca9e-443b-af65-db0303d94bdc","http://resolver.tudelft.nl/uuid:8e5b08f6-ca9e-443b-af65-db0303d94bdc","Impact of sunlight irradiation on CvFAP photodecarboxylation","França, Alexandre S. (Universidade Federal do Rio de Janeiro); Brêda, Gabriela C. (Universidade Federal do Rio de Janeiro); De Oliveira, Kleber T. (Federal University of São Carlos, São Carlos, São Paulo); Almeida, Rodrigo V. (Universidade Federal do Rio de Janeiro); Hollmann, F. (TU Delft BT/Biocatalysis); de Souza, Rodrigo O.M.A. (Universidade Federal do Rio de Janeiro)","","2023","A visible-light-driven photocatalytic decarboxylation of palmitic acid and related fatty acids is described in this study. Remarkable decarboxylation rates have been observed with full conversion in less than 20 min. In this study, we have
demonstrated that sunlight irradiation, even on cloudy days, can deliver similar results to traditional LED lamps while using much less energy and minimizing environmental impact. The findings indicate that the process of enzymatic
decarboxylation could be useful for the production of different biofuels in the future.","photodecarboxylation; biocatalysis; green chemistry; sunlight; CvFAP","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:b78c39ea-a071-4783-af71-2d889152f1ae","http://resolver.tudelft.nl/uuid:b78c39ea-a071-4783-af71-2d889152f1ae","Nanostructured Thermoelectric Films Synthesised by Spark Ablation and Their Oxidation Behaviour: Nanomaterials","van Ginkel, H.J. (TU Delft Electronic Components, Technology and Materials); Mitterhuber, Lisa (Materials Center Leoben Forschung GmbH); van de Putte, Marijn Willem (University of Twente); Huijben, Mark (University of Twente); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","Reducing the thermal conductivity of thermoelectric materials has been a field of intense research to improve the efficiency of thermoelectric devices. One approach is to create a nanostructured thermoelectric material that has a low thermal conductivity due to its high number of grain boundaries or voids, which scatter phonons. Here, we present a new method based on spark ablation nanoparticle generation to create nanostructured thermoelectric materials, demonstrated using Bi2Te3. The lowest achieved thermal conductivity was <0.1 W m−1 K−1 at room temperature with a mean nanoparticle size of 8±2 nm and a porosity of 44%. This is comparable to the best published nanostructured Bi2Te3 films. Oxidation is also shown to be a major issue for nanoporous materials such as the one here, illustrating the importance of immediate, air-tight packaging of such materials after synthesis and deposition.","thermoelectric; nanoparticle; Bi2Te3; spark ablation; nanostructured","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:9206ad65-b2b9-4b0a-9e14-78c7e2da9ae4","http://resolver.tudelft.nl/uuid:9206ad65-b2b9-4b0a-9e14-78c7e2da9ae4","Partial discharge detection on power equipment using a magneto-resistive sensor","Chen, Y. (TU Delft DC systems, Energy conversion & Storage; South China University of Technology); Castro Heredia, L.C. (TU Delft ESP LAB); Smit, J.J. (TU Delft EEMS - General; IWO (Inst. for Science & Development / Inst. voor Wetenschap & Ontwikkeling)); Ghaffarian Niasar, M. (TU Delft High Voltage Technology Group); Ross, Robert (TU Delft High Voltage Technology Group; IWO (Inst. for Science & Development / Inst. voor Wetenschap & Ontwikkeling))","","2023","Partial discharges (PD) detection is an effective diagnostic method to assess the insulation condition of electrical power equipment in the high-voltage laboratory or field tests. This paper presents a non-contacting PD detection method for power equipment. The method is based on an extra high-sensitivity adapted giant magneto-resistive (xMR) sensor that measures the magnetic field produced by the PD currents. Firstly, this paper describes the sensor’s relevant principle and signal conditioning circuit. Next, the sensor’s typical performance, including the frequency response and time-domain response to calibrator PD pulses, is measured and compared with our previous work. The results indicate that the xMR system’s bandwidth is improved to the MHz range. Finally, PD experiments are carried out and compared with measurements using a commercially available high-frequency current transformer (HFCT), which allows for verification of the coherence of the results concerning the PD pulses and phase-resolved PD (PRPD) patterns. The results show that PD in a cross-linked polyethylene (XLPE) cable or a gas-insulated system (GIS) with artificial discharging defects is successfully measured, demonstrating the sensitivity and performance of the xMR system for PD detection.","Cross-linked polyethylene (XLPE) cable; (Electro)magnetic field measurement; Giant magneto-resistive (GMR); Gas-insulated systems (GIS); High-frequency current transformers (HFCT); Partial discharges (PD); Sensors","en","journal article","","","","","","","","","","","DC systems, Energy conversion & Storage","","",""
"uuid:133b7aef-2d3b-4be4-a6ce-a3553dad4432","http://resolver.tudelft.nl/uuid:133b7aef-2d3b-4be4-a6ce-a3553dad4432","Optimal energy system scheduling using a constraint-aware reinforcement learning algorithm","Shengren, H. (TU Delft Intelligent Electrical Power Grids); Vergara Barrios, P.P. (TU Delft Intelligent Electrical Power Grids); Salazar Duque, Edgar Mauricio (Eindhoven University of Technology); Palensky, P. (TU Delft Intelligent Electrical Power Grids)","","2023","The massive integration of renewable-based distributed energy resources (DERs) inherently increases the energy system’s complexity, especially when it comes to defining its operational schedule. Deep reinforcement learning (DRL) algorithms arise as a promising solution due to their data-driven and model-free features. However, current DRL algorithms fail to enforce rigorous operational constraints (e.g., power balance, ramping up or down constraints) limiting their implementation in real systems. To overcome this, in this paper, a DRL algorithm (namely MIP-DQN) is proposed, capable of strictly enforcing all operational constraints in the action space, ensuring the feasibility of the defined schedule in real-time operation. This is done by leveraging recent optimization advances for deep neural networks (DNNs) that allow their representation as a MIP formulation, enabling further consideration of any action space constraints. Comprehensive numerical simulations show that the proposed algorithm outperforms existing state-of-the-art DRL algorithms, obtaining a lower error when compared with the optimal global solution (upper boundary) obtained after solving a mathematical programming formulation with perfect forecast information; while strictly enforcing all operational constraints (even in unseen test days).","Energy management systems; Distributed energy system; Safe reinforcement learning; Machine learning; Nonlinear programming","en","journal article","","","","","","","","","","","Intelligent Electrical Power Grids","","",""
"uuid:45f2badf-27c8-423b-a377-cd4d1a907ae5","http://resolver.tudelft.nl/uuid:45f2badf-27c8-423b-a377-cd4d1a907ae5","Scanning dynamic light scattering optical coherence tomography for measurement of high omnidirectional flow velocities","Cheishvili, K. (TU Delft ImPhys/Kalkman group); Kalkman, J. (TU Delft ImPhys/Computational Imaging; TU Delft ImPhys/Kalkman group)","Izatt, Joseph A. (editor); Fujimoto, James G. (editor)","2023","We show scanning dynamic light scattering optical coherence tomography (OCT) omnidirectional flow measurements. Our method improves the velocity measurement limit over conventional correlation-based or phase-resolved Doppler OCT by more than a factor of 2. Our technique is applicable without a-priori knowledge of the flow geometry as our method works both for non-zero Doppler angle and non-ideal scan alignment. In addition, the method improves the particle diffusion coefficient estimation for particles under flow.","","en","conference paper","SPIE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-08","","","ImPhys/Kalkman group","","",""
"uuid:befeb509-aa70-48f4-bc01-4055e05f5880","http://resolver.tudelft.nl/uuid:befeb509-aa70-48f4-bc01-4055e05f5880","Sub-diffusion flow velocimetry with number fluctuation optical coherence tomography","Cheishvili, K. (TU Delft ImPhys/Kalkman group); Kalkman, J. (TU Delft ImPhys/Computational Imaging; TU Delft ImPhys/Kalkman group)","Izatt, Joseph A. (editor); Fujimoto, James G. (editor)","2023","We show number fluctuations dynamic light scattering optical coherence tomography (OCT) for measuring extremely slow, sub-diffusion flows of dilute particle suspensions. Our method removes the minimum measurable velocity limitation of conventional correlation-based or phase-resolved Doppler OCT, set by flowing particles’ Brownian motion. Our technique works for any Doppler angle, is applicable to 2D flow imaging with scanning OCT systems and can be used to determine concentration of particles under flow.","","en","conference paper","SPIE","","","","","","","","","","ImPhys/Kalkman group","","",""
"uuid:6260ad3d-25e2-47d5-8c3e-cbbef61f4e8f","http://resolver.tudelft.nl/uuid:6260ad3d-25e2-47d5-8c3e-cbbef61f4e8f","De impact van corona op treinreisgedrag","de Bruyn, Menno (N.V. Nederlandse Spoorwegen); van Oort, N. (TU Delft Transport and Planning)","","2023","In maart 2020, nadat de coronacrisis ook Nederland had bereikt, startten TU Delft en NS een groots longitudinaal onderzoek onder treinreizigers (Van Hagen et al. 2021). Het onderzoek loopt inmiddels al ruim tweeëneenhalf jaar, met zeven deelonderzoeken en een achtste op komst. Menno de Bruyn (NS) en Niels van Oort (TU Delft) beschrijven hun onderzoek.","Reisinformatie; Post-Corona; Gedrag; Onderzoek","nl","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:be3f0c22-8b34-434d-a0cf-5bad82f165ac","http://resolver.tudelft.nl/uuid:be3f0c22-8b34-434d-a0cf-5bad82f165ac","Engaging the crowd in sensing for smart mobility: A discrete choice experiment","Ding, Aaron Yi (TU Delft Information and Communication Technology); van den Boogert, R.J. (Student TU Delft)","","2023","With rising numbers of people living in cities leading to increasing congestion and pollution, mobile crowdsensing applications form a potential solution to make transport systems smarter and more efficient. However, sharing data comes with the risk of private information being disclosed. Therefore, a clear incentive is necessary to motivate smart device users to share data about their activities and their environment. Taking a choice modelling approach, this study aims to identify factors related to incentives and privacy that explain choice behavior of users in crowdsensing applications. We find that the effort required by users is a main factor influencing the willingness to share data. 47% of respondents (n=125) indicated to be highly concerned about their privacy. However, the risk of re-identification was found to be the least important factor to respondents, a finding which could be explained by the Privacy Paradox. Our findings imply that a trade-off has to be made by developers of crowdsensing applications between the richness of information on one hand, and the privacy risks and participation rate of users on the other hand. We propose three practical principles for designing effective and value-sensitive crowdsensing applications for smart mobility, which are 1) Tailor-made applications, 2) Transparency by design, and 3) Ensuring attractiveness of applications. Furthermore, our study provides a basis for further research on user preferences in smart mobility applications, which will become increasingly important in the light of current challenges in the field of mobility.","Choice Modelling; Crowdsensing; Information sharing; Interviews; Privacy; Privacy Calculus; Sensors; Smart devices; Smart mobility services; Urban areas; Willingness to share data","en","journal article","","","","","","","","","","","Information and Communication Technology","","",""
"uuid:9146303f-434d-4370-a636-0934c643f172","http://resolver.tudelft.nl/uuid:9146303f-434d-4370-a636-0934c643f172","A Hybrid Magnetic Current Sensor With a Multiplexed Ripple-Reduction Loop","Jouyaeian, A. (TU Delft Electronic Instrumentation); Fan, Q. (TU Delft Microelectronics); Brito Zamparette, R.L. (TU Delft Electronic Instrumentation); Ausserlechner, Udo (Infineon Technologies AG); Motz, Mario (Infineon Technologies AG); Makinwa, K.A.A. (TU Delft Microelectronics)","","2023","This article presents a hybrid magnetic current sensor for galvanically isolated measurements. It consists of a CMOS chip that senses the magnetic field generated by current flowing through a lead-frame-based current rail. Hall plates and coils are used to sense low-frequency (dc to 10 kHz) and high-frequency (10 kHz to 5 MHz) magnetic fields, respectively. With the help of on- chip calibration coils, the biasing current of the Hall plates is trimmed to match the sensitivity of the Hall and coil signal paths. The sensitivity drift of the coil path with temperature is compensated by using temperature-dependent gain-setting resistors, while the drift of the Hall path is compensated by biasing the Hall plates with a proportional- to-absolute-temperature (PTAT) current. The resulting sensitivity drift is less than 9% from-40 °C to 80 °C. The offset of the Hall plates is reduced by the current spinning technique, and the resulting ripple is suppressed by a multiplexed ripple-reduction loop (MMRL). Fabricated in a standard 0.18-μm CMOS process, the current sensor occupies 4.6 mm2 and draws 7.8 mA from a 1.8-V supply. It achieves a gain variation of only ±2% in a 5-MHz BW. It also achieves high energy efficiency, with an figure of merit (FoM) of 1.6 fW/Hz.","Galvanic isolation; hybrid current sensors; magnetic current sensing; ripple-reduction loop (RRL); temperature compensation; wide bandwidth","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-30","","Microelectronics","Electronic Instrumentation","","",""
"uuid:05e71f13-16fd-4458-8584-b9369540f39e","http://resolver.tudelft.nl/uuid:05e71f13-16fd-4458-8584-b9369540f39e","A 1.2-mW/Channel Pitch-Matched Transceiver ASIC Employing a Boxcar-Integration-Based RX Micro-Beamformer for High-Resolution 3-D Ultrasound Imaging","Guo, P. (TU Delft Bio-Electronics; TU Delft Electronic Instrumentation); Fool, F. (TU Delft ImPhys/Verweij group); Chang, Z.Y. (TU Delft Electronic Instrumentation); Noothout, E.C. (TU Delft ImPhys/Verweij group); Vos, H.J. (TU Delft ImPhys/Verweij group; Erasmus MC); Bosch, Johan G. (Erasmus MC); de Jong, N. (TU Delft ImPhys/De Jong group; Erasmus MC); Verweij, M.D. (TU Delft ImPhys/Medical Imaging; TU Delft ImPhys/Verweij group; Erasmus MC); Pertijs, M.A.P. (TU Delft Electronic Instrumentation)","","2023","This article presents a low-power and small-area transceiver application-specific integrated circuit (ASIC) for 3-D trans-fontanelle ultrasonography. A novel micro-beamforming receiver architecture that employs current-mode summation and boxcar integration is used to realize delay-and-sum on an N -element sub-array using N× fewer capacitive memory elements than conventional micro-beamforming implementations, thus reducing the hardware overhead associated with the memory elements. The boxcar integration also obviates the need for explicit anti-aliasing filtering in the analog front end, thus further reducing die area. These features facilitate the use of micro-beamforming in smaller pitch applications, as demonstrated by a prototype transceiver ASIC employing micro-beamforming on sub-arrays of N=4 elements, targeting a wearable ultrasound device that monitors brain perfusion in preterm infants via the fontanel. To meet its strict spatial resolution requirements, a 10-MHz 100- μ m-pitch piezoelectric transducer array is employed, leading to a per-element die area > 2 × smaller than prior designs employing micro-beamforming.","Application-specific integrated circuit (ASIC); micro-beamformer (
BH = 3.6+−2539 × 105M and showing a rarely seen two-component radio structure in its radio nucleus. To further probe their nature, i.e. the IMBH jet activity, we performed additional deep observations with the European VLBI Network (EVN) at 1.66 and 4.99 GHz. We find the more diffuse emission regions and structure details. These new EVN imaging results allow us to reveal a two-sided jet morphology with a size up to about 150 mas (projected length ∼140 pc) and a radio luminosity of about 3 × 1038 erg s−1. The peak feature has an optically thin radio spectrum and thus more likely represents a relatively young ejecta instead of a jet base. The EVN study on SDSS J090613.77+561015.2 demonstrates the existence of episodic, relatively large-scale, and powerful IMBH jet activity in dwarf AGNs. Moreover, we collected a small sample of VLBI-detected dwarf AGNs and investigated their connections with normal AGNs. We notice that these radio sources in the dwarf AGNs tend to have steep spectra and small linear sizes, and possibly represent ejecta from scaled-down episodic jet activity.","galaxies: active; galaxies: dwarf; galaxies: individual: SDSS J090613.77+561015.2; radio continuum: galaxies","en","journal article","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:b07a1479-912d-426e-bd42-4337ae016118","http://resolver.tudelft.nl/uuid:b07a1479-912d-426e-bd42-4337ae016118","Surface Tensile Strength and Hertzian Fracture Resistance of Patterned Acid-Etched Glass","Datsiou, Kyriaki Corinna (University of Hertfordshire); Overend, M. (TU Delft Architectural Technology)","","2023","Patterned acid-etched glasses are frequently used in horizontal glass surfaces that may be walked on, such as floors and staircase treads. These glasses provide useful antislip properties, but the foot traffic cause contact stresses and ageing mechanisms that are poorly understood and can affect the strength of the acid-etched glass. This study explores these strength-reducing effects by undertaking nondestructive and destructive evaluations of two acid-etched glasses with geometrically different surface patterns and comparing their mechanical performance to unetched float glass. In particular, residual surface stress, Hertzian fracture resistance, and fractographic characteristics are determined for each glass type. The surface tensile strength of the glasses is also evaluated by means of destructive flexural tests before and after artificial ageing. The flexural tests reveal that the ridge areas of the acid-etched surface patterns are more susceptible to the formation of digs and deeper surface flaws and are therefore weaker than both the valley areas of the acid-etched pattern and the surface of the unetched float glass. Correspondingly, the acid-etched glass with the highest proportion of ridges was more susceptible to ageing-induced flaws and had the lowest surface tensile strength. The contact (Hertzian) fracture resistance was also significantly affected by the presence of a surface pattern in the acid-etched glass; specifically, the lowest contact strengths were recorded for hard body contact on the ridges of the pattern. The fracture phenomena and new data presented in this paper provide useful insights on the long-term performance of etched patterned glass. The findings can provide the bases for real-world design decisions and for glass forensics.","Acid-etched glass; Ageing; Foot traffic; Frosted glass; Glass fracture interpretation; Hard-body contact strength; Hertzian fracture; Patterned glass; Strength","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care. Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-05","","","Architectural Technology","","",""
"uuid:3aea7b70-0dd3-4e4b-82d3-7e3b29f687db","http://resolver.tudelft.nl/uuid:3aea7b70-0dd3-4e4b-82d3-7e3b29f687db","Brain Activity Recognition using Deep Electroencephalography Representation","Johri, Riddhi (Indian Institute of Technology Gandhinagar); Pandey, Pankaj (Indian Institute of Technology Gandhinagar); Miyapuram, Krishna Prasad (Indian Institute of Technology Gandhinagar); Lomas, J.D. (TU Delft Design Aesthetics)","","2023","Advances in neurotechnology have enhanced and simplified our ability to research brain activity with low-cost and effective equipment. One such scalable and noninvasive technique is Electroencephalography (EEG), which detects and records electrical brain activity. Brain activity recognition is one of the emerging problems as EEG wearables become more readily available. Our research has modeled EEG signals to classify three states (i) music listening, (ii) movie watching, and (iii) meditating. The datasets incorporating the brain signals induced while performing these activities are NMED-T for music listening, SEED for movie watching, and VIP_Y_HYT for meditating. EEG activity is transformed into deep representation using a convolutional neural network comprising three different types of 2D convolutions: Temporal, Spatial, and Separable, to capture dependencies and extract high-level features from the data. The Depthwise Convolution function is responsible for learning spatial filters within each temporal convolution, and combining these spatial filters across all temporal bands optimally is learned by the Separable Convolutions. EEGNet and EEGNet-SSVEP are specially designed for EEG Signal Processing and Classification, and the DeepConvNet has incorporated more convolution layers. Our finding demonstrates that increasing the number of layers in the Network provided a higher accuracy of 99.94% using DeepConvNet. In contrast, the accuracy of EEGNet and EEGNet-SSVEP resulted in 85.63% and 75.76%, respectively.","Brain Activity; EEG Sensor; Human-Centered Computing; Machine Learning","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","","","2023-10-17","","","Design Aesthetics","","",""
"uuid:0244b2f6-57e6-4992-9fbf-171912aadcb2","http://resolver.tudelft.nl/uuid:0244b2f6-57e6-4992-9fbf-171912aadcb2","Monitoring daily and seasonal movement of an immersed tunnel","Broere, W. (TU Delft Geo-engineering); Zhang, X. (TU Delft Geo-engineering)","","2023","Daily and seasonal deformation behavior of immersed tunnels potentially impacts the structural integrity. In this study, distributed optical fiber sensors (DOFS) are used to instrument both dilation and immersion joints of the Heinenoordtunnel, an immersed tunnel in the Netherlands. This DOFS system proves capable of measuring joint opening and uneven settlement at half-hour intervals. The field monitoring shows the Heinenoordtunnel behaves more like a rigid body and exhibits a cyclic vertical movement under daily tide impacts over a period of 12 hours. Moreover, the joints show a cyclic seasonal opening which is negatively correlation with temperature variations, i.e. the tunnel joints are compressed when the outside temperature rises and vice versa. These monitoring results","","en","conference paper","CRC Press","","","","","","","","","","Geo-engineering","","",""
"uuid:c92fd890-4f29-4a3e-bc60-86d71daeebc3","http://resolver.tudelft.nl/uuid:c92fd890-4f29-4a3e-bc60-86d71daeebc3","Contribution of Enzyme Catalysis to the Achievement of the United Nations' Sustainable Development Goals","Holtmann, Dirk (Karlsruhe Institut für Technologie); Hollmann, F. (TU Delft BT/Biocatalysis); Bouchaut, B.F.H.J. (TU Delft Safety and Security Science)","","2023","In September 2015, the United Nations General Assembly established the 2030 Agenda for Sustainable Development, which includes 17 Sustainable Development Goals (SDGs) [...].","","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:6f59c4d8-31a3-484b-b623-0076e4a345ad","http://resolver.tudelft.nl/uuid:6f59c4d8-31a3-484b-b623-0076e4a345ad","The effect of the operational environment on the survivability of passenger ships","Mauro, F. (TU Delft Ship Design, Production and Operations; University of Strathclyde); Vassalos, Dracos (University of Strathclyde; Sharjah Maritime Academy)","","2023","The in-force probabilistic framework for passenger ship survivability assessment covers collision hazards. The framework primarily pertains to a static approach. Nonetheless, more complex dynamic analyses usually employ the same damage definitions, adding besides the breach characteristics, the environmental condition selection or, more precisely, the irregular wave environment necessary to simulate the damage scenarios. The traditional dynamic approaches to survivability consider only the significant wave height sampled from statistical formulations, with the wave period deriving from a constant steepness assumption. However, wave height and period influence ship dynamics in waves differently, especially concerning survivability after damage. Therefore, aiming at a direct assessment of ship survivability and the probability of loss of lives determination in realistic operational scenarios, it is essential to properly study the influence of combined variations of wave height and periods and their occurrence. The present study proposes a methodology for dynamic simulations in site-specific conditions derived from the Global Wave Statistics. The study documents the process in two critical collision damages for a reference passenger ship, using wave height and period combinations typical of the main sea areas of interest for passenger ships and performing a sensitivity analysis on the simulations needed to evaluate survivability. This enhanced analysis allows identifying the limiting environmental conditions for the critical damage cases, including the effect of heading variations, determining the ship's survivability to specific damage in an operational area.","Capsize; Damage stability; Irregular waves; Operational safety; Sensitivity analysis; Survivability","en","journal article","","","","","","","","","","","Ship Design, Production and Operations","","",""
"uuid:72e3a5e3-c118-47e5-8922-9d513f736dd2","http://resolver.tudelft.nl/uuid:72e3a5e3-c118-47e5-8922-9d513f736dd2","Sliding mesh simulations of a wind turbine rotor with actuator line lattice-Boltzmann method","Pinto Ribeiro, A. (Dassault Systèmes); Muscari, C. (TU Delft Team Jan-Willem van Wingerden)","","2023","Simulating entire wind farms with an actuator line model requires significant computational effort, especially if one is interested in wake dynamics and wants to resolve the tip vortices. A need to explore unconventional approaches for this kind of simulation emerges. In this work, the actuator line method is implemented within a lattice-Boltzmann flow solver, combined with a sliding mesh approach. Lattice-Boltzmann solvers have advantages in terms of performance and low dissipation, while the sliding mesh allows for local refinement of the blade and tip vortices. This methodology is validated on a well-documented case, the NREL Phase VI rotor, and the local refinement is demonstrated on the NREL 5 MW rotor. Results show good agreement with reference Navier–Stokes simulations. Advantages and limitations of the sliding mesh approach are identified.","NREL 5 MW; NREL Phase VI; tip vortices; wake aerodynamics","en","journal article","","","","","","","","","","","Team Jan-Willem van Wingerden","","",""
"uuid:95b8b5a6-d367-4356-b640-6cd484b22711","http://resolver.tudelft.nl/uuid:95b8b5a6-d367-4356-b640-6cd484b22711","Proprioceptive Sensing of Soft Tentacles with Model Based Reconstruction for Controller Optimization","Vicari, Andrea (EPFL Switzerland; Scuola Superiore Sant’Anna; University of Pisa); Obayashi, Nana (EPFL Switzerland); Stella, F. (TU Delft Learning & Autonomous Control; EPFL Switzerland); Raynaud, Gaetan (EPFL Switzerland); Mulleners, Karen (EPFL Switzerland); Della Santina, C. (TU Delft Learning & Autonomous Control; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Hughes, Josie (EPFL Switzerland)","","2023","The success of soft robots in displaying emergent behaviors is tightly linked to the compliant interaction with the environment. However, to exploit such phenomena, proprioceptive sensing methods which do not hinder their softness are needed. In this work we propose a new sensing approach for soft underwater slender structures based on embedded pressure sensors and use a learning-based pipeline to link the sensor readings to the shape of the soft structure. Using two different modeling techniques, we compare the pose reconstruction accuracy and identify the optimal approach. Using the proprioceptive sensing capabilities we show how this information can be used to assess the swimming performance over a number of metrics, namely swimming thrust, tip deflection, and the traveling wave index. We conclude by demonstrating the robustness of the embedded sensor on a free swimming soft robotic squid swimming at a maximum velocity of 9.5 cm/s, with the absolute tip deflection being predicted within an error less than 9% without the aid of external sensors.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-15","","","Learning & Autonomous Control","","",""
"uuid:a6b222eb-fa9b-4157-9bb7-c0e181f7c471","http://resolver.tudelft.nl/uuid:a6b222eb-fa9b-4157-9bb7-c0e181f7c471","Linear Clustering Process on Networks","Jokic, I. (TU Delft Network Architectures and Services); Van Mieghem, P.F.A. (TU Delft Network Architectures and Services)","","2023","We propose a linear clustering process on a network consisting of two opposite forces: attraction and repulsion between adjacent nodes. Each node is mapped to a position on a one-dimensional line. The attraction and repulsion forces move the nodal position on the line, depending on how similar or different the neighbourhoods of two adjacent nodes are. Based on each node position, the number of clusters in a network and each node's cluster membership is estimated. The performance of the proposed linear clustering process is benchmarked on synthetic networks against widely accepted clustering algorithms such as modularity, Leiden method, Louvain method and the non-back tracking matrix. The proposed linear clustering process outperforms the most popular modularity-based methods, such as the Louvain method, on synthetic and real-world networks, while possessing a comparable computational complexity.","Communities; graph clustering; modularity; linear process","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-01","","","Network Architectures and Services","","",""
"uuid:f49cce17-15b9-4c9b-b100-6b6b78da1dd0","http://resolver.tudelft.nl/uuid:f49cce17-15b9-4c9b-b100-6b6b78da1dd0","FinFix: A Soft Gripper With Contact-Reactive Reflex for High-Speed Pick and Place of Fragile Objects","Heeringa, W.M. (Student TU Delft); Della Santina, C. (TU Delft Learning & Autonomous Control); Smit, G. (TU Delft Medical Instruments & Bio-Inspired Technology)","","2023","Industrial automation calls for precise tasks with cycle times reduced to the minimum. At the same time, when handling delicate products such as fruits and vegetables, accelerations must be kept low to keep interaction forces under a certain threshold to avoid damage. This trade-off hinders the penetration of automation in many relevant application fields. This paper investigates using soft technology to solve this challenge. We propose the FinFix gripper, a non-anthropomorphic soft gripper capable of handling delicate objects at high acceleration using a contact-reactive grasping approach. This gripper has two entirely passive sensorized fingers that establish contact and two active fingers that are actuated pneumatically through a rigid mechanism allowing for rapid closure. We provide exhaustive experimental validation by connecting the gripper to a delta robot. The system can reliably execute pick-and-place cycles in ∼1 s when the distance between the pick and the place locations is 400 mm, resulting in a peak speed of ∼10ms . None of the fragile objects used during the experiments showed any damage. The only information needed is a rough estimation of the object's position to be grasped and a contact event to trigger the reflex. The test results show that the gripper can hold fragile objects during lateral accelerations of 10g.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-15","","","Learning & Autonomous Control","","",""
"uuid:7ffe5474-d539-49d6-86cb-c9d432e969cf","http://resolver.tudelft.nl/uuid:7ffe5474-d539-49d6-86cb-c9d432e969cf","Predictive model for the intra-diffusion coefficients of H2 and O2 in vapour H2O based on data from molecular dynamics simulations","Moultos, O. (TU Delft Engineering Thermodynamics); Tsimpanogiannis, Ioannis N. (Centre for Research and Technology-Hellas)","","2023","Available data from experiments and molecular simulations for the intra-diffusivities of H (Formula presented.) and O (Formula presented.) in H (Formula presented.) O, and for the self-diffusivity of pure H (Formula presented.) O (at pressure and temperature conditions in which the solvent is in the vapour phase) are compared against calculations based on the Chapman-Enskog theory or other semi-empirical/semi-theoretical methods. A novel methodology is proposed to extrapolate the intra-/self-diffusivities data computed from molecular dynamics simulations at low pressures. The extrapolated values are used to further refine the recently-proposed [Tsimpanogiannis et al., J. Chem. Eng. Data, 66, 3226-3244, (2021)], molecular simulation based correlation of intra-/self-diffusivities as a function of pressure and temperature with the solvent being in the vapour phase.","Chapman-Enskog theory; diffusion in water; hydrogen; molecular dynamics; oxygen","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-15","","","Engineering Thermodynamics","","",""
"uuid:7b8a6244-8885-4fb5-8a10-ece47e36d8ef","http://resolver.tudelft.nl/uuid:7b8a6244-8885-4fb5-8a10-ece47e36d8ef","A Numerical Investigation of the Effects of Groove Texture on the Dynamics of a Water-Lubricated Bearing–Rotor System","Feng, H. (TU Delft Mechatronic Systems Design; Hohai University); Gao, Zhiwei (Hohai University); van Ostayen, R.A.J. (TU Delft Mechatronic Systems Design); Zhang, Xiaofeng (Hohai University)","","2023","This paper aims to investigate the combined effects of working condition and structural parameters of groove texture on the dynamic characteristics, stability and unbalance response of a water-lubricated hydrodynamic bearing–rotor system to avoid instability and excessive vibration of the rotor. The Navier–Stokes equation, standard K-ε model with enhanced wall treatment and Zwart–Gerber–Belamri cavitation model are considered using the commercial software Fluent to calculate the stiffness and damping coefficients of a groove-textured, water-lubricated bearing based on the dynamic mesh method; the critical mass to express the stability and the unbalance response solved by the fourth order Runge–Kutta method of the rotor are calculated based on dynamic equations. The results indicate that shallower and longer groove textures can improve the direct stiffness along the load direction (Formula presented.), weaken the stiffness in the orthogonal direction (Formula presented.), improve stability and decrease the unbalance response amplitude of the water-lubricated bearing–rotor system at a greater rotational speed and smaller eccentricity ratio; however, the impact of grooves on damping parameters is not as great as it is on stiffness—there exists an optimum groove width to achieve a best dynamic performance.","surface texture; water-lubricated hydrodynamic bearing; dynamic characteristics; stability; unbalance response; rigid rotor","en","journal article","","","","","","","","","","","Mechatronic Systems Design","","",""
"uuid:806c8b20-a999-4aeb-bf34-945189b5baad","http://resolver.tudelft.nl/uuid:806c8b20-a999-4aeb-bf34-945189b5baad","The dynamic coupling between the pulse wake mixing strategy and floating wind turbines","van den Berg, D.G. (TU Delft Team Jan-Willem van Wingerden); De Tavernier, D. (TU Delft Wind Energy); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2023","In recent years, control techniques such as dynamic induction control (often referred to as “the pulse”) have shown great potential in increasing wake mixing, with the goal of minimising turbine-to-turbine interaction within a wind farm. Dynamic induction control disturbs the wake by varying the thrust of the turbine over time, which results in a time-varying induction zone. If applied to a floating wind turbine, this time-varying thrust force will, besides changing the wake, change the motion of the platform. In light of the expected movement, this work investigates if applying the pulse to a floating wind turbine yields similar results to that of the pulse applied to bottom-fixed turbines. This is done by considering first the magnitude of motions of the floating wind turbine due to the application of a time-varying thrust force and secondly the effect of these motions on the wake mixing. A frequency response experiment shows that the movement of the floating turbine is heavily frequency dependent, as is the thrust force. Time domain simulations, using a free-wake vortex method with uniform inflow, show that the expected gain in average wind speed at a distance of 5 rotor diameters downstream is more sensitive to the excitation frequency compared to a bottom-fixed turbine with the same pulse applied. This is due to the fact that, at certain frequencies, platform motion decreases the thrust force variation and thus reduces the onset of wake mixing.","","en","journal article","","","","","","","","","","","Team Jan-Willem van Wingerden","","",""
"uuid:a42947a5-7c80-4c4a-9642-6fc1baa8faf0","http://resolver.tudelft.nl/uuid:a42947a5-7c80-4c4a-9642-6fc1baa8faf0","Data Fusion Approach to Identify Distribution Chain Segments in Freight Shipment Databases","Mohammed, R.A. (TU Delft Transport and Planning); Nadi Najafabadi, A. (TU Delft Transport and Planning); Tavasszy, Lorant (TU Delft Transport and Planning; TU Delft Transport and Logistics); de Bok, M.A. (TU Delft Transport and Planning)","","2023","Understanding the logistic determinants of freight trips is an important goal in freight transport modeling. Freight shipments move between nodes in the supply chain for different logistic purposes, including production, storage, transshipment, and consumption. A key problem with data availability is that databases generally do not identify these purposes, given the commercial sensitivity of the data. In addition, including information on senders and receivers of the shipments is often prohibitively costly. Therefore, one of the challenges of transport data analysis is to identify freight trip purposes using data fusion, linking information about the main function of logistics nodes to trips in existing databases. This paper proposes a data fusion approach to enrich big truck shipment databases with firm registry data. We use the national freight shipment micro-database from the Netherlands which includes shipment, vehicle, and tour information. Although our presentation here uses formats and methods of accounting for freight data used in the Netherlands, it can be readily replicated for conditions in other countries, as long as similar data sets on shipment data and firm registry are available. The enriched, new database contains transport and firm data for more than 2 million observed trips with information on the vehicle used, shipments carried, and sender/receiver firm. An initial descriptive analysis provides unique empirical insights into the logistic determinants of freight trips. These include the share of national trips that use intermediate nodes, typical changes in shipment sizes, and the role of distribution centers for (de)consolidation of shipments.","freight transportation data; shipment database; data fusion; distribution centers data; freight big data","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:30d19c75-2c21-4255-8591-5946428644c9","http://resolver.tudelft.nl/uuid:30d19c75-2c21-4255-8591-5946428644c9","Quantification and mitigation of byproduct formation by low-glycerol-producing Saccharomyces cerevisiae strains containing Calvin-cycle enzymes","van Aalst, A.C.A. (TU Delft BT/Industriele Microbiologie); Jansen, Mickel L.A. (DSM); Mans, R. (TU Delft BT/Industriele Microbiologie); Pronk, J.T. (TU Delft BT/Biotechnologie)","","2023","Background: Anaerobic Saccharomyces cerevisiae cultures require glycerol formation to re-oxidize NADH formed in biosynthetic processes. Introduction of the Calvin-cycle enzymes phosphoribulokinase (PRK) and ribulose-1,5-bisphosphate carboxylase/oxygenase (RuBisCO) has been shown to couple re-oxidation of biosynthetic NADH to ethanol production and improve ethanol yield on sugar in fast-growing batch cultures. Since growth rates in industrial ethanol production processes are not constant, performance of engineered strains was studied in slow-growing cultures. Results: In slow-growing anaerobic chemostat cultures (D = 0.05 h −1), an engineered PRK/RuBisCO strain produced 80-fold more acetaldehyde and 30-fold more acetate than a reference strain. This observation suggested an imbalance between in vivo activities of PRK/RuBisCO and formation of NADH in biosynthesis. Lowering the copy number of the RuBisCO-encoding cbbm expression cassette from 15 to 2 reduced acetaldehyde and acetate production by 67% and 29%, respectively. Additional C-terminal fusion of a 19-amino-acid tag to PRK reduced its protein level by 13-fold while acetaldehyde and acetate production decreased by 94% and 61%, respectively, relative to the 15 × cbbm strain. These modifications did not affect glycerol production at 0.05 h −1 but caused a 4.6 fold higher glycerol production per amount of biomass in fast-growing (0.29 h −1) anaerobic batch cultures than observed for the 15 × cbbm strain. In another strategy, the promoter of ANB1, whose transcript level positively correlated with growth rate, was used to control PRK synthesis in a 2 × cbbm strain. At 0.05 h −1, this strategy reduced acetaldehyde and acetate production by 79% and 40%, respectively, relative to the 15 × cbbm strain, without affecting glycerol production. The maximum growth rate of the resulting strain equalled that of the reference strain, while its glycerol production was 72% lower. Conclusions: Acetaldehyde and acetate formation by slow-growing cultures of engineered S. cerevisiae strains carrying a PRK/RuBisCO bypass of yeast glycolysis was attributed to an in vivo overcapacity of PRK and RuBisCO. Reducing the capacity of PRK and/or RuBisCO was shown to mitigate this undesirable byproduct formation. Use of a growth rate-dependent promoter for PRK expression highlighted the potential of modulating gene expression in engineered strains to respond to growth-rate dynamics in industrial batch processes.","Chemostat; Acetaldehyde; Acetate; Phosphoribulokinase; RuBisCO; Anaerobic; Ethanol; Redox","en","journal article","","","","","","","","","","BT/Biotechnologie","BT/Industriele Microbiologie","","",""
"uuid:34aa0c6e-7890-45dd-acaf-2be167eeefe1","http://resolver.tudelft.nl/uuid:34aa0c6e-7890-45dd-acaf-2be167eeefe1","Research on the Mechanism Influencing the Sustainable Development of a Contemporary Chinese Artist Village","Luo, Yun (Chongqing University); Xiang, Pengcheng (Chongqing University); Guo, Jin (Chongqing University); Li, B. (TU Delft Real Estate Management)","","2023","Contemporary Chinese artist villages (CCAVs) have been developing rapidly and have become an important locus for developing cultural and creative industries, providing a strong pathway for China to achieve high-quality development and promote new urbanization. However, in practice, there are still many CCAVs that struggle with sustainable development. At present, the academic research on CCAVs mainly focuses on demonstrating the role of artist villages in promoting development in economic, social, artistic, and other fields without forming a systematic, sustainable development model or targeted policy recommendations. Therefore, the CCAV must be taken as a research object, and the influencing factors and mechanisms of its development must be analyzed through systematic quantitative research to provide a theoretical basis for the sustainable development (SD) of CCAVs. This paper identifies the factors influencing the sustainable development of CCAVs through grounded theory and expert interviews and creates a list of 21 influencing factors. Based on internal and external considerations, these influencing factors can be divided into the following four categories: artists, government, company/capital, and outside environment. This paper constructs a mechanism model for the SD of CCAVs with the help of a structural equation model, which is a quantitative analysis tool based on empirical research. The key mechanisms influencing the SD of CCAVs are obtained through research. Using quantitative research, the paper determines four categories of influencing factors and the mechanisms influencing the SD of CCAVs. This study provides an important resource for governments at all levels to promote the sustainable development of artist villages in the region.","contemporary Chinese artist village (CCAV); sustainable development (SD); influencing factors; influence mechanisms; structural equation model (SEM)","en","journal article","","","","","","","","","","","Real Estate Management","","",""
"uuid:44689022-b5d3-4474-8e38-aa8bc78f8995","http://resolver.tudelft.nl/uuid:44689022-b5d3-4474-8e38-aa8bc78f8995","Een Nieuwe Economiemodule Voor Goederenvervoermodel Basgoed Op Basis Van Een Multiregionale Input Output Tabel","Wesseling, B. (Significance); de Bok, M.A. (TU Delft Transport and Planning); Van den Berg, M. (Rijkswaterstaat); van der Beek, J. (Rijkswaterstaat)","","2023","Rijkswaterstaat gebruikt het goederenvervoermodel BasGoed om lange termijn prognoses te maken van het goederenvervoer. Economische verwachtingen spelen hierbij een belangrijke rol. Het model BasGoed begint dan ook met een module waarin de economische groei bepaald wordt en vervolgens vertaald wordt naar de hoeveelheid vervoerde goederen. De vorige versie van de economie module was verouderd. Deze paper beschrijft de nieuw ontwikkelde module op basis van multiregionale input-output analyses, aansluitend bij de manier waarop het Planbureau voor de leefomgeving economische analyses maakt. De werking van de module wordt toegelicht, en de eerste resultaten worden vergeleken met de uitkomsten van de oude module.","","nl","conference paper","","","","","","","","","","","Transport and Planning","","",""
"uuid:b9bf9219-9edc-4f46-9650-bda462a87f28","http://resolver.tudelft.nl/uuid:b9bf9219-9edc-4f46-9650-bda462a87f28","De Distributiestructuur Van Het Goederen Wegvervoer In NL","de Bok, M.A. (TU Delft Transport and Planning); Tavasszy, Lorant (TU Delft Transport and Planning; TU Delft Transport and Logistics); Nadi Najafabadi, A. (TU Delft Transport and Planning); Mohammed, R.A. (TU Delft Transport and Planning)","","2023","Goederenvervoer is het transport gedeelte van het logistieke systeem: goederen worden van A naar B vervoerd. Een groot gedeelte is onderdeel van logistieke ketens. Waar data over goederenvervoer in toenemende mate beschikbaar is voor onderzoek en planningsdoeleinden, blijft de beschikbaarheid van logistieke data schaars.
In deze bijdrage presenteren wij de resultaten van een data gedreven onderzoek waarbij ‘big’ tripdata van transporteurs zijn geanalyseerd op distributiestructuren. Uitdaging daarbij is de transport data te verrijken met logistieke informatie: vond deze rit plaats vanuit een multimodale terminal, een distributiecentra, of kwam deze vanaf een producent? Op de TU Delft hebben we een effectieve methode opgezet om structurele distributiepatronen te ontdekken, ondanks de data-inefficiënties.
De resultaten geven een relevante inkijk in distributiestructuren voor verschillende segmenten in het goederenvervoer: informatie die tot nog toe nog ontbreekt.","","nl","conference paper","","","","","","","","","","","Transport and Planning","","",""
"uuid:b80ed31a-a13e-4760-9788-b0863aae053e","http://resolver.tudelft.nl/uuid:b80ed31a-a13e-4760-9788-b0863aae053e","Shear wave ultrasound elastography of the biceps brachii can be used as a precise proxy for passive elbow torque in individuals with hemiparetic stroke","Ellis, Michael D. (Northwestern University); Gurari, Netta (Northwestern University); Gerritsen, Ninette T.A. (Northwestern University); Lee, Sabrina M. (Northwestern University); Wang, Amy (Northwestern University); Dewald, J.P.A. (TU Delft Biomechatronics & Human-Machine Control; Northwestern University)","","2023","Muscle tissue is prone to changes in composition and architecture following stroke. Changes in muscle tissue of the extremities are thought to increase resistance to muscle elongation or joint torque under passive conditions. These effects likely compound neuromuscular impairments, exacerbating movement function. Unfortunately, conventional rehabilitation is devoid of precise measures and relies on subjective assessments of passive joint torques. Shear wave ultrasound elastography, a tool to measure muscle mechanical properties, may be readily available for use in the rehabilitation setting as a precise measure, albeit at the muscle-tissue level. To support this postulation, we evaluated the criterion validity of shear wave ultrasound elastography of the biceps brachii; we investigated its relationship with a laboratory-based criterion measure for quantifying elbow joint torque in individuals with moderate to severe chronic stroke. Additionally, we evaluated construct validity, with the specific sub-type of hypothesis testing of known groups, by testing the difference between arms. Measurements were performed under passive conditions at seven positions spanning the arc of elbow joint flexion-extension in both arms of nine individuals with hemiparetic stroke. Surface electromyography was utilized for threshold-based confirmation of muscle quiescence. A moderate relationship between the shear wave velocity and elbow joint torque was identified, and both metrics were greater in the paretic arm. Data supports the progression toward a clinical application of shear wave ultrasound elastography in evaluating altered muscle mechanical properties in stroke, while acknowledging that undetectable muscle activation or hypertonicity may contribute to the measurement. Shear wave ultrasound elastography may augment the conventional method of manually testing joint mobility by providing a high-resolution precise value. Tissue-level measurement may also assist in identifying new therapeutic targets for patient-specific impairment-based interventions.","criterion validity; joint torque; mechatronics; stroke; torque; ultrasound elastography","en","journal article","","","","","","","","","","","Biomechatronics & Human-Machine Control","","",""
"uuid:8226c523-76a2-4b2e-b4eb-d6380fd88395","http://resolver.tudelft.nl/uuid:8226c523-76a2-4b2e-b4eb-d6380fd88395","Rolling-Sliding Performance of Radial and Offset Roller Followers in Hydraulic Drivetrains for Large Scale Applications: A Comparative Study","Amoroso, Pedro (TU Delft Mechatronic Systems Design); van Ostayen, R.A.J. (TU Delft Mechatronic Systems Design); Perassi, F. (Delft Offshore Turbine)","","2023","Generally speaking, excessive side thrust and roller slippage are two different aspects affecting cam-roller mechanisms. In novel large-scale hydraulic drivetrains for offshore wind turbines, the highly dynamic nature of these mechanisms combined with the interplay of cyclic loads, frictional torques and inertia promote slippage at the cam-roller interface. At larger scales, the effects of roller inertia become much more pronounced, as the inertia escalates exponentially with the roller’s radius. This study presents a comparative analysis between radial and offset roller followers in novel large-scale hydraulic drivetrains, where offset followers are incorporated to minimize the side thrust. The framework encompasses a comprehensive kinematic and force analysis, to provide the inputs for two lubrication models integrated into the torque-balance equation, where the possibility of slippage is allowed. The findings reveal that the equivalent side thrust can be reduced by 51% with offset followers. Both configurations experience slippage during the low-load phase, but it rapidly diminishes during the high-load phase. This sudden transition in rolling conditions results in a sharp increase in surface temperature and traction force, emphasizing the importance of minimizing sliding at the interface. However, besides the substantial side thrust reduction, offset followers showed superior tribological performance, mitigating undesirable thermal and frictional effects.","rolling; sliding; slippage; cam; roller; hydraulic; drivetrain; piston; pump","en","journal article","","","","","","","","","","","Mechatronic Systems Design","","",""
"uuid:5ba57ff8-8bde-4ae9-9c2a-709b6e92898d","http://resolver.tudelft.nl/uuid:5ba57ff8-8bde-4ae9-9c2a-709b6e92898d","Focused vibrotactile stimuli from a wearable sparse array of actuators","de Vlam, Valerie (Student TU Delft); Wiertlewski, M. (TU Delft Human-Robot Interaction); Vardar, Y. (TU Delft Human-Robot Interaction)","","2023","Wearable vibrotactile actuators are non-intrusive and inexpensive means to provide haptic feedback directly to the user's skin. Complex spatiotemporal stimuli can be achieved by combining multiple of these actuators, using the funneling illusion. This illusion can funnel the sensation to a particular position between the actuators, thereby creating virtual actuators. However, using the funneling illusion to create virtual actuation points is not robust and leads to sensations that are difficult to locate. We postulate that poor localization can be improved by considering the dispersion and attenuation of the wave propagation on the skin. We used the inverse filter technique to compute the delays and amplification of each frequency to correct the distortion and create sharp sensations that are easier to detect. We developed a wearable device stimulating the volar surface of the forearm composed of four independently controlled actuators. A psychophysical study involving twenty participants showed that the focused sensation improves confidence in the localization by 20% compared to the non-corrected funneling illusion. We anticipate our results to improve the control of wearable vibrotactile devices used for emotional touch or tactile communication.","Actuators; Calibration; Haptic interfaces; Location awareness; Skin; Transfer functions; Wearable computers","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-25","","","Human-Robot Interaction","","",""
"uuid:f2a0d9f1-2612-4246-971e-ce0b52c5ed0a","http://resolver.tudelft.nl/uuid:f2a0d9f1-2612-4246-971e-ce0b52c5ed0a","Inverse-designed growth-based cellular metamaterials","van 't Sant, S. (Student TU Delft); Thakolkaran, P. (TU Delft Team Sid Kumar); Martínez, Jonàs (Lorraine University); Kumar, Siddhant (TU Delft Team Sid Kumar)","","2023","Advancements in machine learning have sparked significant interest in designing mechanical metamaterials, i.e., materials that derive their properties from their inherent microstructure rather than just their constituent material. We propose a data-driven exploration of the design space of growth-based cellular metamaterials based on star-shaped distances. These two-dimensional metamaterials are based on periodically-repeating unit cells consisting of material and void patterns with non-trivial geometries. Machine learning models exploiting large datasets are then employed to inverse design growth-based metamaterials for tailored anisotropic stiffness. Firstly, a forward model is created to bypass the growth and homogenization process and accurately predict the mechanical properties given a finite set of design parameters. Secondly, an inverse model is used to invert the structure–property maps and enable the accurate prediction of designs for a given anisotropic stiffness query. We successfully demonstrate the frameworks’ generalization capabilities by inverse designing for stiffness properties chosen from outside the domain of the design space.","Cellular metamaterials; Machine learning; Inverse Design; Growth process","en","journal article","","","","","","","","","","","Team Sid Kumar","","",""
"uuid:a4f23ff4-3476-4089-9292-cd4d09e510d9","http://resolver.tudelft.nl/uuid:a4f23ff4-3476-4089-9292-cd4d09e510d9","Guest Editorial - Innovative control approaches for smart transportation systems","Siri, Silvia (University of Genova); Pasquale, Cecilia (University of Genova); Bhouri, Neila (Université Gustave Eiffel); De Schutter, B.H.K. (TU Delft Delft Center for Systems and Control); Ghazel, Mohamed (Université Gustave Eiffel); Viti, Francesco (University of Luxembourg)","","2023","Editoral","","en","contribution to periodical","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-18","","Delft Center for Systems and Control","","","",""
"uuid:634ed19a-5e4b-43c4-81c5-59d5a3915c78","http://resolver.tudelft.nl/uuid:634ed19a-5e4b-43c4-81c5-59d5a3915c78","Corrigendum to “Loop-shaping for reset control systems: A higher-order sinusoidal-input describing functions approach” [Control Engineering Practice 111 (2021) 104808]","Saikumar, N. (TU Delft Mechatronic Systems Design); Heinen, Kars (Student TU Delft); Hassan HosseinNia, S. (TU Delft Mechatronic Systems Design)","","2023","The authors regret for the typographical error in Eq. (13) and provide the corrected version below: The authors would like to apologize for any inconvenience caused.","","en","journal article","","","","","","","","","","","Mechatronic Systems Design","","",""
"uuid:dca912f7-44a7-4a48-891a-94e9a6e0358e","http://resolver.tudelft.nl/uuid:dca912f7-44a7-4a48-891a-94e9a6e0358e","Persuading to Prepare for Quitting Smoking with a Virtual Coach: Using States and User Characteristics to Predict Behavior","Albers, N. (TU Delft Interactive Intelligence); Neerincx, M.A. (TU Delft Interactive Intelligence; TNO); Brinkman, W.P. (TU Delft Interactive Intelligence)","","2023","Despite their prevalence in eHealth applications for behavior change, persuasive messages tend to have small effects on behavior. Conditions or states (e.g., confidence, knowledge, motivation) and characteristics (e.g., gender, age, personality) of persuadees are two promising components for more effective algorithms for choosing persuasive messages. However, it is not yet sufficiently clear how well considering these components allows one to predict behavior after persuasive attempts, especially in the long run. Since collecting data for many algorithm components is costly and places a burden on users, a better understanding of the impact of individual components in practice is welcome. This can help to make an informed decision on which components to use. We thus conducted a longitudinal study in which a virtual coach persuaded 671 daily smokers to do preparatory activities for quitting smoking and becoming more physically active, such as envisioning one’s desired future self. Based on the collected data, we designed a Reinforcement Learning (RL)-approach that considers current and future states to maximize the effort people spend on their activities. Using this RL-approach, we found, based on leave-one-out cross-validation, that considering states helps to predict both behavior and future states. User characteristics and especially involvement in the activities, on the other hand, only help to predict behavior if used in combination with states rather than alone. We see these results as supporting the use of states and involvement in persuasion algorithms. Our dataset is available online.","Persuasion Algorithm; Reinforcement Learning; Conversational Agent; eHealth; Smoking; Behavior Change; Physical Activity","en","conference paper","International Foundation for Autonomous Agents and Multiagent Systems (IFAAMAS)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-30","","","Interactive Intelligence","","",""
"uuid:5e615c6f-e29a-4292-aa8e-d68ea998df0b","http://resolver.tudelft.nl/uuid:5e615c6f-e29a-4292-aa8e-d68ea998df0b","Mimicked Mixing-Induced Heterogeneities of Industrial Bioreactors Stimulate Long-Lasting Adaption Programs in Ethanol-Producing Yeasts","Minden, Steven (University of Stuttgart); Aniolek, Maria (University of Stuttgart); Noorman, H.J. (TU Delft BT/Bioprocess Engineering; DSM); Takors, Ralf (University of Stuttgart)","","2023","Commercial-scale bioreactors create an unnatural environment for microbes from an evolutionary point of view. Mixing insufficiencies expose individual cells to fluctuating nutrient concentrations on a second-to-minute scale while transcriptional and translational capacities limit the microbial adaptation time from minutes to hours. This mismatch carries the risk of inadequate adaptation effects, especially considering that nutrients are available at optimal concentrations on average. Consequently, industrial bioprocesses that strive to maintain microbes in a phenotypic sweet spot, during lab-scale development, might suffer performance losses when said adaptive misconfigurations arise during scale-up. Here, we investigated the influence of fluctuating glucose availability on the gene-expression profile in the industrial yeast Ethanol Red™. The stimulus-response experiment introduced 2 min glucose depletion phases to cells growing under glucose limitation in a chemostat. Even though Ethanol Red™ displayed robust growth and productivity, a single 2 min depletion of glucose transiently triggered the environmental stress response. Furthermore, a new growth phenotype with an increased ribosome portfolio emerged after complete adaptation to recurring glucose shortages. The results of this study serve a twofold purpose. First, it highlights the necessity to consider the large-scale environment already at the experimental development stage, even when process-related stressors are moderate. Second, it allowed the deduction of strain engineering guidelines to optimize the genetic background of large-scale production hosts.","bioreactor; Ethanol Red™; Saccharomyces cerevisiae; scale-down; scale-up; stimulus–response experiment; substrate gradient; transcriptomics","en","journal article","","","","","","","","","","","BT/Bioprocess Engineering","","",""
"uuid:e6c3b487-2352-468b-a4b5-e46c8f23e074","http://resolver.tudelft.nl/uuid:e6c3b487-2352-468b-a4b5-e46c8f23e074","Service-lines as major contributor to water quality deterioration at customer ends","Fang, Jiaxing (Chinese Academy of Sciences; University of Twente; Student TU Delft); Dai, Zihan (Chinese Academy of Sciences); Li, Xiaoming (Chinese Academy of Sciences); van der Hoek, J.P. (TU Delft Sanitary Engineering; Waternet); Savić, Dragan (University of Exeter; KWR Water Research Institute; University of Belgrade); Medema, G.J. (TU Delft Sanitary Engineering; KWR Water Research Institute; Michigan State University); van der Meer, Walter (University of Twente; Oasen); Liu, G. (TU Delft Sanitary Engineering; Chinese Academy of Sciences)","","2023","Biofilm detachment contributes to water quality deterioration. However, the contributions of biofilm detachment from different pipes have not been quantified or compared. Following the introduction of partial reverse osmosis (RO) in drinking water production, this study analyzed particles at customers’ ends and tracked their origins to water distribution mains and service lines. For doing so, filter bags were installed in front of water meters to capture upstream detached particles, while biofilm from water main and service line were sampled by cutting pipe specimens. The results showed that elemental concentrations of the biofilm in mains were higher than those of service lines (54.3–268.5 vs. 27.1–44.4 μg/cm2), both dominated by Ca. Differently, filter bags were dominated by Fe/Mn (77.5–98.1%). After introducing RO, Ca significantly decreased in biofilms of mains but not service lines, but the released Fe/Mn rather than Ca arrived at customers’ ends. The ATP concentrations of service lines were higher than mains, which decreased on mains but increased in service lines after introducing RO. For the core ASVs, 13/24 were shared by service lines (17), mains (21), and filter bags (17), which were assigned mainly to Nitrospira spp., Methylomagnum spp., Methylocytis spp., and IheB2–23 spp. According to source tracking results, service lines contributed more than mains to the particulate material collected by filter bags (57.6 ± 13.2% vs. 13.0 ± 11.6%). To the best of our knowledge, the present study provides the first evidence of service lines’ direct and quantitative contributions to potential water quality deterioration at customers’ ends. This highlights the need for the appropriate management of long-neglected service line pipes, e.g., regarding material selection, length optimization, and proper regulation.","Drinking water distribution systems; Service line; Microbial source tracking; Biofilm detachment; Particle-associated bacteria","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:31dfdabd-b56b-4c07-89c4-ac1addcba9c6","http://resolver.tudelft.nl/uuid:31dfdabd-b56b-4c07-89c4-ac1addcba9c6","Experimental and Numerical Assessment of an Improved Plywood-Based In-Plane Retrofitting Method for Timber Floors in Highly Seismic Areas","Mirra, M. (TU Delft Bio-based Structures & Materials); Gerardini, A. (Gerardini Ingegneria Sismica)","Papadrakakis, M. (editor); Fragiadakis, M. (editor)","2023","Wood-based retrofitting techniques for seismic upgrading and architectural conservation of existing buildings have found increasing application in the last decades. With reference to the in-plane seismic strengthening of existing timber floors, a particularly efficient solution consists of an overlay of plywood panels fastened to the sheathing. This technique allows a great improvement in strength, stiffness, and energy dissipation of the floors. Yet, when adopting this strengthening solution for existing floors in highly seismic regions, the target design loads could require large values of in-plane strength and stiffness for the retrofitted diaphragms, and this could cause their beneficial, dissipative potential to be reduced. Thus, in this work, a strengthening solution is presented, able to retrieve high strength and at the same time activate large energy dissipation in the floors. The proposed technique consists of the creation of two independent shear planes by means of two different superimposed overlays of plywood panels. Previously developed analytical and numerical models describing the in-plane response of floors retrofitted with a single plywood overlay were adapted for the present case with two overlays, validating the results against an experimental test conducted on a sample representing a floor portion. Very good agreement was obtained between experimental and analytical as well as numerical results, thus the proposed approaches enable an efficient design process and an accurate simulation of the proposed retrofitting technique.","timber floors; plywood panels; seismic retrofitting; existing buildings; numerical modelling","en","conference paper","ECCOMAS","","","","","","","","","","Bio-based Structures & Materials","","",""
"uuid:686ff697-4ff5-4141-8eb7-dd97503b2ba3","http://resolver.tudelft.nl/uuid:686ff697-4ff5-4141-8eb7-dd97503b2ba3","Enhancing the sensitivity of silicon photonic ultrasound sensors by optimizing the stiffness of polymer cladding","Erdoğan, R.T. (TU Delft Dynamics of Micro and Nano Systems); Filonenko, G.A. (TU Delft Team Georgy Filonenko); Picken, S.J. (TU Delft ChemE/Advanced Soft Matter); Steeneken, P.G. (TU Delft Dynamics of Micro and Nano Systems); Westerveld, W.J. (TU Delft Dynamics of Micro and Nano Systems)","","2023","Ultrasound is widely used in medical imaging, and photo-acoustics is an upcoming imaging modality for the diagnosis of diseases. Future applications require a large matrix of small, sensitive, and broadband ultrasound sensors. However, current high-end systems still use piezo-electric material to detect ultrasound, with limited sensitivity and bandwidth. Silicon photonic circuits can meet the requirements of size, bandwidth, and scalability when designed as ultrasound sensors. Namely, a silicon photonic waveguide deforms when the ultrasound pressure waves impinge on it, leading to a change in effective refractive index, ne, due to geometrical and photo-elastic effects [1]. However, these effects are weak, which limits the intrinsic sensitivity of silicon photonic ultrasound sensors [2]. To significantly enhance sensitivity, silicon waveguides have been combined with acousto-mechanical structures, which achieved acoustomechanical-noise-limited sensing [3], but this is not compatible with standard photonic platforms. Besides that, recent demonstrations of waveguides coated with polymers also improved sensitivity of the silicon photonic ultrasound sensors significantly, but not sufficient to reach acoustomechnical-noise-limited sensing [4]. Here, we study the effect of mechanical and opto-mechanical properties of polymer claddings on the sensitivity of silicon photonic ultrasound sensors. Our aim is to enhance the sensitivity of these devices by implementing tailored polymer coatings. First, we model the refractive index sensitivity of these type of waveguides, i.e. the change in effective refractive index ne due to the incident ultrasound plane-wave with a pressure P, and we (Equation presented) where nc, p12, E, and v are refractive index, elasto-optic coefficient, Young's modulus (stiffness), and Poisson's ratio of the cladding material, respectively. We assume the change in cladding index dominates sensitivity.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-04","","","Dynamics of Micro and Nano Systems","","",""
"uuid:b2cd4236-ae1a-4d61-a73d-78c1f1de73ae","http://resolver.tudelft.nl/uuid:b2cd4236-ae1a-4d61-a73d-78c1f1de73ae","Present and future of synthetic cell development","Adamala, Katarzyna P. (University of Minnesota Twin Cities); Dogterom, A.M. (TU Delft BN/Marileen Dogterom Lab); Elani, Yuval (Imperial College London); Schwille, Petra (Max Planck Institute of Biochemistry); Takinoue, Masahiro (Tokyo Institute of Technology); Tang, T. Y.Dora (Max Planck Institute of Molecular Cell Biology and Genetics; Saarland University)","","2023","Scientists are captivated by the prospect of creating a fully synthetic cell, offering the potential to revolutionize biology, medicine and biotechnology. In this Viewpoint, a panel of experts discusses the definitions of a synthetic cell and highlights current achievements, challenges and future opportunities of building such systems.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-15","","","BN/Marileen Dogterom Lab","","",""
"uuid:33babda2-9bab-491c-a757-69aa8ea582f5","http://resolver.tudelft.nl/uuid:33babda2-9bab-491c-a757-69aa8ea582f5","Fabrication and Characterization of a Leaky Lens Photo-Conductive Antenna on Low Temperature Grown GaAs Membranes","Bueno Lopez, J. (TU Delft Electronics); Sberna, P.M. (TU Delft EKL Processing); Fiorellini Bernardis, A. (TU Delft Tera-Hertz Sensing); Zhang, H. (TU Delft Tera-Hertz Sensing); Neto, A. (TU Delft Tera-Hertz Sensing); Llombart, Nuria (TU Delft Tera-Hertz Sensing)","","2023","State-of-the-art THz pulsed commercial systems operating over large bandwidth suffer from high dispersion or low radiation efficiency due to the poor coupling between the transmitter and receiver photoconductive antennas (PCAs). In this work, we present the fabrication and characterization of a leaky-lens PCA that has the potential to solve this problem. The presented PCA is based on a low-temperature grown gallium arsenide (LT-GaAs) membrane with a 1:15 bandwidth coverage (0.1-1.5 THz), where the frequency response is constant. In order to fabricate the PCA on an LT-GaAs membrane, a novel fabrication process is developed. This process is dramatically faster than previously used processes (∼1.5 h instead of ∼20 h). Furthermore, an experimental validation of the radiated power together with the comparison to a standard bow-tie-based PCA fabricated on the same LT-GaAs wafer is shown in this article. We show that the PCA source on the LT-GaAs membrane is more efficient due to the enhanced leaky wave radiation. The leaky-lens PCA stands out as a great candidate to improve the coupling efficiency in THz pulsed commercial systems, where the maximum laser power that can be used is limited by the dispersion in the optic fiber.","leaky lens antenna; membrane-based micro-antenna; photo-conductive antenna; THz radiation; Time domain spectroscopy","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-05","","","Electronics","","",""
"uuid:515d5877-1927-40d6-be7b-c57e363df61d","http://resolver.tudelft.nl/uuid:515d5877-1927-40d6-be7b-c57e363df61d","Methods for Designing Woven Textile-forms: Examples from a pedagogical textile design workshop","Drews, Juri-Apollo (Université PSL); McQuillan, H.L. (TU Delft Materials and Manufacturing); Mosse, Aurélie (Université PSL)","","2023","When designing Woven Textile-forms, both the 3D object and the textile it is composed of need to be developed simultaneously, a process requiring an adaptation of currently established methods from both textile and fashion design. This paper provides an overview of existing examples for woven formconstruction approaches through the lens of fabric (non-)rectangularity. It subsequently presents the method of flattening a 3D form into a weaveable 2D format, and the alternative weaving methods of Modular Weaving, Non-binary Weaving and Multi-selvedge Weaving destined at constructing nonrectangular seamless Woven Textile-forms. It further describes a range of associated prototyping and visualisation techniques including Maps of Bindings, paper models, Thread Maps and miniature nail looms. These are destined at helping textile designers in conceiving textiles in the shape of the final object instead of flat rectangular surfaces, and at providing woven form designers with alternatives to conventional cut-and-assemble approaches, thus creating new grounds for cooperation between both disciplines. The utility of these methods and techniques was tested during a workshop for textile design Masters’ students at École nationale supérieure des Arts Décoratifs in Paris, the results of which show that the integration of form-thinking into the textile design process is possible and generates new opportunities for form-making beyond cut-and-assemble.","Woven Textile-forms; Weaving; Design Methodology; Textile design","en","conference paper","Design Research Society","","","","","","","","","","Materials and Manufacturing","","",""
"uuid:7eec0f7e-07fd-48ab-9874-147c919930a8","http://resolver.tudelft.nl/uuid:7eec0f7e-07fd-48ab-9874-147c919930a8","Aerodynamics and Far-field Noise Emissions of a Propeller in Positive and Negative Thrust Regimes at Non-zero Angles of Attack","Goyal, J. (TU Delft Wind Energy); Sinnige, T. (TU Delft Flight Performance and Propulsion); Ferreira, Carlos (TU Delft Wind Energy); Avallone, F. (TU Delft Wind Energy; Politecnico di Torino)","","2023","This paper studies the effect of operation at non-zero angles of attack on the aerodynamic performance and far-field noise emissions of an isolated propeller operating at positive and negative thrust conditions. To achieve this, scale-resolved lattice-Boltzmann very large eddy simulations coupled with the Ffowcs Williams & Hawkings analogy have been used. The results show that when the propeller operates with a 10◦ angle of attack at the positive thrust condition, the blade loading increases on the advancing side and decreases on the retreating side, leading to a 9.6% increase in integrated thrust (when computed along the propeller axis) and a negligible increase (0.1%) in propeller efficiency. Conversely, at the negative thrust condition, the operation at 10 deg angle of attack results in a 7.9% decrease in thrust magnitude and an 11.1% reduction in energy-harvesting efficiency. In this condition, the positively cambered blade sections exhibit dynamic stall at the 10◦ angle of attack, resulting in broadband fluctuations of up to 10% of the mean loading. As a result of the opposite change in absolute blade loading in the negative thrust condition compared to the positive thrust condition at the 10◦ angle of attack, the change in the noise directivity is also the opposite. Whereas in the positive thrust case, the noise increases in the region from which the propeller is tilted away (i.e., below the propeller at a positive angle of attack), in the negative thrust case, it is the other way around. This study highlights the need to account for non-zero angles of attack in propeller design and optimization analyses.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","","","","","","Wind Energy","","",""
"uuid:7cb6ce24-c285-42e8-a24e-acdd5333559f","http://resolver.tudelft.nl/uuid:7cb6ce24-c285-42e8-a24e-acdd5333559f","Cities of the Future: Towards Sustainable City Economies","Heurkens, E.W.T.M. (TU Delft Urban Development Management)","Cavallo, Roberto (editor); Kuijper, Joran (editor); Harteveld, Maurice (editor); Carreiro Matias, Marcelo (editor); Ulkü, Mesut (editor); Drašković, Sonja (editor)","2023","It goes without saying that cities of tomorrow face numerous challenges that will change their appearance drastically. We only now begin to notice a gradual change towards climate-adaptive cities, inner-city renewable energy production, and more healthy urban environments by re-naturing our public realm and buildings as well as accommodating societal initiatives within urban neighbourhoods. Such sustainability transitions within the built environment unfold in front of our eyes and are as appealing as urgently needed to make our cities liveable and thrive. Nonetheless, the physical transformations that come with such changes are also driven by economic factors and conditioned by financial aspects less visible and tangible. Yet, unquestionably, they help drive and support such sustainability transitions and built environment transformations. So how can we conceive of the economic factors shaping the city of the future?","city; future; education; engineering; graduation lab; built environment; architecture; urban design","en","book chapter","TU Delft OPEN","","","","","","","","","","Urban Development Management","","",""
"uuid:0c9894b0-86d1-48a5-9b84-47a4128afe5c","http://resolver.tudelft.nl/uuid:0c9894b0-86d1-48a5-9b84-47a4128afe5c","Cities of the Future: Control in Times of Acceleration","Muñoz Sanz, V. (TU Delft Urban Design)","Cavallo, Roberto (editor); Kuijper, Joran (editor); Harteveld, Maurice (editor); Carreiro Matias, Marcelo (editor); Ulkü, Mesut (editor); Drašković, Sonja (editor)","2023","'The architect who proposes to run with technology knows now that he [sic] will be in fast company, and that, in order to keep up, he may have to emulate the Futurists and discard his whole cultural load, including the professional garments by which he is recognized as an architect. If, on the other hand, he decides not to do this, he may find that a technological culture has decided to go on without him.'
These cautionary, and still relevant, words by Rayner Banham in his book 'Theory and Design in the First Machine Age' are very telling of the key challenge of dealing with the implications of technology in our cities. [...]","city; future; education; engineering; graduation lab; built environment; architecture; urban design; data","en","book chapter","TU Delft OPEN","","","","","","","","","","Urban Design","","",""
"uuid:b7d90b23-00c0-4707-8876-df19efd9dc89","http://resolver.tudelft.nl/uuid:b7d90b23-00c0-4707-8876-df19efd9dc89","Cities of the Future: On Nature and the Grammar of Design","Cannatella, D. (TU Delft Urban Data Science)","Cavallo, Roberto (editor); Kuijper, Joran (editor); Harteveld, Maurice (editor); Carreiro Matias, Marcelo (editor); Ulkü, Mesut (editor); Drašković, Sonja (editor)","2023","In his book, 'The sense of style', the cognitive scientist Steven Pinker argues that the categories of grammar reflect the four building blocks of thought: time, space, causality, and matter. Coincidentally, these building blocks are the same ones that dictate the grammar of spatial design. In them being absolute categories, they describe well the way architects, designers, planners and landscape architects perceive, investigate and intervene in the reality around them.","city; future; education; engineering; graduation lab; built environment; architecture; urban design; landscape architecture","en","book chapter","TU Delft OPEN","","","","","","","","","","Urban Data Science","","",""
"uuid:bad96320-666c-4fc9-8804-2ec0d5cf41ab","http://resolver.tudelft.nl/uuid:bad96320-666c-4fc9-8804-2ec0d5cf41ab","Cities of the Future: A Mobile and Sustainable Society","Vleugel, J (TU Delft Transport and Planning)","Cavallo, Roberto (editor); Kuijper, Joran (editor); Harteveld, Maurice (editor); Carreiro Matias, Marcelo (editor); Ulkü, Mesut (editor); Drašković, Sonja (editor)","2023","My research career started with a publication about externalities of mass motorization. Academic researchers are producing an endless stream of paper. Unfortunately, only a few of these publications have practical relevance. Even if they do, their recommendations are frequently butchered, mitigated or ignored in the political arena. Such experience can even turn a born optimist into a pessimist.","city; future; education; engineering; graduation lab; built environment; architecture; urban design; sustainability","en","book chapter","TU Delft OPEN","","","","","","","","","","Transport and Planning","","",""
"uuid:420a1826-c197-48fb-8fc5-db41fc0aaa65","http://resolver.tudelft.nl/uuid:420a1826-c197-48fb-8fc5-db41fc0aaa65","Cross-Cultural Exchange in Colonial South-East Asia","Bracken, G. (TU Delft Spatial Planning and Strategy)","","2023","'Cross-Cultural Exchange and the Colonial Imaginary', edited by H. Hazel Hahn, examines a phenomenon she says is as old as the history of human settlement. One of the book’s main strengths is its focus on exchange for the modern period which, as Hahn admits, is unusual but useful because it’s not so well understood (particularly when compared to the early-modern era).","","en","report","International Institute for Asian Studies (IIAS)","","","","","","","","","","Spatial Planning and Strategy","","",""
"uuid:30d2348f-ab43-428a-9ba9-3bcee5307fb4","http://resolver.tudelft.nl/uuid:30d2348f-ab43-428a-9ba9-3bcee5307fb4","Stalls and Splines: Current Trends in Flight Testing and Aerodynamic Model Identification","de Visser, C.C. (TU Delft Control & Simulation); Pool, D.M. (TU Delft Control & Simulation)","","2023","Aerodynamic model identification remains essential for simulator operations and control system design and operations. In this paper, state-of-the-art methodologies for aerodynamic model identification and validation are presented, together with a number of novel applications of the identified models that were recently investigated and developed at the Faculty of Aerospace Engineering of Delft University of Technology. In particular, this paper focuses on methodologies for identifying models of aerodynamic stall from flight data, as well as multivariate spline-based aerodynamic model identification methods, together with their applications in flight simulation and advanced flight control.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-04","","","Control & Simulation","","",""
"uuid:d3912f8c-8e44-4c97-ace2-6974b8c570bb","http://resolver.tudelft.nl/uuid:d3912f8c-8e44-4c97-ace2-6974b8c570bb","A Level Shifter With Almost Full Immunity to Positive dv/dt for Buck Converters","Yang, Y. (TU Delft Electronic Instrumentation; University of Macau); Huang, Mo (University of Macau); Du, S. (TU Delft Electronic Instrumentation); Martins, Rui P. (University of Macau); Lu, Yan (University of Macau)","","2023","High-frequency buck converters need a fast transition of switching nodes (high dv/dt). Such high dv/dt, especially the positive one, can cause malfunction of a conventional pulse-triggered active-coupled (PTAC) level shifter that is used to control the high-side NMOS switch. In this work, we first discuss the dv/dt immunity of conventional PTAC level shifters. Subsequently, we propose a new scheme to block the noise current during the dv/dt sequence, allowing an almost full immunity to the positive dv/dt. With this scheme, the maximum dv/dt is determined by how well the circuitry is protected from the overvoltage during the dv/dt sequence. We design a 20-V buck converter with this level shifter, fabricated in 180-nm BCD process. Experimental results show it works correctly under a 67-V/ns dv/dt.","buck converter; Buck converters; DC-DC converter; dv/dt immunity; High-voltage techniques; Latches; level shifter; Microelectronics; Switches; Transient analysis; Transistors","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-04","","","Electronic Instrumentation","","",""
"uuid:1953022d-3554-4fd9-a468-476670b41258","http://resolver.tudelft.nl/uuid:1953022d-3554-4fd9-a468-476670b41258","From Cooling to Coupling and Back: A Novel Beam Switching Heatsink Antenna Array With CSRR Embedded Isolation Wall","Çelik, F.T. (TU Delft Microelectronics); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems); Aslan, Y. (TU Delft Microwave Sensing, Signals & Systems)","","2023","A novel electromagnetic-thermal codesign and optimization methodology is proposed for thermal management in active finned-heatsink antenna arrays. An innovative complementary split-ring resonator embedded dual-functional (i.e., heat-dissipating electromagnetic-isolation) wall is introduced. For concept demonstration, a two-element unit cell is designed at 26 GHz with the wall in between the antenna elements. It is shown via simulations that the proposed design decreases the junction temperature of the chip driving the elements by almost 40 $^{\circ }$C and 15 $^{\circ }$C as compared with the conventional and finned-heatsink antennas, respectively. Moreover, the port coupling level is reduced to below -25 dB near the operating frequency and a low-complexity beam- switching and nulling function is achieved.","Antenna arrays; antenna cooling; Antennas; beam switching; Cooling; Couplings; CSRR; Electromagnetic heating; Heating systems; heatsink antenna; Junctions; mutual coupling; pattern nulling","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-04","","Microelectronics","Microwave Sensing, Signals & Systems","","",""
"uuid:75ccf160-59c0-431d-9b18-1cce8eecac91","http://resolver.tudelft.nl/uuid:75ccf160-59c0-431d-9b18-1cce8eecac91","Submodeling Method-Based Thermal Investigation of the Battery Energy Storage System Integrated in a 450 kW EV Charger","Guan, H. (TU Delft DC systems, Energy conversion & Storage); Wu, Y. (TU Delft DC systems, Energy conversion & Storage); Qin, Z. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2023","The electric vehicle (EV) market is expanding rapidly. However, the main barriers to EV adoption are high vehicle costs, range issues, and charging infrastructure. Meanwhile, energy storage systems (ESS) appear as a promising solution to preventing grid overload during charging and reducing infrastructure costs. In this paper, the integration of the battery energy storage system (BESS) in a 450 kW EV charger is designed and investigated via modeling and simulation mainly from the perspective of thermal management. To explore the heat dissipation and the temperature distribution across the pack, the thermal model based on the sub-modeling technique is developed via COMSOL, and a preliminary layout and cooling strategy are determined.","fast charging; battery energy storage system; system integration; thermal management","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-04","","","DC systems, Energy conversion & Storage","","",""
"uuid:1c7d5a52-5fe5-4691-9127-1d957376b74d","http://resolver.tudelft.nl/uuid:1c7d5a52-5fe5-4691-9127-1d957376b74d","Alternating Least-Squares-Based Microphone Array Parameter Estimation for A Single-Source Reverberant and Noisy Acoustic Scenario","Li, C. (TU Delft Signal Processing Systems); Hendriks, R.C. (TU Delft Signal Processing Systems)","","2023","Acoustic-scene-related parameters such as relative transfer functions (RTFs) and power spectral densities (PSDs) of the target source, late reverberation and ambient noise are essential for microphone array signal processing and are challenging to estimate. Existing methods typically only estimate a subset of the parameters by assuming the other parameters are known. This can lead to unmatched scenarios and reduced estimation performance on the parameters of interest. Moreover, many methods process time frames independently, despite they share common information such as the same RTF. In this work, we consider a noisy scenario by modelling the noise component as a spatially homogeneous sound field with a time-invariant spatial coherence matrix and time-varying PSD. We first modify an existing alternating least squares (ALS) method to obtain more accurate estimates using a single time frame. Then, we extend the method to use multiple time frames that share the same RTF. Furthermore, we propose more robust constraints on the PSDs to avoid large estimation errors. We compare our proposed methods to the state-of-the-art simultaneously confirmatory factor analysis (SCFA) method, a joint maximum likelihood estimation (JMLE) method and an existing ALS-based method. The experimental results in terms of estimation accuracy, noise reduction performance, predicted speech quality, and predicted speech intelligibility demonstrate that our proposed methods achieve similar performance compared to the state-of-the-art SCFA method, which outperforms the existing ALS method in all scenarios and outperforms the JMLE method particularly in low SNR scenarios. Moreover, our proposed methods have significantly lower computational complexity than SCFA.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-04","","","Signal Processing Systems","","",""
"uuid:3ade322c-d4ae-4873-836b-c53f6713cdcc","http://resolver.tudelft.nl/uuid:3ade322c-d4ae-4873-836b-c53f6713cdcc","Comparison of Military Handbook and the FIDES Methodology for Failure Rate Estimation of Modular Multilevel Converters","Ahmadi, M. (TU Delft DC systems, Energy conversion & Storage); Shekhar, A. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2023","Power electronics converters are crucial for power generation, transmission, and distribution. The modular multilevel converter (MMC) is highly valued for its ability to handle high power levels, versatility in reconfiguration, high efficiency through small-capacity submodules (SMs), and robust control capabilities. A failure of a power electronics converter could result in disruptions in the flow of electrical power, which could have severe consequences for people and equipment relying on it. Thus, the reliability of power electronics converters is critical to maintaining the reliability of the electrical power system. Two well-known methodologies, the military handbook (MIL) and the more recent FIDES, can be used to evaluate the MMC's reliability. Both methods consider various factors to estimate the component's failure rate, resulting in different reliability parameters. In this paper, the reliability of the MMC is estimated using both methods, and the results are compared for standby and active redundancy strategies. Lastly, a generalized cost form that considers operational cost, capital cost, redundancy strategies, reliability methods (MIL and FIDES), and the MMC's annual average loading is presented.","cost; failure rate; FIDES; MIL handbook; MMC; redundancy; reliability","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-04","","","DC systems, Energy conversion & Storage","","",""
"uuid:0949eb01-891e-47f9-a50a-4611a34b9325","http://resolver.tudelft.nl/uuid:0949eb01-891e-47f9-a50a-4611a34b9325","Provision of Ramp-rate Limitation as Ancillary Service from Distribution to Transmission System: Definitions and Methodologies for Control and Sizing of Central Battery Energy Storage System","Gkavanoudis, Spyros I. (Aristotle University of Thessaloniki); Malamaki, Kyriaki Nefeli D. (Aristotle University of Thessaloniki); Kontis, Eleftherios O. (Aristotle University of Thessaloniki); Demoulias, Charis S. (Aristotle University of Thessaloniki); Shekhar, A. (TU Delft DC systems, Energy conversion & Storage); Mushtaq, U. (TU Delft Intelligent Electrical Power Grids); Venu, Sagar Bandi (FENECON Gmbh)","","2023","The variability of the output power of distributed renewable energy sources (DRESs) that originate from the fast-changing climatic conditions can negatively affect the grid stability. Therefore, grid operators have incorporated ramp-rate limitations (RRLs) for the injected DRES power in the grid codes. As the DRES penetration levels increase, the mitigation of high-power ramps is no longer considered as a system support function but rather an ancillary service (AS). Energy storage systems (ESSs) coordinated by RR control algorithms are often applied to mitigate these power fluctuations. However, no unified definition of active power ramps, which is essential to treat the RRL as AS, currently exists. This paper assesses the various definitions for ramp-rate RR and proposes RRL method control for a central battery ESS (BESS) in distribution systems (DSs). The ultimate objective is to restrain high-power ramps at the distribution transformer level so that RRL can be traded as AS to the upstream transmission system (TS). The proposed control is based on the direct control of the ΔP/Δt, which means that the control parameters are directly correlated with the RR requirements included in the grid codes. In addition, a novel method for restoring the state of charge (SoC) within a specific range following a high ramp-up/down event is proposed. Finally, a parametric method for estimating the sizing of central BESSs (BESS sizing for short) is developed. The BESS sizing is determined by considering the RR requirements, the DRES units, and the load mix of the examined DS. The BESS sizing is directly related to the constant RR achieved using the proposed control. Finally, the proposed methodologies are validated through simulations in MATLAB/Simulink and laboratory tests in a commercially available BESS.","Battery energy storage system; distributed renewable energy resource; distribution system; ramp-rate limitation; sizing; state of charge; transmission system","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-04","","","DC systems, Energy conversion & Storage","","",""
"uuid:dc8d5660-100f-486f-86d6-4c573d4109a4","http://resolver.tudelft.nl/uuid:dc8d5660-100f-486f-86d6-4c573d4109a4","From Requirements to Product: an MBSE Approach for the Digitalization of the Aircraft Design Process","Bruggeman, A.M.R.M. (TU Delft Flight Performance and Propulsion); la Rocca, G. (TU Delft Flight Performance and Propulsion)","","2023","During the aircraft conceptual design phase, many different design options need to be explored and compared in a short time frame. To speed up this process, efforts have been made in the past decades to digitalize parts of the design process, with a focus on the automation of the repetitive and non-creative tasks inherent to the iterative design process. Whilst many of the newly developed methodologies focus on specific parts of the design process, a holistic model-based design framework, incorporating the latest design technology developments, is lacking. To fill this gap, this paper presents the latest version of the Design and Engineering Engine (DEE) framework, originally proposed in the early 2000s and progressively matured through the experience of several international research collaborations. The DEE enables the setup and execution of Multidisciplinary Design Analysis and Optimization (MDAO) problems for aircraft (sub)systems, leveraging the automated, rule-based modeling capabilities offered by Knowledge-Based Engineering (KBE) and recent developments in the automatic formulation and integration of MDAO workflows. While the traditional MDAO process focuses on a given product architecture, the DEE allows also architectural design studies and makes use of Model-Based Systems Engineering (MBSE) principles to address the whole design process, from requirements modeling up to the automatic verification of the requirements. In practice, the DEE provides a single conceptual framework or template from which specific design framework instances can be formulated and executed, according to the user's needs. This paper describes the DEE architecture and its implementation concepts. Furthermore, it demonstrates the application of the DEE template to four different scenarios, ranging from a simple requirement verification study, up to the simultaneous synthesis and optimization of an aircraft system and its production process, including multiple system architecture options.","Model Based Systems Engineering; Multidisciplinary Design Optimization; Aircraft Design Process","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-04","","","Flight Performance and Propulsion","","",""
"uuid:276ebf09-6adb-4b83-bfa8-4e27c2da5988","http://resolver.tudelft.nl/uuid:276ebf09-6adb-4b83-bfa8-4e27c2da5988","Mapping biotic and abiotic seafloor habitat characteristics with multi-spectral multi-beam backscatter data","Mestdagh, S.M.F. (TU Delft Aircraft Noise and Climate Effects); Bai, Q. (TU Delft Aircraft Noise and Climate Effects); Snellen, M. (TU Delft Control & Operations)","","2023","Detailed knowledge of both the sedimentological and ecological characteristics of the seafloor is essential when undertaking bottom-disturbing activities, but can be a challenge to obtain. Through backscatter data at different frequencies, collected with a multi-spectral multi-beam sonar, information on the structure of both the sediment surface and subsurface, and potentially also on the presence and distribution of benthic organisms, can be derived. We conducted two surveys at sea in summer 2021, in which we used an R2Sonic 2026 multi-spectral multi-beam sonar in the southern North Sea. Boxcore samples were taken to gather information on macrobenthos densities and sediment characteristics. The two studied areas were found to differ in seafloor morphology and correspondingly in the composition of the sediment composition and benthos distribution. Backscatter strength was used to classify the seafloor via the Bayesian method and via hierarchical clustering of angular variation. Relationships between the classification results for three frequencies and sediment and ecological variables were studied through redundancy analysis (RDA), for which hierarchical clustering of the angular variation in backscatter strength showed a higher model fit than Bayesian classification. We found that the density of the sand mason worm Lanice conchilega and percentages of dead shells, gravel and sand contributed most to the backscatter-based classification, with lower contributions of the percentages of mud and living bivalves. Our results suggest that acoustic backscatter can be used to delineate distinct seafloor regions, corresponding with concurrent gradients in ecological and sedimentological variables.","backscatter; benthos; multi-beam; multi-spectral; sediment characteristics","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-04","","Control & Operations","Aircraft Noise and Climate Effects","","",""
"uuid:e4fe1a0e-c5b0-4f77-af0f-4ea349f8e0f2","http://resolver.tudelft.nl/uuid:e4fe1a0e-c5b0-4f77-af0f-4ea349f8e0f2","Resonant Magnetoelastic Coupling between Magnetic Vortex and Lattice Breathing Modes","Bondarenko, A.V. (TU Delft QN/Blanter Group; Kavli institute of nanoscience Delft; National Academy of Sciences of Ukraine); Kounalakis, M. (TU Delft QN/Blanter Group; Kavli institute of nanoscience Delft; Rheinisch-Westfälische Technische Hochschule); Kusminskiy, Silvia Viola (Rheinisch-Westfälische Technische Hochschule; Max Planck Institute for the Science of Light); Bauer, G.E. (TU Delft QN/Bauer Group; Tohoku University; Kavli Institute for Theoretical Sciences; University of Chinese Academy of Sciences); Blanter, Y.M. (TU Delft QN/Blanter Group; Kavli institute of nanoscience Delft)","","2023","Optical photons are ideal carriers for long-distance transmission, while state-of-the-art quantum processors, such as supercon-ducting qubits, operate at microwave frequencies. An important requirement for networked quantum computation is therefore the ability to coherently convert the quantum information from microwave to optical frequencies and vice-versa. We theoretically address a scheme to achieve this via an intermediate conversion to magnons that enhances the weak direct magneto-optical coupling. We wish to demonstrate the feasibility of such a scheme by employing the magnetoelastic coupling between the modes of a magnetic vortex (vortex breathing mode, VBM) and that of the lattice (elastic breathing mode, EBM), which requires no additional external bias field. In our setup all but the opto-mechanical coupling can be made resonant. We propose an alternative Mumax3 simulation post-processing procedure for semi-classical normalization, where we use regression analysis of the the internal energy dependency on excitation amplitude in a limit cycle motion. We provide estimates for direct resonant coupling between the VBM and the EBM.","breathing mode; magnetic vortex; magnetostriction; nanomagnetism","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-04","","","QN/Blanter Group","","",""
"uuid:911d9737-aa09-4b61-ba5e-e6bb094ad57d","http://resolver.tudelft.nl/uuid:911d9737-aa09-4b61-ba5e-e6bb094ad57d","Introduction to this special issue on intelligent systems for people with diverse cognitive abilities","Mauro, Noemi (University of Turin); Cena, Federica (University of Turin); Putnam, Cynthia (DePaul University); Pera, M.S. (TU Delft Web Information Systems); Roldán Álvarez, David (Universidad Rey Juan Carlos)","","2023","This special issue highlights state-of-the-art research in intelligent systems and technology for people with diverse abilities. To control scope, we pay particular attention to cognitive diversity, including but not limited to, neurodevelopmental disorders and autism, cognitive and learningdisabilities, and dementia. We introduce the papers in this special issue by contextualizing them according to different research areas. By curating leading-edge contributions in this area, we aim to raise awareness about research challenges and requirements inherent in the development and assessment of systems designed for these categories of users.","Autism; Cognitive Disabilities; Dementia; Human-computer Interaction; Intelligent Systems; Neurodevelopmental Disorders","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-06","","","Web Information Systems","","",""
"uuid:19477322-7c3d-4736-8c92-5116c5b735bb","http://resolver.tudelft.nl/uuid:19477322-7c3d-4736-8c92-5116c5b735bb","Multi-Trace Multi-Screen Methods for Mixed Transmission/Scattering Problems","Cools, K. (Universiteit Gent); Urzúa-Torres, Carolina (TU Delft Numerical Analysis)","","2023","In this contribution a novel fast-converging integral equation method is introduced that can be used to solve the mixed transmission/scattering problems by composite structures including multiple domains and metallic coatings that can contain junctions. This is achieved by combining the global multi-trace method with the quotient space discretisation of the multi-screen boundary integral equation. The method is illustrated by means of a sufficiently general geometry, the discretisation is discussed, and an effective Calderon multiplicative preconditioner is introduced. Numerical results corroborate the correctness and efficiency of the method.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-07","","","Numerical Analysis","","",""
"uuid:bbf1d868-e1a9-491f-b47a-52cb3a63fde0","http://resolver.tudelft.nl/uuid:bbf1d868-e1a9-491f-b47a-52cb3a63fde0","Called Back Onstage: Dramaturgic Analysis, Domestic Social Robots, and Privacy","Coggins, T.N. (TU Delft Ethics & Philosophy of Technology)","Hakli, Raul (editor); Mäkelä, Pekka (editor); Seib, Johanna (editor)","2023","I argue that social robots installed inside homes produce a novel privacy problem when they invite their users to engage with them. To build my case, I introduce relevant concepts from Erving Goffman’s theory of Dramaturgic Analysis to interpret human-robot interactions. Following Goffman, I posit that we pre-reflectively adjust our behavior to communal expectations and perform as characters when interacting with other people in public. We tend to step into character when we encounter familiar social situations, which, I argue, includes those created by robots that mimic human social behavior. Our homes, ideally, enable us to set aside the characters we play in public to pursue private tasks associated with our well-being, autonomy, and intimate relationships. As such, when domestic social robots elicit social responses from users, they may rob users of time they could otherwise dedicate to valuable private activities—an issue I categorize as a privacy problem.","","en","book chapter","IOS Press","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Ethics & Philosophy of Technology","","",""
"uuid:b7fb0bce-5dab-4aab-8444-482d2f6974cc","http://resolver.tudelft.nl/uuid:b7fb0bce-5dab-4aab-8444-482d2f6974cc","Glass performance, from design, simulation and lab to construction site and beyond","Belis, Jan (Universiteit Gent); Louter, P.C. (TU Delft Applied Mechanics); Schneider, Jens (Technische Universität Wien); Overend, M. (TU Delft Architectural Technology); Nielsen, Jens H. (COWI A/S)","","2023","","","en","contribution to periodical","","","","","","","","2024-06-19","","","Applied Mechanics","","",""
"uuid:ffe0d3aa-2796-45a5-9440-e87d86fb6b15","http://resolver.tudelft.nl/uuid:ffe0d3aa-2796-45a5-9440-e87d86fb6b15","A Thin and Low-Inductance 1200 V SiC MOSFET Fan-Out Panel-Level Packaging With Thermal Cycling Reliability Evaluation","Chen, Wei (Fudan University); Jiang, Jing (Fudan University); Meda, Abdulmelik H. (The Hong Kong Polytechnic University); Ibrahim, Mesfin S. (Ctr. for Adv. Research in Photonics); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Fan, J. (Fudan University)","","2023","SiC MOSFET is mainly characterized by the higher electric breakdown field, higher thermal conductivity, and lower switching loss enabling high breakdown voltage, high-temperature operation, and high switching frequency. However, their performances are considerably limited by the high parasitic inductance and poor heat dissipation capabilities associated with existing wire-bonding packaging methods. To address this challenge, a 1200 V/136 A fan-out panel-level packaging (FOPLP) SiC MOSFET with a size of $8\times {8} \times {0}.{75}$ mm was proposed. The electrical parameters of the devices were characterized experimentally. Both the static and dynamic parameters of the package matched the bare die values, which confirmed the functioning of the proposed packaging method for SiC MOSFET. The package parasitic inductance, thermal resistance, and soldering stress were analyzed through simulations. The reliability of the packages was evaluated by performing the thermal cycling test. The experimental results revealed that: 1) SiC MOSFET FOPLP had 0.36 nH drain-source parasitic inductance at 100 kHz, a 96% reduction compared with a conventional wire-bonded package; 2) double-sided cooling enabled the packages to exhibit a thermal resistance as low as 0.55 °C/W; and 3) after 2000 thermal cycling cycles, drain-source ON-state resistance [RDS(on)] increased by less than 2%, which revealed the higher reliability of the package under thermal cycling.","Copper; Fan-out panel-level packaging (FOPLP); Inductance; MOSFET; Packaging; parasitic inductance; Reliability; SiC MOSFET; Silicon carbide; thermal cycling; Thermal resistance; thermal resistance","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-16","","","Electronic Components, Technology and Materials","","",""
"uuid:7a0f3a04-48f2-4a65-8977-4df165431bf8","http://resolver.tudelft.nl/uuid:7a0f3a04-48f2-4a65-8977-4df165431bf8","Promises of Deep Kernel Learning for Control Synthesis","Reed, Robert (University of Colorado); Laurenti, L. (TU Delft Team Luca Laurenti); Lahijanian, Morteza (University of Colorado)","","2023","Deep Kernel Learning (DKL) combines the representational power of neural networks with the uncertainty quantification of Gaussian Processes. Hence, it is potentially a promising tool to learn and control complex dynamical systems. In this letter, we develop a scalable abstraction-based framework that enables the use of DKL for control synthesis of stochastic dynamical systems against complex specifications. Specifically, we consider temporal logic specifications and create an end-to-end framework that uses DKL to learn an unknown system from data and formally abstracts the DKL model into an interval Markov decision process to perform control synthesis with correctness guarantees. Furthermore, we identify a deep architecture that enables accurate learning and efficient abstraction computation. The effectiveness of our approach is illustrated on various benchmarks, including a 5-D nonlinear stochastic system, showing how control synthesis with DKL can substantially outperform state-of-the-art competitive methods.","Artificial neural networks; Control systems; Kernel; Machine Learning; Robust Control; Scalability; Stochastic systems; Stochastic Systems; Trajectory; Uncertainty","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-08","","","Team Luca Laurenti","","",""
"uuid:a78c9372-4346-4525-a172-91529982be6a","http://resolver.tudelft.nl/uuid:a78c9372-4346-4525-a172-91529982be6a","The influence of spectral bandwidth and shape on deep-water wave breaking onset","McAllister, M.L. (University of Oxford); Pizzo, N. (University of Rhode Island; University of San Diego); Draycott, S. (The University of Manchester); van den Bremer, T.S. (TU Delft Environmental Fluid Mechanics; University of Oxford)","","2023","Deep-water surface wave breaking affects the transfer of mass, momentum, energy and heat between the air and sea. Understanding when and how the onset of wave breaking will occur remains a challenge. The mechanisms that form unforced steep waves, i.e. nonlinearity or dispersion, are thought to have a strong influence on the onset of wave breaking. In two dimensions and in deep water, spectral bandwidth is the main factor that affects the roles these mechanism play. Existing studies, in which the relationship between spectral bandwidth and wave breaking onset is investigated, present varied and sometimes conflicting results. We perform potential-flow simulations of two-dimensional focused wave groups on deep water to better understand this relationship, with the aim of reconciling existing studies. We show that the way in which steepness is defined may be the main source of confusion in the literature. Locally defined steepness at breaking onset reduces as a function of bandwidth, and globally defined (spectral) steepness increases. The relationship between global breaking onset steepness and spectral shape (using the parameters bandwidth and spectral skewness) is too complex to parameterise in a general way. However, we find that the local surface slope of maximally steep non-breaking waves, of all spectral bandwidths and shapes that we simulate, approaches a limit of 1/tan(π/3)≈0.5774. This slope-based threshold is simple to measure and may be used as an alternative to existing kinematic breaking onset thresholds. There is a potential link between slope-based and kinematic breaking onset thresholds, which future work should seek to better understand.","wave breaking","en","journal article","","","","","","","","","","","Environmental Fluid Mechanics","","",""
"uuid:5a93f427-df88-4734-b7a8-38605cbee71c","http://resolver.tudelft.nl/uuid:5a93f427-df88-4734-b7a8-38605cbee71c","Bandwidth Characterization of c-Si Solar Cells as VLC Receiver under Colored LEDs","Zhou, Y. (TU Delft Photovoltaic Materials and Devices); Ibrahim, Aya (Student TU Delft); Muttillo, M. (TU Delft Photovoltaic Materials and Devices); Manganiello, P. (TU Delft Photovoltaic Materials and Devices); Ziar, H. (TU Delft Photovoltaic Materials and Devices); Isabella, O. (TU Delft Photovoltaic Materials and Devices)","","2023","This paper presents the fundamental study on the relationship between LED light sources and the performance of solar cell as receivers in visible light communication (VLC) links. Here, different colors of LEDs are modulated with a sinusoidal signal, and the bandwidth of the VLC system based on various c-Si PV architectures is characterized at different bias voltages. The preliminary results show that the bandwidth of such VLC system is highly influenced by the bias voltage, where higher bias voltage leads to lower bandwidth. This means that there is a trade-off between energy harvesting and communication performance when solar cells are used as VLC receivers. Meanwhile, we also observed that the bandwidth decreases as the LED irradiance level increases, and the color of LED (currently red and blue LEDs are characterized) does not pose a significant impact on the bandwidth. The highest bandwidth is found at 50 kHz for a VLC system using a 6-in TOPCON solar cell under 100 W/m2 with 100 mV bias voltage.","visible light communication (VLC); solar cells; LED colors; Photovoltatronics","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-01","","","Photovoltaic Materials and Devices","","",""
"uuid:079e36d8-1635-464f-bd9d-808b07944215","http://resolver.tudelft.nl/uuid:079e36d8-1635-464f-bd9d-808b07944215","Making post-war urban neighbourhoods healthier: involving residents’ perspectives in selecting locations for health promoting urban redesign interventions","Reijneveld, Sijmen A. (University Medical Center Groningen); Koene, Marijke (Rijksuniversiteit Groningen); Tuinstra, Jolanda (University Medical Center Groningen); van der Spek, S.C. (TU Delft Urban Design); Broekhuis, Manda (Rijksuniversiteit Groningen); Wagenaar, C. (Rijksuniversiteit Groningen)","","2023","Post-war urban neighbourhoods in industrialised countries have been shown to negatively affect the lifestyles of their residents due to their design. This study aims at developing an empirical procedure to select locations to be redesigned and the determinants of health at stake in these locations, with involvement of residents’ perspectives as core issue. We addressed a post-war neighbourhood in the city of Groningen, the Netherlands. We collected data from three perspectives: spatial analyses by urban designers, interviews with experts in local health and social care (n = 11) and online questionnaires filled in by residents (n = 99). These data provided input for the selection of locations to be redesigned by a multidisciplinary team (n = 16). The procedure yielded the following types of locations (and determinants): An area adjacent to a central shopping mall (social interaction, traffic safety, physical activity), a park (experiencing green, physical activity, social safety, social interaction) and a block of low-rise row houses around a public square (social safety, social interaction, traffic safety). We developed an empirical procedure for the selection of locations and determinants to be addressed, with addressing residents’ perspectives. This procedure is potentially applicable to similar neighbourhoods internationally.","intervention; Netherlands; post-war neighbourhood; urban design; Urban health","en","journal article","","","","","","","","","","","Urban Design","","",""
"uuid:76227f7c-24ba-4cac-aa69-4f71ccae4218","http://resolver.tudelft.nl/uuid:76227f7c-24ba-4cac-aa69-4f71ccae4218","Missie Kennisregio aan Zee 2070: Ruimtelijke voorwaarden voor duurzame economische ontwikkeling en een leefbare toekomst van de Zuid-Hollandse kustregio","Burema, Francine (Atlas Research); Dijkstra, R.J. (TU Delft Urban Design); Knuijt, Martin (OKRA Landschapsarchitecten); Middeldorp, Marten (Atlas Research); Pol, Peter (Gemeente Den Haag); Roggema, Rob (Cittaideale); Tillie, Nico (TU Delft Landscape Architecture)","Nijhuis, S. (editor); Hogenkamp, L.A. (editor)","2023","De Kennisregio aan Zee 2070 is een ruimtelijk-economische samenwerking tussen de steden Den Haag, Zoetermeer, Delft en Leiden, de provincie Zuid Holland en kennisinstellingen TU Delft, Universiteit Leiden en de Haagse Hogeschool. De missie: laat de economie bijdragen aan oplossingen voor maatschappelijke problemen; waar schaarse ruimte onze kennis en praktijk uitdaagt tot innovatieve oplossingen voor een veilige, gezonde en inclusieve leefomgeving. De samenwerking heeft tot doel bouwstenen te ontwikkelen voor een toekomstperspectief dat richting geeft aan de maatschappelijke en ruimtelijk-economische regionale ontwikkeling; een verbindend verhaal dat de organisaties aanzet tot langdurige samenwerking als basis voor een duurzame kennisecologie en brede welvaart.
Maar hoe zit het kennisecosysteem van de Kennisregio aan Zee eigenlijk precies ruimtelijk in elkaar? En welke ruimtelijke condities zijn aanwezig en moeten verder ontwikkeld worden op de schaal van de regio? En wat betekent dit voor innovatiedistricten in bijv. Delft, Den Haag, Leiden en Zoetermeer? Hoe zit het met de regionale samenhang, diversiteit en complementariteit en waar zitten mogelijkheden voor verbetering? Hoe kan het landschap dienen als basis voor klimaat-adaptief en natuur-inclusieve ruimtelijke ontwikkeling gerelateerd aan bijvoorbeeld woningbouwopgave? Ondanks dat we hier nog niet alle antwoorden op hebben kunnen we wel een aantal ruimtelijke voorwaarden benoemen die de Kennisregio aan Zee 2070 productiever, sociaal en ecologisch inclusiever en toekomstbestendig maken; en slimme groei op de juiste plekken faciliteren.
Deze publicatie beoogt een aantal ruimtelijke bouwstenen te benoemen en uit te werken in een regionaal ruimtelijk-economisch perspectief. Het natuurlijke landschap en de stedelijke context zijn de basis voor een duurzame kennisecologie en brede welvaart op de lange termijn. Centraal staat een eerste ruimtelijke verkenning, een regionaal ontwerp waarin wonen, mobiliteit, economie, water, natuur en landschap integraal bekeken zijn. Dit lange termijn perspectief maakt het mogelijk middels backcasting terug te redeneren met welke strategische regionale projecten morgen begonnen kan worden. Een aantal verdiepende essays geven inzicht in hoe stad en landschap als condities dienen voor ruimtelijk-economische ontwikkeling van de Zuid-Hollandse kustregio.","Kenniseconomie; Regionaal ontwerp; Ruimtelijke visie; Regionaal landschapspark","nl","report","Delft University of Technology","","","","","TU Delft Landschapsarchitectuur, mmv OKRA landschapsarchitecten, Atlas Research, Global Institute of Technology","","","","","Landscape Architecture","","",""
"uuid:4a5a1ff1-617c-49dd-bf96-13c163f032a2","http://resolver.tudelft.nl/uuid:4a5a1ff1-617c-49dd-bf96-13c163f032a2","Cities for citizens! Public value spheres for understanding conflicts in urban planning","Herzog, Rico (Student TU Delft; HafenCity University Hamburg); Goncalves, J. E. (TU Delft Spatial Planning and Strategy); Slingerland, G. (TU Delft Urban Studies); Kleinhans, R.J. (TU Delft Urban Studies); Prang, Holger (HafenCity University Hamburg); Brazier, F.M. (TU Delft System Engineering); Verma, T. (TU Delft Policy Analysis)","","2023","Identifying the diverse and often competing values of citizens, and resolving the consequent public value conflicts, are of significant importance for inclusive and integrated urban development. Scholars have highlighted that relational, value-laden urban space gives rise to many diverse conflicts that vary both spatially and temporally. Although notions of public value conflicts have been conceived in theory, there are few empirical studies that identify such values and their conflicts in urban space. Building on public value theory and using a case-study mixed-methods approach, this paper proposes a new approach to empirically investigate public value conflicts in urban space. Using unstructured participatory data of 4528 citizen contributions from a Public Participation Geographic Information Systems in Hamburg, Germany, natural language processing and spatial clustering techniques are used to identify areas of potential value conflicts. Four expert interviews assess and interpret these quantitative findings. By integrating quantitative assessments with the qualitative findings of the interviews, we identify 19 general public values and nine archetypical conflicts. On the basis of these results, this paper proposes a new conceptual model of ‘Public Value Spheres’ that extends the understanding of public value conflicts and helps to further account for the value-laden nature of urban space.","natural language processing; public participation; public values; spatial conflict; urban planning","en","journal article","","","","","","","","","","","Spatial Planning and Strategy","","",""
"uuid:c587b49a-259e-4041-ac09-c2b7f2c721ef","http://resolver.tudelft.nl/uuid:c587b49a-259e-4041-ac09-c2b7f2c721ef","A novel tier-based numerical analysis procedure for the structural assessment of masonry quay walls under traffic loads","Sharma, S. (TU Delft Applied Mechanics); Longo, M. (TU Delft Applied Mechanics); Messali, F. (TU Delft Applied Mechanics)","","2023","Historical quay walls constructed in unreinforced masonry are integral elements of many cities. Originally designed as gravity retaining walls, they are nowadays often subjected to the action of traffic loads as a result of vehicles travelling on roads constructed on their backfill. This paper presents a numerical analysis procedure for carrying out the structural assessment of quay walls under traffic loads. The procedure simulates the non-linear dynamic response of the quay wall under the effect of the passage of a vehicle. Non-linear dynamic calculations are performed not only to be representative of the actual nature of loading but also to produce realistic estimations of structural safety, load redistribution capacities and displacements. Adopting a tier-based approach, the computational burden typically associated with such simulations is significantly reduced. This is obtained by adopting simplifications which allow for the modelling the 3D soil block comprising the backfill of the quay wall only in the first tier of the procedure. To demonstrate the implementation of the procedure, a detailed application to an existing quay wall in Amsterdam, the Netherlands, is presented. Different foundation damage scenarios are also considered. Though the procedure is presented in this paper for a specific typology of quay walls, it has conceptual and methodological value. With appropriate modifications it can be used for the structural assessment of other earth retaining structures as well, under the effect of vehicular traffic on their backfills.","quay walls; historical infrastructure; traffic loading; soil-structure interaction; unreinforced masonry; foundation damage; finite element method; non-linear dynamic","en","journal article","","","","","","","","","","","Applied Mechanics","","",""
"uuid:69e1c1f3-9049-432f-acb1-e40b8b65184f","http://resolver.tudelft.nl/uuid:69e1c1f3-9049-432f-acb1-e40b8b65184f","Rethinking the Trigger-injecting Position in Graph Backdoor Attack","Xu, J. (TU Delft Cyber Security); Abad, Gorka (Radboud Universiteit Nijmegen; Ikerlan research centre); Picek, S. (TU Delft Cyber Security; Radboud Universiteit Nijmegen)","","2023","Backdoor attacks have been demonstrated as a security threat for machine learning models. Traditional backdoor attacks intend to inject backdoor functionality into the model such that the backdoored model will perform abnormally on inputs with predefined backdoor triggers and still retain state-of-the-art performance on the clean inputs. While there are already some works on backdoor attacks on Graph Neural Networks (GNNs), the backdoor trigger in the graph domain is mostly injected into random positions of the sample. There is no work analyzing and explaining the backdoor attack performance when injecting triggers into the most important or least important area in the sample, which we refer to as trigger-injecting strategies MIAS and LIAS, respectively. Our results show that, generally, LIAS performs better, and the differences between the LIAS and MIAS performance can be significant. Furthermore, we explain these two strategies’ similar (better) attack performance through explanation techniques, which results in a further understanding of backdoor attacks in GNNs.","backdoor attack; trigger-injecting position; graph neural networks","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-02","","","Cyber Security","","",""
"uuid:7594321d-8642-4244-9716-328c914572a1","http://resolver.tudelft.nl/uuid:7594321d-8642-4244-9716-328c914572a1","GLM-Regularized Low-Rank Factorization For Extracting Functional Response From Swept-3D Functional Ultrasound","Erol, A. (TU Delft Signal Processing Systems); Generowicz, Bastian (Erasmus MC); Kruizinga, P. (Erasmus MC); Hunyadi, Borbala (TU Delft Signal Processing Systems)","","2023","Functional ultrasound (fUS) is an emerging neuroimaging modality that indirectly measures neural activity by detecting fluctuations in local blood dynamics. fUS acquisitions typically rely on the use of a 1D array transducer, which records hemodynamic activity in a single plane. A new technique named swept-3D fUS imaging obtains a full 3D volume of the brain by continuously moving a 1D array back-and-forth over the volume of interest. The standard procedure in fUS imaging involves filtering and averaging a number of ultrasound frames obtained at a single location to compute power-Doppler images, yet, in case of swept-3D fUS, the location of the recorded slice shifts at each time instant due to probe motion. In this work, we aim at discovering task-relevant components from 3D fUS data while taking into account the spatiotemporal differences in adjacent slices. We propose an alternating optimization scheme with general liner model-based regularization, and validate our method on swept-3D fUS data by identifying active regions and time traces within the mouse brain during a visual experiment.","3D functional ultrasound; brain; mouse; regularized factorization","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-02","","","Signal Processing Systems","","",""
"uuid:da2c45eb-3ef7-42f3-8b6c-a7a65474fb35","http://resolver.tudelft.nl/uuid:da2c45eb-3ef7-42f3-8b6c-a7a65474fb35","A Co-simulation Procedure for Optimal Reactive Power Control in Active Distribution Networks","Tricarico, Gioacchino (Polytechnic University of Bari); Wagle, Raju (The Arctic University of Norway; University of Tromsø); Martinez, Jesus Castro (Carlos III University of Madrid); Gonzalez-Longatt, Francisco (University of Exeter); Dicorato, Maria (Polytechnic University of Bari); Forte, Giuseppe (Polytechnic University of Bari); Rueda, José L. (TU Delft Intelligent Electrical Power Grids)","","2023","Distribution grids are subject to a drastic evolution in their operating conditions due to the high integration of renewable energy resources (RES) and their ability to regulate voltage. To cope with this issue, modern solar photovoltaic (PV) systems are equipped with smart inverters enabled with communication capabilities that allow the coordinated operation to offer services such as controlling voltage by appropriately setting the reactive power production. This paper proposes a co-simulation framework for smart converter reactive power control in active distribution grids. The proposed framework is used to appropriately control smart inverters installed in PV systems to inject/withdrew reactive power ensuring voltage control at the time that minimises active power losses of the active distribution grid (ADG). The proposed approach has been tested in a modified version of the Kumamoto distribution system. The suitability of the proposed framework has been demonstrated.","Co-simulation; differential evolution; hosting capacity; reactive power control; smart inverters","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-03","","","Intelligent Electrical Power Grids","","",""
"uuid:16bdf0f4-24d1-4f33-bcbe-a44ea44e9a45","http://resolver.tudelft.nl/uuid:16bdf0f4-24d1-4f33-bcbe-a44ea44e9a45","Effect of Passivation and Mechanical Constraint on Electromigration in Interconnect","Cui, Z. (TU Delft Electronic Components, Technology and Materials); Fan, X. (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","In this paper, we apply the Eshelby's solution to study the effect of passivation layer on electromigration (EM) failure in a conductor. The passivation layer is considered as an elastic material, not a rigid layer anymore. Thus, the deformation and stress evolution in the conductor during EM are related to the mechanical property of the passivation layer. One-dimensional (1D) analytical solution for the passivated conductor is obtained. The numerical results show that the conductor covered with the stiffer passivation layer has much less EM damage. And the steady-state solution shows that the magnitude of (jL)c increases with increasing Young's modulus of passivation material. The present study provides a way to predict the EM performances taking into account various passivation materials.","coupling theory; electromigration; Eshelby's solution; passivation","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-03","","","Electronic Components, Technology and Materials","","",""
"uuid:a124953d-7e22-41af-9e72-e4c7f08ae9ff","http://resolver.tudelft.nl/uuid:a124953d-7e22-41af-9e72-e4c7f08ae9ff","A Thin-film Reconfigurable SiC Thermal Test Chip for Reliability Monitoring in Harsh Environments","Sattari, R. (TU Delft Electronic Components, Technology and Materials); van Zeijl, H.W. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","Wide bandgap (WBG) semiconductor technologies enable significant progress in the emergence of power modules. Power cycling at elevated temperatures causes crack or delamination failure, especially at the die-attached bonded interface in the long term. Therefore, the in-situ reliability investigation of power modules, materials, and semiconductor packages is of great significance for modern industries. The silicon carbide's higher bandgap energy, intrinsic thermal conductivity, and mechanical strength make it a great candidate for the next generation of semiconductor, designed to operate in harsh conditions. In this study, a thin-film reconfigurable silicon carbide (SiC) thermal test chip (TTC) is designed and fabricated for reliability assessment in harsh environments. The proposed TTC realizes in-situ power/thermal cycling tests at elevated temperatures as well as characterization of novel materials such as nanoparticle-based sintering materials in die-attach technology and high-temperature-compatible epoxy molding compounds. The chip is equipped with thin-film platinum microheaters to realize modular power mappings, and platinum resistive temperature detectors (RTD) to examine the thermal reliability by monitoring the precise changes of the internal junction-to-case thermal resistance.","bandgap energy; die-attach; in-situ reliability investigation; junction-to-case thermal resistance; nanoparticle-based sintering; power cycling; power modules; resistive temperature detectors; thermal conductivity; Wide bandgap semiconductor technology","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-03","","","Electronic Components, Technology and Materials","","",""
"uuid:34cde458-b75a-4d6e-80ea-2f9b28f876a6","http://resolver.tudelft.nl/uuid:34cde458-b75a-4d6e-80ea-2f9b28f876a6","Ultrasonic imaging through aberrating layers using covariance matching","van der Meulen, P.Q. (TU Delft Signal Processing Systems); Coutino, Mario (TU Delft Signal Processing Systems); Bosch, Johannes G. (Erasmus MC); Kruizinga, P. (Erasmus MC); Leus, G.J.T. (TU Delft Signal Processing Systems)","","2023","We consider the scenario of finding the transfer function of an aberrating layer in front of a receiving ultrasound (US) array, assuming a separate non-aberrated transmit source. We propose a method for blindly estimating this transfer function without exact knowledge of the ultrasound sources or acoustic contrast image, and without directly measuring the transfer function using a separate controlled calibration experiment. Instead, the measurement data of many unknown random images is collected, such as from blood flow, and its second-order statistics are exploited. A measurement model is formulated that explicitly defines the layer's transfer function. A covariance domain problem is then defined to eliminate the image variable, and it is solved for the layer's transfer function using manifold-based optimization. The proposed approach and calibration algorithm are evaluated on a range of challenging and realistic simulations using the k-Wave toolbox. Our results show that, given a sufficiently efficient parameterization of the layer's transfer function, and by jointly estimating the transfer function at multiple frequencies, the proposed algorithm is able to obtain an accurate estimate. Subsequent simulated imaging experiments using the obtained transfer function also show increased imaging performance in various aberrating layers, including a skull layer.","Acoustic distortion; Imaging; Scattering; Sensor arrays; Transfer functions; Ultrasonic imaging; Ultrasonic variables measurement","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-04","","","Signal Processing Systems","","",""
"uuid:4952bd91-34e9-4690-a89a-22acce3343dd","http://resolver.tudelft.nl/uuid:4952bd91-34e9-4690-a89a-22acce3343dd","A Low-Complexity Digital Predistortion Technique for Digital I/Q Transmitters","Beikmirza, M.R. (TU Delft Electronics); de Vreede, L.C.N. (TU Delft Electronics); Alavi, S.M. (TU Delft Electronics)","","2023","This paper presents an advanced yet simple digital pre-distortion (DPD) technique for digital I/Q transmitters (DTXs). Exploiting the I/Q orthogonality, an effective 2×1-D DPD procedure is proposed to bypass the exhaustive 2-D search of the entire constellation diagram. Utilizing this technique, a four-way Doherty DTX is linearized. Measurement results demonstrate that for a non-contiguous six-carrier OFDM-QAM signal with aggregated bandwidth of 150MHz, the ACPR is better than -47.3dBc, and EVM is better than -41/-40dB for channel-1/-6, respectively.","constellation; Digital pre-distortion; digital transmitter; DPD; mapping; RF-DAC","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-04","","","Electronics","","",""
"uuid:a525cd82-18a8-4752-84bf-5d10b393cac6","http://resolver.tudelft.nl/uuid:a525cd82-18a8-4752-84bf-5d10b393cac6","Numerical parametric evaluation of ultimate resistance of high-strength bolts","Li, Jie (Xi’an Jiaotong University); Xin, Haohui (Xi’an Jiaotong University); Wang, Zhiqiang (Shaanxi Construction Engineering Holdings Group Co., Ltd.); Veljkovic, M. (TU Delft Steel & Composite Structures); Bingzhen, Zhao (Shaanxi Construction Engineering Holdings Group Co., Ltd.); Zhao, Junjie (Shaanxi Construction Engineering Holdings Group Co., Ltd.); Correia, José A.F.O. (Universidade do Porto)","","2023","High strength bolts are widely used in the engineering field due to their good compressive properties. Accurate assessment of the ultimate capacity performance of high strength bolts under combined loading is essential to ensure the safety of steel structures in the connection zone. Existing studies are not sufficiently clear on the economic and condition-specific limits on the effects of various factors on high-strength bolts under complex stress conditions. In response to these problems, the aim of this paper is to analyse the effects of different factors on the load-bearing performance of high-strength bolts on the basis of numerical simulations. The mesoscale critical plastic strain (MCEPS) method was used on the model fracture and the accuracy of the model evaluation was verified. The effects of grade, type, diameter and bolt hole clearance on the ductile fracture behaviour of high-strength bolts were investigated. It was found that fully threaded bolts and through-hole clearances can mainly affect the load-bearing properties of high-strength bolts and increase the bolt's fracture-deformation capacity. The load-bearing performance of high-strength bolts under the influence of different factors was also compared with the Eurocode design (EC3) predictions.","Bolt diameter; Bolt grade; Bolt hole clearance; Bolt type; High-strength bolts","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-04","","","Steel & Composite Structures","","",""
"uuid:d2b9df5e-d802-41d4-8b8b-084e750b7dc6","http://resolver.tudelft.nl/uuid:d2b9df5e-d802-41d4-8b8b-084e750b7dc6","On quantitative hypocoercivity estimates based on Harris-type theorems","Yoldas, H. (TU Delft Mathematical Physics)","","2023","This Review concerns recent results on the quantitative study of convergence toward the stationary state for spatially inhomogeneous kinetic equations. We focus on analytical results obtained by means of certain probabilistic techniques from the ergodic theory of Markov processes. These techniques are sometimes referred to as Harris-type theorems. They provide constructive proofs for convergence results in the L1 (or total variation) setting for a large class of initial data. The convergence rates can be made explicit (for both geometric and sub-geometric rates) by tracking the constants appearing in the hypotheses. Harris-type theorems are particularly well-adapted for equations exhibiting non-explicit and non-equilibrium steady states since they do not require prior information on the existence of stationary states. This allows for significant improvements of some already-existing results by relaxing assumptions and providing explicit convergence rates. We aim to present Harris-type theorems, providing a guideline on how to apply these techniques to kinetic equations at hand. We discuss recent quantitative results obtained for kinetic equations in gas theory and mathematical biology, giving some perspectives on potential extensions to nonlinear equations.","","en","review","","","","","","","","","","","Mathematical Physics","","",""
"uuid:9e94635c-5c4d-4d69-a06b-860bcf8a763c","http://resolver.tudelft.nl/uuid:9e94635c-5c4d-4d69-a06b-860bcf8a763c","De woningcrisis in Nederland: Achtergronden en oplossingen","Boelhouwer, P.J. (TU Delft Real Estate Management)","","2023","In dit essay gaat Peter Boelhouwer, hoogleraar Housing Systems bij de faculteit Bouwkunde aan de TU Delft, in op de belangrijkste woningmarktproblemen waar we momenteel tegenaan lopen en de precieze oorzaken die hieraan ten grondslag liggen. Daarnaast schetst hij een aantal mogelijke oplossingsrichtingen waarin hij onderscheid maakt tussen algemene systeemhervormingen die geleidelijk kunnen worden doorgevoerd en meer praktische instrumentele oplossingen voor de kortere termijn.","","nl","book","Nieuw Sociaal Contract","","","","","","","","","","Real Estate Management","","",""
"uuid:fcd35e08-e9df-4879-ab9c-7b4b06f55cf1","http://resolver.tudelft.nl/uuid:fcd35e08-e9df-4879-ab9c-7b4b06f55cf1","Quid pro Quo in Streaming Services: Algorithms for Cooperative Recommendations","Tsigkari, D. (TU Delft Networked Systems); Iosifidis, G. (TU Delft Networked Systems); Spyropoulos, Thrasyvoulos (Technical University of Crete)","","2023","Recommendations are employed by Content Providers (CPs) of streaming services in order to boost user engagement and their revenues. Recent works suggest that nudging recommendations towards cached items can reduce operational costs in the caching networks, e.g., Content Delivery Networks (CDNs) or edge cache providers in future wireless networks. However, cache-friendly recommendations could deviate from users' tastes, and potentially affect the CP's revenues. Motivated by real-world business models, this work identifies the misalignment of the financial goals of the CP and the caching network provider, and presents a network-economic framework for recommendations. We propose a cooperation mechanism leveraging the Nash bargaining solution that allows the two entities to jointly design the recommendation policy. We consider different problem instances that vary on the extent these entities are willing to share their cost and revenue models, and propose two cooperative policies, CCR and DCR, that allow them to make decisions in a centralized or distributed way. In both cases, our solution guarantees reaching a fair and Pareto optimal allocation of the cooperation gains. Moreover, we discuss the extension of our framework towards caching decisions. A wealth of numerical experiments in realistic scenarios show the policies lead to significant gains for both entities.","recommendations; caching; on-demand streaming services; network economics","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-29","","","Networked Systems","","",""
"uuid:5b79d000-38ec-4892-a77c-af579776b7a6","http://resolver.tudelft.nl/uuid:5b79d000-38ec-4892-a77c-af579776b7a6","Two-Dimensional Frequency-Dependent Resistance and Inductance Calculation Method for Magnetic Components with Round Conductors","Luo, T. (TU Delft High Voltage Technology Group); Ghaffarian Niasar, M. (TU Delft High Voltage Technology Group); Vaessen, P.T.M. (TU Delft High Voltage Technology Group; KEMA Laboratories)","","2023","Magnetic components are essential parts in many power electronic applications. Their characteristics deeply impact the performances of the applications. This article proposed a 2-D calculation method for frequency-dependent winding losses and leakage inductance of magnetic components of round conductors. The method does not have any limitations on the winding arrangement and considers the impact of magnetic cores and air gaps. The method is compared with several analytical methods and the 2-D finite-element method (FEM). Measurements and 3-D FEM are also used to validate the method. The results show that the proposed method generally has more than ten times shorter computational time than 2-D FEM and comparable accuracy, which can speed up the magnetic component design.","Copper losses; eddy current; impedance; leakage inductance; winding","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-24","","","High Voltage Technology Group","","",""
"uuid:debffac2-69fb-45d3-8304-853f3451aca6","http://resolver.tudelft.nl/uuid:debffac2-69fb-45d3-8304-853f3451aca6","High-Fidelity Cyber and Physical Simulation of Water Distribution Systems. I: Models and Data","Murillo, Andrés (Singapore University of Technology and Design); Taormina, R. (TU Delft Sanitary Engineering); Tippenhauer, Nils Ole (CISPA Helmholtz Center for Information Security); Salaorni, Davide (Politecnico di Milano); van Dijk, Robert (Student TU Delft); Jonker, Luc (Student TU Delft); Vos, Simcha (Student TU Delft); Weyns, Maarten (Student TU Delft); Galelli, Stefano (Singapore University of Technology and Design)","","2023","Numerical simulation models are a fundamental tool for planning and managing smart water networks—an evolution of water distribution systems in which physical assets are monitored and controlled by information and communication technologies. While simulation models allow us to understand the interactions between physical processes and abstract control strategies, they ignore key implementation aspects of distributed control systems, such as the required communication over digital links. As a result, the effects of anomalies and faults in the communication on the process control cannot be investigated with existing tools. In this work, we fill this gap by introducing DHALSIM (Digital HydrAuLic SIMulator), a numerical modelling platform combining EPANET-based process simulation with a network and host emulation environment, offering a high-fidelity representation of the processes occurring in the cyber domain. We illustrate DHALSIM’s key functionalities by implementing it on a benchmark water distribution system, present case studies of simulated network traffic, and demonstrate how anomalies in the behavior of the communication network affect the process data received by the supervisory control and data acquisition (SCADA) server. In a companion paper, we further illustrate how DHALSIM enables research opportunities in the domain of cyber-physical security. The easily customizable and open source DHALSIM provides a “workbench” for studying smart water networks, developing digital twins, and designing a broad spectrum of engineering solutions.","water distribution systems; smart urban water networks; digital twins; EPANET; water network tool for resilience (WNTR)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-22","","","Sanitary Engineering","","",""
"uuid:74e3d5c5-49c2-4c14-8ac1-8b9866649ec7","http://resolver.tudelft.nl/uuid:74e3d5c5-49c2-4c14-8ac1-8b9866649ec7","From a Morning Forest to a Sunset Beach: Understanding Visual Experiences and the Roles of Personal Characteristics for Designing Relaxing Digital Nature","Kim, Chan Mi (University of Twente); Van Rompay, Thomas (University of Twente); Louwers, G.L.M. (TU Delft Design Aesthetics); Yoon, Jungkyoon (Cornell University); Ludden, Geke (University of Twente)","","2023","Nature experiences, especially the visual aspects of nature, have been widely used to facilitate relaxation. Fueled by digital technology, simulated visual nature experiences have gained popularity in creating healing environments that induce relaxation. However, while easily applicable, not all nature-imitating visuals lead to relaxation. How to effectively design relaxing visual nature experiences remains largely unexplored. This paper investigates how different nature qualities facilitate relaxing visual experiences and the roles of two personal characteristics (mood and nature-relatedness) play. Through an online survey and interviews, we assessed 16 nature video clips, representing eight distinctive nature qualities, and compared perceived experiences while considering the influence of personal characteristics. The results indicate four types of visual qualities (engaging, instinctive, ambient, and derivative) underlying nature-induced relaxation, and show that nature relatedness influences the degree to which nature video clips elicit relaxation. We discuss design implications for creating personalized digital nature.","","en","journal article","","","","","","","","","","","Design Aesthetics","","",""
"uuid:220ce796-2041-48e3-9e05-44d2e65b4512","http://resolver.tudelft.nl/uuid:220ce796-2041-48e3-9e05-44d2e65b4512","Development and Validation of a Nonlinear Fabric Model for Subsonic Parachute Aerodynamics","Anton, S.V. (Student TU Delft); Rapisarda, C. (Student TU Delft); Ross, O.J. (Student TU Delft); Mooij, E. (TU Delft Astrodynamics & Space Missions)","","2023","Parachute/flow interaction is dominant in evaluating a decelerator’s performance. Such interaction is characterized by nonlinear deformations and complex flow phenomena. While testing methods are available to investigate parachute performance, these are often costly and nonrepresentative of the desired flight conditions. To address the need for an accessible technique capable of modeling parachutes at the early design stages, this paper proposes a robust fluid/structure interaction methodology for three-dimensional subsonic simulations. This is attained by replacing the linear springs in Provot’s equation with polynomial expressions whose coefficients are fitted to tensile test data. The nonlinear cloth algorithm is coupled with the rhoPorousSimpleFoam solver in the open-source OpenFOAM toolbox, thereby establishing an iterative process that reaches steady-state convergence in at most six iterations. The transient response is obtained from the average distributed load of the steady-state pressure field and an inertial damping contribution. The simulations are performed for two disk-gap-band parachutes and a ringsail parachute over a velocity range of ring sail 5–30 m/s. The results are compared to the experimental data measured in the Open Jet Facility of Delft University of Technology, yielding errors below 5% for the steady-state cases and overestimations in peak loads of 4.4–12.4% for the transient simulations.","","en","journal article","","","","","","","","2024-02-05","","","Astrodynamics & Space Missions","","",""
"uuid:334f5d9a-68de-4ae9-951a-a8fd40c78215","http://resolver.tudelft.nl/uuid:334f5d9a-68de-4ae9-951a-a8fd40c78215","NanoFlowNet: Real-time Dense Optical Flow on a Nano Quadcopter","Bouwmeester, Rik J. (Student TU Delft); Paredes-Vallés, Federico (TU Delft Control & Simulation); de Croon, G.C.H.E. (TU Delft Control & Simulation)","","2023","Nano quadcopters are small, agile, and cheap platforms that are well suited for deployment in narrow, cluttered environments. Due to their limited payload, these vehicles are highly constrained in processing power, rendering conventional vision-based methods for safe and autonomous navigation incompatible. Recent machine learning developments promise high-performance perception at low latency, while dedicated edge computing hardware has the potential to augment the processing capabilities of these limited devices. In this work, we present NanoFlowNet, a lightweight convolutional neural network for real-time dense optical flow estimation on edge computing hardware. We draw inspiration from recent advances in semantic segmentation for the design of this network. Additionally, we guide the learning of optical flow using motion boundary ground truth data, which improves performance with no impact on latency. Validation results on the MPI-Sintel dataset show the high performance of the proposed network given its constrained architecture. Additionally, we successfully demonstrate the capabilities of NanoFlowNet by deploying it on the ultra-low power GAP8 microprocessor and by applying it to vision-based obstacle avoidance on board a Bitcraze Crazyflie, a 34 g nano quadcopter.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","","Control & Simulation","","",""
"uuid:e3579e62-7665-4e61-b8c4-3a208ca88695","http://resolver.tudelft.nl/uuid:e3579e62-7665-4e61-b8c4-3a208ca88695","Methods for Partial Discharge Calibration in Gas-Insulated Substations for HVDC Power Grids and Charge Evaluation Uncertainty","Mier Escurra, C. (TU Delft High Voltage Technology Group); Khamlichi, Abderrahim (Laboratorio Central Oficial de Electrotencia, Madrid); Dalstein, Matthieu (Super Grid Institute, Villeurbanne); Vidal, Jose Ramon (Laboratorio Central Oficial de Electrotencia, Madrid); Garnacho, Fernando (Laboratorio Central Oficial de Electrotencia, Madrid); Mor, Armando Rodrigo (Universitat Politécnica de Valencia); Vu-Cong, Thanh (Super Grid Institute, Villeurbanne)","","2023","Driven by the voltage increase in high-voltage direct current (HVDC) gas-insulated substations (GISs), novel methods are needed for partial discharge (PD) detection and monitoring. This article shows a PD calibration method for very-high-frequency (VHF) magnetic and electric sensors in GIS. The calibration method uncertainty is tested in three laboratories using a low-voltage (LV) test bench and a high-voltage (HV) full-scale GIS. In the LV test, the calibration method's linearity, signal-to-noise ratio (SNR), and pulsewidth were compared against a reference charge, resulting in an error of around ±10%. The HV test consisted of different artificial defects introduced in a full-scale GIS, resulting in errors of around ±30%. The uncertainty is attributed mainly to random noise, which is critical in the charge estimation method. The electric and magnetic sensor combination showed better results, especially in the full-scale GIS, where reflections play an important role. This research has been performed in the framework of the project Future Energy 19ENG02 of EURAMET, resulting in a calibration method with the potential to measure PD pulses and discriminate impulse interferences, giving an advantage over conventional and ultrahigh-frequency (UHF) methods.","calibration; GIS; partial discharges; uncertainty","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","","High Voltage Technology Group","","",""
"uuid:415500ce-2915-4162-9a37-7ea124756701","http://resolver.tudelft.nl/uuid:415500ce-2915-4162-9a37-7ea124756701","Autonomous Control for Orographic Soaring of Fixed-Wing UAVs","Suys, T. (TU Delft Control & Operations); Hwang, S. (TU Delft Control & Simulation); de Croon, G.C.H.E. (TU Delft Control & Simulation); Remes, B.D.W. (TU Delft Control & Simulation)","","2023","We present a novel controller for fixed-wing UAVs that enables autonomous soaring in an orographic wind field, extending flight endurance. Our method identifies soaring regions and addresses position control challenges by introducing a target gradient line (TGL) on which the UAV achieves an equilibrium soaring position, where sink rate and updraft are balanced. Experimental testing validates the controller's effectiveness in maintaining autonomous soaring flight without using any thrust in a non-static wind field. We also demonstrate a single degree of control freedom in a soaring position through manipulation of the TGL.","au-tonomous control; orographic soaring; UAV; wind hovering","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","Control & Operations","Control & Simulation","","",""
"uuid:bbb70857-5bd0-4e18-9a5e-1ab498afaefd","http://resolver.tudelft.nl/uuid:bbb70857-5bd0-4e18-9a5e-1ab498afaefd","PA Output Power and Efficiency Enhancement Across the 2:1 VSWR Circle using Static Active Load Adjustment","Singh, G.D. (TU Delft Electronics); Nemati, Hossein Mashad (Huawei Technologies); Alavi, S.M. (TU Delft Electronics); de Vreede, L.C.N. (TU Delft Electronics)","","2023","This paper proposes a power amplifier (PA) correction technique to recover from load mismatch. It utilizes a main PA, two auxiliary PAs, and a coupler. By adjusting the input drive levels of the PAs it can recover the output power and to a great extent the efficiency of the main PA even when exposed to 2:1 VSWR mismatch conditions. When connected to 50O loading, only the main PA is active, for impedances below or above 50 O, besides the main amplifier, one of the auxiliary PAs is also activated. The power generated by the auxiliary PA adds in phase to the output power of the main PA, as such allowing the output power to be constant at the expense of a minor efficiency penalty.","Coupler; Gallium Nitrite (GaN); HEMT; Power Amplifier; VSWR","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","","Electronics","","",""
"uuid:75c3f28d-c3b0-4b29-b1a5-5dee7e293c6c","http://resolver.tudelft.nl/uuid:75c3f28d-c3b0-4b29-b1a5-5dee7e293c6c","AvoidBench: A high-fidelity vision-based obstacle avoidance benchmarking suite for multi-rotors","Yu, H.Y. (TU Delft Control & Simulation); de Croon, G.C.H.E. (TU Delft Control & Simulation); de Wagter, C. (TU Delft Control & Simulation)","","2023","Obstacle avoidance is an essential topic in the field of autonomous drone research. When choosing an avoidance algorithm, many different options are available, each with their advantages and disadvantages. As there is currently no consensus on testing methods, it is quite challenging to compare the performance between algorithms. In this paper, we propose AvoidBench, a benchmarking suite which can evaluate the performance of vision-based obstacle avoidance algorithms by subjecting them to a series of tasks. Thanks to the high fidelity of multi-rotors dynamics from RotorS and virtual scenes of Unity3D, AvoidBench can realize realistic simulated flight experiments. Compared to current drone simulators, we propose and implement both performance and environment metrics to reveal the suitability of obstacle avoidance algorithms for environments of different complexity. To illustrate AvoidBench's usage, we compare three algorithms: Ego-planner, MBPlanner, and Agile-autonomy. The trends observed are validated with real-world obstacle avoidance experiments. Code is available at: https://github.com/tudelft/AvoidBench","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","","Control & Simulation","","",""
"uuid:3051e4a5-5684-4d69-a3a5-bf1109298635","http://resolver.tudelft.nl/uuid:3051e4a5-5684-4d69-a3a5-bf1109298635","Loss-of-Control Prediction of a Quadcopter Using Recurrent Neural Networks","Altena, A. (TU Delft Aircraft Noise and Climate Effects); van Beers, J.J. (TU Delft Control & Simulation); de Visser, C.C. (TU Delft Control & Simulation)","","2023","Loss of control (LOC) is a prevalent cause of drone crashes. Onboard prevention systems should be designed requiring low computing power, for which data-driven techniques provide a promising solution. This study proposes the use of recurrent neural networks (RNNs) for LOC prediction. Four architectures were trained in order to identify which RNN configuration is most suitable and if this model can predict LOC for changing aerodynamic characteristics, wind conditions, quadcopter types, and LOC events. One-hundred and seventy-two real-world LOC events were conducted using a 53 g Tiny Whoop, a 73 g URUAV UZ85, and a 265 g GEPRC CineGO quadcopter. For these flights, LOC was initiated by demanding an excessive yaw rate (2000 deg/s), which provokes an unrecoverable upset and subsequent crash. All RNNs were trained using only onboard sensor measurements. It was found that the commanded rotor values provided the clearest early warning signals for LOC because these values showed saturation before LOC. Moreover, all four architectures could correctly and reliably predict the impending LOC event 2 s before it actually occurred. Furthermore, to investigate generality of the methodology, the predictors were successfully applied to flight data in which the quadcopter mass, blade diameter, and blade count were varied.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","","Aircraft Noise and Climate Effects","","",""
"uuid:d8d0069d-6847-43bc-955e-8521b50d26a0","http://resolver.tudelft.nl/uuid:d8d0069d-6847-43bc-955e-8521b50d26a0","Embedding a Long Short-Term Memory Network in a Constraint Programming Framework for Tomato Greenhouse Optimisation","van Bokkem, Dirk (Delphy B.V.); van den Hemel, Max (Delphy B.V.); Dumančić, S. (TU Delft Algorithmics); Yorke-Smith, N. (TU Delft Algorithmics)","Williams, Brian (editor); Chen, Yiling (editor); Neville, Jennifer (editor)","2023","Increasing global food demand, accompanied by the limited number of expert growers, brings the need for more sustainable and efficient horticulture. The controlled environment of greenhouses enable data collection and precise control. For optimally controlling the greenhouse climate, a grower not only looks at crop production, but rather aims at maximising the profit. However this is a complex, long term optimisation task. In this paper, Constraint Programming (CP) is applied to task of optimal greenhouse economic control, by leveraging a learned greenhouse climate model through a CP embedding. In collaboration with an industrial partner, we demonstrate how to model the greenhouse climate with an LSTM model, embed this LSTM into a CP optimisation framework, and optimise the expected profit of the grower. This data-to-decision pipeline is being integrated into a decision support system for multiple greenhouses in the Netherlands.","","en","conference paper","American Association for Artificial Intelligence (AAAI)","","","","","","","2024-02-05","","","Algorithmics","","",""
"uuid:5cf905c3-af99-493c-9c84-dd66f244e88f","http://resolver.tudelft.nl/uuid:5cf905c3-af99-493c-9c84-dd66f244e88f","Modeling and Inference of Sparse Neural Dynamic Functional Connectivity Networks Underlying Functional Ultrasound Data","Wijnands, R. (TU Delft Signal Processing Systems); Dauwels, J.H.G. (TU Delft Signal Processing Systems); Serra, Ines (Erasmus MC); Kruizinga, P. (Erasmus MC); Badura, Aleksandra (Erasmus MC); Hunyadi, Borbala (TU Delft Signal Processing Systems)","","2023","Functional ultrasound (fUS) is a novel neuroimaging technique that measures brain hemodynamics through a time series of Doppler images. The measured spatiotemporal hemodynamic changes reflect changes in neural activity through the neurovascular coupling (NVC). Often, such image time series is used to analyze dynamic functional connectivity (dFC) by directly computing a connectivity metric between the measured hemodynamic signals, ignoring the functional connectomics of underlying neural populations. This work proposes a novel fUS signal model, consisting of a hidden Markov model (HMM) cascaded with a convolutive model, that captures how fUS signals arise from a generative perspective while incorporating high-level biological functioning of neural populations. Consequently, the developed model enables inference of functional connectivity networks, being co-activation patterns (CAPs) of neural populations. Our results show that our methods can identify biologically plausible networks of functional connectivity. Furthermore, this method captures a difference in brain dynamics between wild-type and ${Shank2}^{-/-}$ mouse mutants.","co-activation patterns; deconvolution; Dynamic functional connectivity; functional ultrasound; hidden Markov models","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","","Signal Processing Systems","","",""
"uuid:aa798a29-690f-4388-b692-bc17fb270780","http://resolver.tudelft.nl/uuid:aa798a29-690f-4388-b692-bc17fb270780","Combining Runtime Monitoring and Machine Learning with Human Feedback","Lukina, A. (TU Delft Algorithmics)","Williams, Brian (editor); Chen, Yiling (editor); Neville, Jennifer (editor)","2023","State-of-the-art machine-learned controllers for autonomous systems demonstrate unbeatable performance in scenarios known from training. However, in evolving environments-changing weather or unexpected anomalies-, safety and interpretability remain the greatest challenges for autonomous systems to be reliable and are the urgent scientific challenges. Existing machine-learning approaches focus on recovering lost performance but leave the system open to potential safety violations. Formal methods address this problem by rigorously analysing a smaller representation of the system but they rarely prioritize performance of the controller. We propose to combine insights from formal verification and runtime monitoring with interpretable machine-learning design for guaranteeing reliability of autonomous systems.","","en","conference paper","American Association for Artificial Intelligence (AAAI)","","","","","","","2024-02-05","","","Algorithmics","","",""
"uuid:1466a92f-6321-47fe-af57-879916a8a080","http://resolver.tudelft.nl/uuid:1466a92f-6321-47fe-af57-879916a8a080","Grammar-Based Evolutionary Fuzzing for JSON-RPC APIs","Veldkamp, L.S. (Student TU Delft); Olsthoorn, Mitchell (TU Delft Software Engineering); Panichella, A. (TU Delft Software Engineering)","","2023","Web Application Programming Interfaces (APIs) allow systems to be addressed programmatically and form the backbone of the internet. RESTful and RPC APIs are among the most common API architectures used. In the last decades, researchers have proposed various techniques for automated testing of RESTful APIs, however, to the best of the authors' knowledge there exists no work on testing JSON-RPC (one of the two data formats supported by RPC) APIs. To address this limitation, we propose a grammar-based evolutionary fuzzing approach for testing JSON-RPC APIs that uses a novel black-box heuristic. Specifically, we use a diversity-based fitness function based on hierarchical clustering to quantity the differences in API method responses. Our hypothesis is that responses that are unlike previously seen ones are an indication that new uncovered code paths are reached. We evaluate our approach on the XRP ledger, a large-scale industrial blockchain system that uses JSON-RPC APIs. Our results show that the proposed approach performs significantly better than the baseline (grammar-based fuzzer) and covers an additional 240 branches.","Search-based Software Engineering; Fuzzing; Test Case Generation; API Testing; Hierarchical Clustering","en","conference paper","IEEE / ACM","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","","Software Engineering","","",""
"uuid:44972319-b624-470a-8ea6-fa758ac6cec3","http://resolver.tudelft.nl/uuid:44972319-b624-470a-8ea6-fa758ac6cec3","The (ab)use of Open Source Code to Train Large Language Models","Al-Kaswan, A. (TU Delft Software Engineering); Izadi, M. (TU Delft Software Engineering)","","2023","In recent years, Large Language Models (LLMs) have gained significant popularity due to their ability to generate human-like text and their potential applications in various fields, such as Software Engineering. LLMs for Code are commonly trained on large unsanitized corpora of source code scraped from the Internet. The content of these datasets is memorized and emitted by the models, often in a verbatim manner. In this work, we will discuss the security, privacy, and licensing implications of memorization. We argue why the use of copyleft code to train LLMs is a legal and ethical dilemma. Finally, we provide four actionable recommendations to address this issue.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","","Software Engineering","","",""
"uuid:9f002d6e-cd23-4f43-ab46-2b2c14866d73","http://resolver.tudelft.nl/uuid:9f002d6e-cd23-4f43-ab46-2b2c14866d73","Heterogeneous Integration of Diamond Heat Spreaders for Power Electronics Application","Martin, H.A. (Chip Integration Technology Center (CITC)); Reintjes, Marcia (Mintres B.V.); Reijs, Dave (Chip Integration Technology Center (CITC)); Dorrestein, Sander (Chip Integration Technology Center (CITC)); Kengen, Martien (Chip Integration Technology Center (CITC)); Libon, Sebastien (Chip Integration Technology Center (CITC)); Smits, Edsger (Chip Integration Technology Center (CITC)); Tang, Xiao (Mintres B.V.); Koelink, Marco (Chip Integration Technology Center (CITC)); Poelma, René H. (TU Delft Electronic Components, Technology and Materials); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","Integrated Circuits and Electronic Modules experience concentrated thermal hot spots, which require advanced thermal solutions for effective distribution and dissipation of heat. The superior thermal properties of diamonds are long known, and it is an ideal material for heat-spreading applications. However, growing diamond films to the electronic substrate require complex processing at high temperatures. This research investigates a heterogeneous method of integrating diamond heat spreaders during the back-end packaging process. The semiconductor substrate and the heat spreader thicknesses were optimized based on simulations to realize a thermally enhanced Power Quad-Flat No-Lead package. The performance of the thermally enhanced PQFN was assessed by monitoring the temperature distribution across the active device surface and compared to a standard PQFN (without a heat spreader). Firstly, the thermally enhanced PQFN indicated a 9.6% reduction in junction temperature for an input power of 6.6W with a reduced thermal gradient on the active device surface. Furthermore, the diamond heat spreader's efficiency was observed to increase with increasing power input. Besides, the reliability of the thermally enhanced PQFN was tested by thermal cycling from -55°C to 150°C, which resulted in less than 2% thermal degradation over two-hundred cycles. Such choreographed thermal solutions are proven to enhance the packaged device's performance, and the superior thermal properties of the diamond are beneficial to suffice the increasing demand for high power.","Advanced packaging; Advanced thermal solutions; CVD Diamonds; Thermal Test Chips","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","","Electronic Components, Technology and Materials","","",""
"uuid:d115c5ba-f671-4713-9513-a0c7c5abf7f1","http://resolver.tudelft.nl/uuid:d115c5ba-f671-4713-9513-a0c7c5abf7f1","Retrain AI Systems Responsibly! Use Sustainable Concept Drift Adaptation Techniques","Poenaru-Olaru, L. (TU Delft Software Engineering); Sallou, J. (TU Delft Software Engineering); Cruz, Luis (TU Delft Software Engineering); Rellermeyer, Jan S. (TU Delft Data-Intensive Systems; Leibniz Universität); van Deursen, A. (TU Delft Software Technology)","","2023","Deployed machine learning systems often suffer from accuracy degradation over time generated by constant data shifts, also known as concept drift. Therefore, these systems require regular maintenance, in which the machine learning model needs to be adapted to concept drift. The literature presents plenty of model adaptation techniques. The most common technique is periodically executing the whole training pipeline with all the data gathered until a particular point in time, yielding a massive energy footprint. In this paper, we propose a research path that uses concept drift detection and adaptation to enable sustainable AI systems.","concept drift adaptation; sustainable model maintenance; sustainable model retraining","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","Software Technology","Software Engineering","","",""
"uuid:e08da0b8-609f-47f7-8d9c-4d1440a99664","http://resolver.tudelft.nl/uuid:e08da0b8-609f-47f7-8d9c-4d1440a99664","Distribution Network Reconfiguration Considering the Impacts of Local Renewable Generation and External Power Grid","Li, H. (Chongqing University of Technology); Lekić, A. (TU Delft Intelligent Electrical Power Grids); Li, Shan (Chongqing University of Technology); Jiang, Dongrong (Chongqing University of Technology); Guo, Qiang (Chongqing University of Technology); Zhou, Lin (Chongqing University)","","2023","The distribution network (DN) reconfiguration is a well-known optimal power flow (OPF) problem. However, with the transition of DN from 'passive' to 'active', new technical challenges arise in DN reconfiguration. This article addresses two key issues in this regard. Firstly, the integration of local renewable generation (LRG) introduces uncertainty into the system-wide power flow of the DN. Secondly, the coupling between DN and the external power grid (EPG) affects the determination of DN root voltage. Consequently, a novel DN reconfiguration approach is proposed in this article. To begin with, an explicit mixed-integer convex OPF model is constructed that incorporates both the EPG and DN sides. Notably, the OPF model embeds the function of local droop control that is provided by LRG. Subsequently, the original OPF model is decomposed, and the distributed optimization methods based on the augmented Lagrangian relaxation are employed. The article comprehensively discusses parallel processing and asynchronous implementation as parts of the distributed optimization procedure. Furthermore, to address the uncertainty related to LRG integration, the extreme scenario method is used to provide a robust decision regarding DN reconfiguration. The application of the extreme scenario method in the distributed OPF model concerning DN reconfiguration is successively developed. Finally, numerical results are presented to demonstrate the acceptable performance of the distributed optimization methods, in terms of optimality and convergence. Also, these are validated that the proposed DN reconfiguration approach exhibits robustness to LRG integration, the system-wide voltage profile is improved, and the active power loss is effectively reduced using the proposed DN reconfiguration approach.","Augmented lagrangian relaxation; distribution network reconfiguration; external power grid (EPG); extreme scenario method; Load flow; local renewable energy (LRG); Mathematical models; Metaheuristics; Optimization; Reactive power; Uncertainty; Voltage","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","","Intelligent Electrical Power Grids","","",""
"uuid:38aa5658-5ac0-4af1-9148-6059e7da86b0","http://resolver.tudelft.nl/uuid:38aa5658-5ac0-4af1-9148-6059e7da86b0","Practical Verifiable & Privacy-Preserving Double Auctions","Memar Zahedani, Armin (Student TU Delft); Vos, J.V. (TU Delft Cyber Security); Erkin, Z. (TU Delft Cyber Security)","","2023","Double auctions are procedures to trade commodities such as electricity or parts of the wireless spectrum at optimal prices. Buyers and sellers inform the auctioneer what quantity they want to buy or sell at specific prices. The auctioneer aggregates these offers into demand and supply curves and finds the intersection representing the optimal price. In this way, commodities exchange owners in an economically-efficient manner. Ideally, the auctioneer is a trusted third party that does not abuse the information they gain. However, the offers reveal sensitive information about the traders, which the auctioneer may use for economic gain as insider information. These concerns are not theoretical; investigations against auctioneers in electricity and advertisement auctions for manipulating auctions are ongoing. These concerns call for solutions that conduct double auctions in a privacy-preserving and verifiable way. However, current solutions are impractical: To the best of our knowledge, the only solutions satisfying these properties require full interaction of all participants. In this work, we design a more practical solution. We propose the first privacy-preserving and verifiable double auction scheme that does not require traders to interact actively, tailored to electricity trading on (inter)national exchanges. Our solution relies on homomorphic encryption, commitments, and zero-knowledge proofs. In a simulated auction with 256 traders, we observe that traders take up to 10 seconds to generate their order, the auctioneer takes 10 seconds to verify an order, and the auction result is computed and verified in 30 seconds. We extrapolate these results to larger auctions to show the practical potential.","Homomorphic Encryption; Periodic Double Auctions; Privacy; Zero-knowledge Proofs","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","","Cyber Security","","",""
"uuid:bc3a57cd-2c0c-45ed-8a39-294a20e4dc96","http://resolver.tudelft.nl/uuid:bc3a57cd-2c0c-45ed-8a39-294a20e4dc96","A Glimpse of the History of Analog ICs: A Tale of Amplifiers, Data Converters, and Sensor Interfaces","Chae, Youngcheol (Yonsei University); Mora Lopez, Carolina (IMEC); Makinwa, K.A.A. (TU Delft Microelectronics); Ortmanns, Maurits (Sci-worx); Sansen, Willy (Katholieke Universiteit Leuven)","","2023","Probably the most distinct divide in electronic circuits is that between digital and linear (analog) circuits. Using vacuum tubes; later, transistors; and then ICs, circuits based on switching (binary and digital signals) and amplification (analog signals) have always been at the heart of electronic systems. Even though electronics are making our world more digital, the real world remains stubbornly analog. Circuits for interfacing sensors and driving actuators, amplifying (weak) analog signals, manipulating these signals through analog signal processing, and, finally, converting them into the digital domain and vice versa were, are, and will remain fundamental research and development fields in circuit design. Due to the wide scope of the field, ranging from RF circuits, power management, reference generation, filter design, and oscillators to comparators and other nonlinear circuits, just to name a few, it is clear that a short review article cannot possibly mention all topics, let alone cover them all. So, choices were made. We begin this article with amplifiers, which are one of the critical analog building blocks that often determine system performance. We briefly review the early days of IC-based amplifiers and some outstanding circuit innovations for amplifier design. Thereafter, we highlight the history and state of the art of ADCs, their architectures, and efficiency improvements over four decades. Finally, we review sensor interfaces, first with a general focus on their history and the state of the art of various sensor modalities and, second, with a special focus on biomedical interface circuits for biopotential recording in the context of neural amplifiers. With this variety of topics, we intend to highlight the importance of the transistor and analog ICs to the world as we know it today.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-05","","Microelectronics","","","",""
"uuid:3c37e35a-6687-4884-b1fa-7260dbad0c1a","http://resolver.tudelft.nl/uuid:3c37e35a-6687-4884-b1fa-7260dbad0c1a","Design of High-frequency Fast-rise Pulse Modulators for Lifetime Testing of Dielectrics","Mathew, P. (Student TU Delft); Ghaffarian Niasar, M. (TU Delft High Voltage Technology Group); Vaessen, P.T.M. (TU Delft High Voltage Technology Group; K.E.M.A. Laboratories)","","2023","Penetration of power electronics in the grid has produced a new species of stresses, characterized by fast-rising pulsed waveforms with microsecond rise times repeating at several tens of kilohertz. Analyzing their impact on existing and future insulation systems requires pulse modulators, most often with pulse transformers (PTs), to perform aging and breakdown tests. PT design for klystron loads has been studied extensively albeit for either low repetition rates or short pulse durations. However, capacitive dielectric loads impose additional complex constraints on optimizing leakage ( ${L}_{\sigma }$ ) and parasitic capacitance ( ${C}_{d}$ ) in order to minimize rise time ( ${T}_{r}$ ) and overshoot ( $V_{\text {pk}}$ ). Ensuring consistent output pulse shape is crucial since breakdown is sensitive to voltage magnitude. This article discusses these challenges through the design procedure of a modulator prototype capable of producing bipolar pulses up to 14 kV with rise times $ < 2 ~\mu \text{s}$ at frequencies between 10 and 50 kHz. Major challenges, especially core selection, winding design, PT parasitic optimization, breakdown detection, and failure modes, are highlighted. A new PQR equation is derived to model modulators with capacitive loads. Finally, the output pulses are applied across oil-impregnated paper samples to generate statistics on insulation breakdown strength and lifetime at 10 and 50 kHz. Results illustrate a reduction in lifetime and breakdown strength at 50 kHz. This is possibly due to the nonhomogenous distribution of dielectric losses within the oil-paper leading to local hotspots and eventual thermal breakdown. Furthermore, a critical field ${F}_{c} = {21}$ kV/mm is found below which the slope of the lifeline decreases dramatically, thereby indicating a shift in the aging mechanism. Potential reasons for this phenomenon are also discussed.","Aging; dielectric ageing; Dielectrics; distributed capacitance; high power; leakage flux; Mathematical models; mixed-frequency; Modulation; oil-paper; Power transformer insulation; pulse modulator; pulse transformer; Pulse transformers; solid-state transformer; Topology","en","journal article","","","","","","","","","","","High Voltage Technology Group","","",""
"uuid:b4c9e728-4e6a-4f10-abab-5593d9210154","http://resolver.tudelft.nl/uuid:b4c9e728-4e6a-4f10-abab-5593d9210154","Cognitive and embodied mapping of data: an examination of children’s spatial thinking in data physicalization","Zhu, C. (TU Delft Science Education and Communication); Klapwijk, R.M. (TU Delft Science Education and Communication); Silva-Ordaz, Miroslava (International School Delft); Spandaw, J.G. (TU Delft Analysis); de Vries, M.J. (TU Delft Science Education and Communication)","","2023","Understanding and effectively using visual representations is important to learning science, technology, engineering, and mathematics (STEM). Various techniques to visualize information, such as two- and three-dimensional graphs, diagrams, and models, not only expand our capacity to work with different types of information but also actively recruit our visual–spatial thinking. Data physicalization is emerging as a beginner-friendly approach to construct information visualization. Mapping intangible data onto tangible artifacts that possess visual, spatial, and physical properties demands an interplay of spatial thinking and hands-on manipulation. Much existing literature has explored using formatted infographics to aid learning and spatial thinking development. However, there is limited insight into how children may leverage their spatial thinking to create information visualizations, particularly tangible ones. This case study documented the data physicalization activities organized in two design classrooms of an international school in Netherlands, with 37 children aged 11–12. Seven themes relevant to spatial thinking were identified from multimodal evidence gathered from the data physicalization artifacts, classroom videos and recordings of children’s making process, and semi-structured interviews with children. Our findings suggested that these children generated various ideas to create visual–spatial forms for data with the materials at hand, such as mapping quantities to tangible materials of different sizes, using spatial ordinal arrangement, and unitizing materials to set visual parameters. Meanwhile, they evaluated and adjusted the visual–spatial properties of these materials according to the numerical data they had, crafting feasibility, and others’ spatial perspectives. What was particularly interesting in our findings was children’s iteration on their visual–spatial understandings of the intangible numerical values and the tangible materials throughout the embodied making processes. Overall, this study illustrated the different types of spatial thinking children applied to create their data physicalizations and offered insights into how embodied experiences accompanying the open-ended visualization challenge allowed children to explore and construct spatial understandings.","spatial thinking; data physicalization; embodied cognition; information visualization; primary education; design; making","en","journal article","","","","","","","","","","","Science Education and Communication","","",""
"uuid:fb249e73-6d68-4ed9-a7f0-dd77f59212b4","http://resolver.tudelft.nl/uuid:fb249e73-6d68-4ed9-a7f0-dd77f59212b4","STACC: Code Comment Classification using SentenceTransformers","Al-Kaswan, A. (TU Delft Software Engineering); Izadi, M. (TU Delft Software Engineering); van Deursen, A. (TU Delft Software Technology)","","2023","Code comments are a key resource for information about software artefacts. Depending on the use case, only some types of comments are useful. Thus, automatic approaches to clas-sify these comments have been proposed. In this work, we address this need by proposing, STACC, a set of SentenceTransformers- based binary classifiers. These lightweight classifiers are trained and tested on the NLBSE Code Comment Classification tool competition dataset, and surpass the baseline by a significant margin, achieving an average Fl score of 0.74 against the baseline of 0.31, which is an improvement of 139%. A replication package, as well as the models themselves, are publicly available.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-29","","Software Technology","Software Engineering","","",""
"uuid:6e0cbb50-1ae7-4910-ba02-d69f03494244","http://resolver.tudelft.nl/uuid:6e0cbb50-1ae7-4910-ba02-d69f03494244","Stakeholders voor de ontwikkeling van geïntegreerde woningrenovatiediensten voor VvE’s: Verklaringen gezamenlijke ontwikkeling van bedrijfsmodellen - Nederland","Mlecnik, E. (TU Delft Real Estate Management); Elgendy, R. (TU Delft Real Estate Management)","","2023","De VvE-versnellingsagenda wil de verduurzaming van Verenigingen van Eigenaars (VvE’s) stimuleren door besluitvorming aan te passen, het renovatietraject te vereenvoudigen en financiële ondersteuning te bieden aan VvE’s. Het LIFE-project CondoReno omarmt deze visie en streeft bovendien naar meer renovaties en betere energieprestaties. CondoReno ondersteunt verschillende stakeholders voor de ontwikkeling van geïntegreerde woningrenovatiediensten (GWRD) voor VvE’s.
Het realiseren van een betere samenwerking tussen de publieke sector en de vraag- en aanbodzijde vormt een belangrijke sleutel voor dit project. Met het voorliggend rapport ondersteunt CondoReno alvast de identificatie en classificatie van lokale en nationale stakeholders die een belang kunnen hebben bij de ontwikkeling van GWRD voor VvE’s in Nederland. Deze stakeholders hebben ook een belang bij het gebruik van toekomstige deliverables en tools, zoals archetypes van mogelijke bedrijfsmodellen, instrumenten voor dienstverleners, beleidsaanbevelingen en eisen voor fondsen, de realisatie van demonstratieprojecten en matchmaking tussen vraag en aanbod.
De CondoReno-partners hebben het stakeholdersveld verkend d.m.v. bilaterale gesprekken en workshops. GWRD zijn momenteel vooral vertrouwd met het bedienen van individuele bewoners en kleine VvE’s. Voor de doorontwikkeling van GWRD voor VvE’s is een gevorderde samenwerking nodig tussen diverse stakeholders. Gemeenten spelen een belangrijke rol voor het faciliteren of aanbieden van aangepaste geïntegreerde diensten. Er is ook nood aan sociale deskundigen en procesbegeleiders die vertrouwd zijn met de VvE-besluitvormingsprocessen. Een woonlasten-optimale propositie vergt ook samenwerking met juridische en financiële adviseurs. Bovendien vergt het bereiken van gebouwprestatiegaranties ook de samenwerking met gespecialiseerde energiedeskundigen, master planners en kwaliteitsbewakers.
Dit rapport geeft tevens een overzicht van de stakeholders die reeds hebben verklaard te willen werken aan de ontwikkeling van GWRD voor VvE’s in Nederland. Deze stakeholders werden gevraagd om deel te nemen aan een nationale CondoReno-adviesraad die adviseert over de nodige ontwikkeling van competenties voor - en samenwerking tussen – stakeholders. Gezamenlijk streven deze stakeholders naar het creëren van interesse, middelen en ontzorging voor VvE’s zodat alle VvE’s gebruik kunnen maken van geïntegreerde woningrenovatiediensten.","Stakeholder collaboration; Condominium housing; Energy renovation; Integrated home renovation services","nl","report","CondoReno","","","","","","","","","","Real Estate Management","","",""
"uuid:3fc5dd25-14df-4f58-b6f2-746dfb87f38a","http://resolver.tudelft.nl/uuid:3fc5dd25-14df-4f58-b6f2-746dfb87f38a","The impact of the Self-Portrait's design elements on child engagement in care, children's emotions and cognition, and their personal development","Verkijk, C.M. (Erasmus Universiteit Rotterdam); Tabeau, K.E. (Erasmus Universiteit Rotterdam); Gielen, M.A. (TU Delft Design Conceptualization and Communication); van Veelen, Marie Lise C. (Erasmus MC)","","2023","","","en","abstract","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-01","","","Design Conceptualization and Communication","","",""
"uuid:4986cb3a-6962-4256-9ced-a89359563026","http://resolver.tudelft.nl/uuid:4986cb3a-6962-4256-9ced-a89359563026","Rapareren als aandrijver van de circulaire economie","Bakker, C.A. (TU Delft Design for Sustainability)","Balkenende, Ruud (editor); Bakker, Conny (editor); Blondel, Elise (editor); Henneberry, Yumiko (editor)","2023","","product repair; Product Design; Circular economy; business model; European Legislation","nl","book chapter","Het Leiden-Delft-Erasmus Centre for Sustainability","","","","","","","","","","Design for Sustainability","","",""
"uuid:e424d6d4-d153-41df-969b-b51bad168bcf","http://resolver.tudelft.nl/uuid:e424d6d4-d153-41df-969b-b51bad168bcf","Demonteerbaarheid: essentieel om te kunnen repareren","Flipsen, Bas (TU Delft Design for Sustainability)","Balkenende, Ruud (editor); Bakker, Conny (editor); Blondel, Elise (editor); Henneberry, Yumiko (editor)","2023","","product repair; Product Design; Circular economy; business model; European Legislation","nl","book chapter","Het Leiden-Delft-Erasmus Centre for Sustainability","","","","","","","","","","Design for Sustainability","","",""
"uuid:b27e364d-3a11-4d2b-9a54-b25d83ed20a5","http://resolver.tudelft.nl/uuid:b27e364d-3a11-4d2b-9a54-b25d83ed20a5","Hoe ontwerp de consument kan aansporen tot repareren","Mugge, R. (TU Delft Marketing and Consumer Research)","Balkenende, Ruud (editor); Bakker, Conny (editor); Blondel, Elise (editor); Henneberry, Yumiko (editor)","2023","","product repair; Product Design; Circular economy; business model; European Legislation","nl","book chapter","Het Leiden-Delft-Erasmus Centre for Sustainability","","","","","","","","","","Marketing and Consumer Research","","",""
"uuid:17ac29ae-7413-46b2-a695-35bcee8ba547","http://resolver.tudelft.nl/uuid:17ac29ae-7413-46b2-a695-35bcee8ba547","Repareerbaarheid voorbij: Ontwerpstrategieen die de levensduur van producten verlengen","Balkenende, R. (TU Delft Design for Sustainability)","Balkenende, Ruud (editor); Bakker, Conny (editor); Blondel, Elise (editor); Henneberry, Yumiko (editor)","2023","","product repair; Product Design; Circular economy; business model; European Legislation","nl","book chapter","Het Leiden-Delft-Erasmus Centre for Sustainability","","","","","","","","","","Design for Sustainability","","",""
"uuid:5c09430d-79a4-460b-a176-7931ba278188","http://resolver.tudelft.nl/uuid:5c09430d-79a4-460b-a176-7931ba278188","Comparative analysis of the dynamic amplifications due to inhomogeneities at railway transition zones","Jain, A. (TU Delft Dynamics of Structures); van Dalen, K.N. (TU Delft Dynamics of Structures); Metrikine, A. (TU Delft Offshore Engineering; TU Delft Engineering Structures); Faragau, Andrei B. (TU Delft Dynamics of Structures); Steenbergen, M.J.M.M. (TU Delft Mechanics and Physics of Structures)","Pombo, J. (editor)","2023","ransition zones in railway tracks experience strong amplification of stress and strain fields due to the passage of train over inhomogeneity. The inhomogeneity in these zones can be attributed to changes in mechanical properties of material along the longitudinal direction of the track, and to displacement/traction discontinuities at interfaces leading to an amplified response in railway transition zones (TZ) with respect to the open tracks. In this paper, different kinds of inhomogeneities are considered in isolation and in combination to study the effects on railway track components in transition zone. The first type of inhomogeneity considered is non-uniformity of materials at various levels of the track along the longitudinal direction. The second type of inhomogeneity that will be considered arises from displacement and traction discontinuities at the interface of soil and structure and at the interface of sleepers and ballast (hanging sleepers). The results provide necessary insight for the design of effective mitigation measures to prevent the amplified response in railway TZ.","railway transition zones; finite element analysis; track components; stress amplification","en","conference paper","Civil-Comp Press","","","","","","","","","Engineering Structures","Dynamics of Structures","","",""
"uuid:398148be-b303-4da5-aa5d-33a3dd9d5663","http://resolver.tudelft.nl/uuid:398148be-b303-4da5-aa5d-33a3dd9d5663","Railway transition zones: evaluation of existing transition structures and a newly proposed transition structure","Jain, A. (TU Delft Dynamics of Structures); Metrikine, A. (TU Delft Engineering Structures); Steenbergen, M.J.M.M. (TU Delft Mechanics and Physics of Structures); van Dalen, K.N. (TU Delft Dynamics of Structures)","","2023","This comprehensive study addresses the persistent issue of railway transition zone degradation, evaluating the efficacy of the most commonly used mitigation measures and proposing a novel Safe Hull-Inspired Energy Limiting Design (SHIELD) of a transition structure. Firstly, this work assesses the traditional transition structures, including horizontal and inclined approach slabs and transition wedges, using commonly studied responses (kinematic response and stress) and a recently proposed criterion based on total strain energy minimization. The second part of the paper evaluates the newly introduced transition structuress (SHIELD) using the same criterion as used for the evaluation of the traditional transition structures. A detailed investigation of existing and a new design using a 2-dimensional finite element model shows SHIELD’s effectiveness in managing energy flow at transition zones and provides reasoning behind the ineffectiveness of the other commonly used transition structures. The study demonstrates the robustness and comprehensiveness of the recently developed energy-based criterion and its applicability to different types of transition zones. Moreover, it highlights the potential of SHIELD as a solution to address the complexities associated with the design of railway transition zones.","Railway transition zones; horizontal approach slab; inclined approach slab; transition wedge; new transition structure; design evaluation","en","journal article","","","","","","","","","","Engineering Structures","Dynamics of Structures","","",""
"uuid:34e6c01b-ffc7-452a-9da3-44d7fcbbd531","http://resolver.tudelft.nl/uuid:34e6c01b-ffc7-452a-9da3-44d7fcbbd531","Surrogate models to unlock the optimal design of stiffened panels accounting for ultimate strength reduction due to welding residual stress","Coraddu, A. (TU Delft Ship Design, Production and Operations); Oneto, Luca (University of Genova); Li, Shen (University of Strathclyde); Kalikatzarakis, Miltiadis (University of Strathclyde); Karpenko, O. (TU Delft Steel & Composite Structures)","","2023","In this paper, for the first time, a three-step approach for the optimal design of stiffened panels accounting for the ultimate limit state due to welding residual stress is developed. First, authors rely on state-of-the-art analytical approaches coupled with recently data-driven nonlinear finite element methods surrogates characterized by functional which are computationally expensive to build but computationally inexpensive to use. Then, surrogates are used within a design optimization loop to find new optimal designs since nonlinear finite element methods are too computationally demanding for this purpose. Finally, the new designs are reassessed with the original nonlinear finite element methods to verify that substituting them with their surrogates in the optimization loop actually leads to better designs. Results obtained optimizing a series of parameters of a commonly used stiffened panel geometry under different scenarios will support the authors’ novel approach.","Nonlinear finite element methods; Optimal design; Stiffened panels; Surrogate models; Ultimate strength reduction; Welding residual stress","en","journal article","","","","","","","","","","","Ship Design, Production and Operations","","",""
"uuid:fc0820da-9c4a-4f15-ba94-98c408a40a47","http://resolver.tudelft.nl/uuid:fc0820da-9c4a-4f15-ba94-98c408a40a47","Controlling the breakup of spiralling jets: results from experiments, nonlinear simulations and linear stability analysis","Kamis, Y.E. (TU Delft Multi Phase Systems); Prakash, Suriya (TU Delft Complex Fluid Processing); Breugem, W.P. (TU Delft Multi Phase Systems); Eral, H.B. (TU Delft Complex Fluid Processing)","","2023","We experimentally and numerically study the dynamics of a liquid jet issued from a rotating orifice, whose breakup is regulated by a vibrating piezo element. The helical trajectory of the spiralling jet yields fictitious forces varying along the jet whose longitudinal projections stretch and thin the jet, affecting the growth of perturbations. We show that by quantifying these fictitious forces, one can estimate the jet intact length and size distribution of drops formed at jet breakup. The presence of the locally varying fictitious forces may render high-frequency perturbations, that would otherwise be stable in the abscence of stretching, unstable, as observed similarly in the case of straight jets stretching under gravity. The perturbation amplitude then dictates how strong the perturbation is coupled to the jet compared with random noise that is inherently present in any experimental set-up. In the present study we exploit the slenderness of the jet to separate the calculation of the base flow and the growth of perturbations. The fictitious forces calculated from the base flow trajectory are then used in a nonlinear slender-jet model, which treats the spiralling jet as a quasi-straight jet with locally varying body forces. We show both experimentally and numerically that jet breakup characteristics (e.g. intact length and drop size distribution) can be controlled by finite-amplitude perturbations created by mechanically induced pressure modulations. Finally, we revisit the integrated net gain approach developed for straight jets under gravity and we provide simple analogous relations for spiralling jets.","instability control; nonlinear instability; breakup/coalescence","en","journal article","","","","","","","","","","","Multi Phase Systems","","",""
"uuid:2ce06e5f-74ba-446f-bb70-597d32914aa6","http://resolver.tudelft.nl/uuid:2ce06e5f-74ba-446f-bb70-597d32914aa6","Searching for Reconnection: Environmental Challenges and Course Changes in Spatial Development Along Shanghai’s Shipping Channels","den Hartog, Harry (TU Delft Spatial Planning and Strategy; TU Delft History, Form & Aesthetics; Tongji University)","","2023","Waterways played a crucial role in the emergence of Shanghai as a cosmopolitan city and world port. Over the years the spatial and functional relationships between the city and ports and hinterland have been changing continuously. In Shanghai, like other port cities, almost all ports and related industries are placed out beyond the urban fringes, to form decentralized regional clusters, while former docklands are quickly transformed into attractive urban waterfronts. Simultaneously there is a growing physical and socio‐economic gap with the rural hinterland. During Shanghai’s brutal lockdown in Spring 2022, due to China’s rigid zero‐Covid policy, citizens were without food and other supplies while fully loaded ships were lined up waiting in the port. Also, deliveries from surrounding rural areas were temporarily halted. This article focuses on recent developments but is based on experiences in previous centuries from a long durée perspective. It elaborates on how the Yangtze River Delta urbanized along shipping channels and examines changing relationships between city and port, between urban and rural, and between man and nature. What role did shipping channels play and how to rebalance
various spatial claims: urban, rural, port interests, and environmental concerns?","ecological civilization; flood risk; hydraulic engineering; lockdown; long durée; port city; rural hinterland; shipping channel; spatial decentralization; urban delta","en","journal article","","","","","","","","","","","Spatial Planning and Strategy","","",""
"uuid:7819ae26-fa3d-4426-958d-dafb6b181cf9","http://resolver.tudelft.nl/uuid:7819ae26-fa3d-4426-958d-dafb6b181cf9","Performance evaluation of the AiDx multi-diagnostic automated microscope for the detection of schistosomiasis in Abuja, Nigeria","Makau-Barasa, Louise (The Ending Neglected Diseases (END) Fund); Assefa, Liya (The Ending Neglected Diseases (END) Fund); Solomon, Jacob (Federal Ministry of Health, Abuja); A-Enegela, Juliana (CBM International, Cambridge); Damen, James G. (University of Jos, Jos); Popoola, Samuel (Aidx Medical BV); Diehl, J.C. (TU Delft Design for Sustainability); Vdovin, Gleb (TU Delft Team Michel Verhaegen); Agbana, T.E. (TU Delft Team Michel Verhaegen; Aidx Medical BV)","","2023","In this research, we report on the performance of automated optical digital detection and quantification of Schistosoma haematobium provided by AiDx NTDx multi-diagnostic Assist microscope. Our study was community-based, and a convenient sampling method was used in 17 communities in Abuja Nigeria, based on the disease prevalence information extracted from the baseline database on schistosomiasis, NTD Division, of the Federal Ministry of Health. At baseline, samples from 869 participants were evaluated of which 358 (34.1%) tested S. haematobium positive by the reference diagnostic standard. Registered images from the fully automated (autofocusing, scanning, image registration and processing, AI image analysis and automatic parasite count) AiDx assist microscope were analyzed. The Semi automated (autofocusing, scanning, image registration & processing and manual parasite count) and the fully automated AiDx Assist showed comparable sensitivities and specificities of [90.3%, 98%] and [89%, 99%] respectively. Overall, estimated egg counts of the semi-automated & fully automated AiDx Assist correlated significantly with the egg counts of conventional microscopy (r = 0.93, p ≤ 0.001 and r = 0.89, p ≤ 0.001 respectively). The AiDx Assist device performance is consistent with requirement of the World Health Organization diagnostic target product profile for monitoring, evaluation, and surveillance of Schistosomiasis elimination Programs.","","en","journal article","","","","","","","","","","","Design for Sustainability","","",""
"uuid:3da27a86-e8aa-4f04-9b76-84e47915933c","http://resolver.tudelft.nl/uuid:3da27a86-e8aa-4f04-9b76-84e47915933c","Sustainability in the Future of Design Education","Faludi, Jeremy (TU Delft Circular Product Design); Acaroglu, Leyla (Disrupt Design); Gardien, Paul (Philips); Rapela, Ana (University of Buenos Aires); Sumter, Deborah (Hogeschool van Amsterdam); Cooper, Cindy (The Lemelson Foundation)","","2023","The Future of Design Education working group on sustainability developed recommendations for integrating sustainability into higher education design curricula. The recommendations provide a foundation for design instruction, using well-established evidence-based tools, methods, and mindsets that apply to professional practice and support designers as advocates for environmental and social responsibility. The document identifies core ideas for sustainable design, organized under a set of topics. These topics include sustainability fundamentals; circular economy; whole systems thinking; sustainable innovation strategies; impact assessment, and laws and standards; and communication, collaboration, and leadership. A summary table captures each idea, along with corresponding discussion and learning outcomes (things students should know and do). Recommendations are tailored to three levels of study: for all design students, students expecting to practice in sustainable design, and students in elective or advanced study. Resources for such study are also included.","Sustainable design; Design education; Future of design education; Circular design; Circular economy; Responsible design","en","journal article","","","","","","","","","","","Circular Product Design","","",""
"uuid:1d15525e-5530-4e16-846c-30dfdbb2428e","http://resolver.tudelft.nl/uuid:1d15525e-5530-4e16-846c-30dfdbb2428e","How to attract young talent to nuclear medicine step 1: a survey conducted by the EANM Oncology and Theranostics Committee to understand the expectations of the next generation","Ambrosini, Valentina (University of Bologna); Carrilho Vaz, Sofia (Champalimaud Clinical Center); Ahmadi Bidakhvidi, Niloefar (University Hospital Leuven); Cysouw, Matthijs C.F. (Amsterdam UMC); Serani, Francesca (University of Bologna); Deroose, Christophe M. (University Hospital Leuven); de Geus-Oei, L.F. (TU Delft RST/Radiation, Science and Technology; Leiden University Medical Center; University of Twente); Konijnenberg, Mark W. (Erasmus MC); Oprea Lager, Daniela E. (Universiteit van Amsterdam)","","2023","","","en","contribution to periodical","","","","","","","","","","RST/Radiation, Science and Technology","","","",""
"uuid:64811868-990b-4d09-a450-73eb6c2ff863","http://resolver.tudelft.nl/uuid:64811868-990b-4d09-a450-73eb6c2ff863","Conceptualizing Urban Inequalities as a Complex Socio-Technical Phenomenon","Nelson, R.J. (TU Delft Policy Analysis); Warnier, Martijn (TU Delft Multi Actor Systems); Verma, T. (TU Delft Policy Analysis)","","2023","The United Nations World Social Report (2020) reveals that more than two thirds of the world's population live in countries where urban inequalities have increased in the last three decades. While urban inequalities are traditionally characterized as an economic issue, scholars are increasingly applying methods from geospatial analysis to study them. In the context of these advancements, it remains unclear what underlying perspectives are guiding decisions to concentrate on certain aspects of urban inequalities, while potentially ignoring others. We address this gap by reviewing the literature centered on the geospatial analysis of urban inequalities and identify three predominant research lenses from accessibility, distribution, and policy and stakeholder perspectives. As a primary contribution of this article, we connect the perspectives with ideas drawn from complexity theory to develop an overarching socio-technical framework for how urban inequalities emerge over space and time. While traditional scientific frameworks seek to increase knowledge through causality, complexity science acknowledges the inherent challenges in defining, understanding and solving complex problems such as urban inequalities, which has profound implications for their representation, modeling and interpretation. We critically reflect on the framework through key relational themes and insights drawn from the literature and close with considerations for future research.","","en","journal article","","","","","","","","","","Multi Actor Systems","Policy Analysis","","",""
"uuid:89e7a4d9-b55c-4977-b1f3-039c4d59905c","http://resolver.tudelft.nl/uuid:89e7a4d9-b55c-4977-b1f3-039c4d59905c","The potential of near real-time monitoring of β-d-glucuronidase activity to establish effective warning systems in urban recreational waters","Makris, K. (TU Delft Sanitary Engineering; Partners4UrbanWater); Hoefeijzers, Bas (Municipality of Breda); Seelen, Laura (Waterboard Brabantse Delta); Schilperoort, R.P.S. (Partners4UrbanWater); Langeveld, J.G. (TU Delft Sanitary Engineering; Partners4UrbanWater)","","2023","Urban water is a crucial element of cities for the purpose of events and recreation, raising concern over the water quality and related hygienic safety. In this study, a near real-time monitoring system of the β-d-glucuronidase activity, the BACTcontrol, was tested in the canals of Breda city in the Netherlands in order to gain insight in its suitability to constitute part of an effective warning system for urban surface waters. Additionally, the qPCR method was also evaluated as a complementary method aiming at determining the E. coli or Bacteroides bacteria, while conventional culture-based measurements aiming at E. coli served as a reference. Analysis of the results obtained via monitoring and sampling during three consecutive bathing seasons revealed that the BACTcontrol demonstrated a timely but short response, implying that it was capable of detecting contamination peaks but not indicating when the water was hygienically safe again. This gap could be filled with qPCR measurements, which proved to provide reliable and fast results. Therefore, the combination of the BACTcontrol with qPCR measurements offers the opportunity to build an effective strategy concerning the use of urban surface waters for recreational purposes, based on timely information on the emergence and duration of contamination events.","","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:ebbd742b-8d8b-4f4b-9c0b-c61bc1ff3d89","http://resolver.tudelft.nl/uuid:ebbd742b-8d8b-4f4b-9c0b-c61bc1ff3d89","Collaborative Berth Allocation with Row Generation Methods for the Core and Nucleolus","Lyu, X. (TU Delft Transport Engineering and Logistics); Lalla-Ruiz, Eduardo (University of Twente); Schulte, F. (TU Delft Transport Engineering and Logistics)","","2023","","","en","abstract","","","","","","","","","","","Transport Engineering and Logistics","","",""
"uuid:61f31dd9-2e38-4b2c-91d4-f39907284ee5","http://resolver.tudelft.nl/uuid:61f31dd9-2e38-4b2c-91d4-f39907284ee5","Simulation of a fully coupled 3D glacial isostatic adjustment – ice sheet model for the Antarctic ice sheet over a glacial cycle","van Calcar, C.J. (TU Delft Astrodynamics & Space Missions); van de Wal, Roderik S W (Universiteit Utrecht); Blank, B. (TU Delft Astrodynamics & Space Missions); de Boer, Bas (University of Twente; Universiteit Utrecht); van der Wal, W. (TU Delft Astrodynamics & Space Missions)","","2023","Glacial isostatic adjustment (GIA) has a stabilizing effect on the evolution of the Antarctic ice sheet by reducing the grounding line migration following ice melt. The timescale and strength of this feedback depends on the spatially varying viscosity of the Earth's mantle. Most studies assume a relatively long and laterally homogenous response time of the bedrock. However, the mantle viscosity is spatially variable, with a high mantle viscosity beneath East Antarctica and a low mantle viscosity beneath West Antarctica. For this study, we have developed a new method to couple a 3D GIA model and an ice sheet model to study the interaction between the solid Earth and the Antarctic ice sheet during the last glacial cycle. With this method, the ice sheet model and GIA model exchange ice thickness and bedrock elevation during a fully coupled transient experiment. The feedback effect is taken into account with a high temporal resolution, where the coupling time steps between the ice sheet and GIA model are 5000 years over the glaciation phase and vary between 500 and 1000 years over the deglaciation phase of the last glacial cycle. During each coupling time step, the bedrock elevation is adjusted at every ice sheet model time step, and the deformation is computed for a linearly changing ice load. We applied the method using the ice sheet model ANICE and a 3D GIA finite element model. We used results from a regional seismic model for Antarctica embedded in the global seismic model SMEAN2 to determine the patterns in the mantle viscosity. The results of simulations over the last glacial cycle show that differences in mantle viscosity of an order of magnitude can lead to differences in the grounding line position up to 700gkm and to differences in ice thickness of the order of 2gkm for the present day near the Ross Embayment. These results underline and quantify the importance of including local GIA feedback effects in ice sheet models when simulating the Antarctic ice sheet evolution over the last glacial cycle.","","en","journal article","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:efeeaa17-5c1c-43d8-93a5-93fdf5953abe","http://resolver.tudelft.nl/uuid:efeeaa17-5c1c-43d8-93a5-93fdf5953abe","Uncovering the challenges of urban digital twins: Identifying and ranking the barriers to operating digital twins in cities","Lei, Binyu (National University of Singapore); Stoter, J.E. (TU Delft Urban Data Science); Janssen, Patrick (White Lioness Technologies); Biljecki, Filip (National University of Singapore)","","2023","To encourage discussion of the challenges hindering the design and implementation of digital twins, the authors have ranked them based on a systematic literature review combined with an expert survey.","","en","journal article","","","","","","","","","","","Urban Data Science","","",""
"uuid:aa4eca79-d02d-4f4a-8ba9-ed14bfeb3d40","http://resolver.tudelft.nl/uuid:aa4eca79-d02d-4f4a-8ba9-ed14bfeb3d40","Towards a housing preservation culture","Pottgiesser, U. (TU Delft Heritage & Architecture); Quist, W.J. (TU Delft Heritage & Architecture)","","2023","After the two 2022-issues of the Docomomo Journal, number 66 on ‘Modern Plastic Heritage’ and number 67 on ‘Multiple Modernisms in Ukraine,’ this issue reveals another chapter of an often and diversely described theme of Modern Movement and a pressing subject worldwide: Housing.","","en","contribution to periodical","","","","","","","","","","","Heritage & Architecture","","",""
"uuid:fa43f957-13a8-411b-b51a-96174a1c3c5f","http://resolver.tudelft.nl/uuid:fa43f957-13a8-411b-b51a-96174a1c3c5f","Demonstration of Scalable Series-Connected Submodule of Modular Multilevel Converter-based Arbitrary Wave shape Generator used for High Voltage Testing from Off-the-Shelf Component","Ganeshpure, D.A. (TU Delft High Voltage Technology Group); Soeiro, Thiago B. (TU Delft DC systems, Energy conversion & Storage; University of Twente); Gagic, Mladen (TU Delft ESP LAB); Ghaffarian Niasar, M. (TU Delft High Voltage Technology Group); Bauer, P. (TU Delft DC systems, Energy conversion & Storage); Vaessen, P.T.M. (TU Delft High Voltage Technology Group)","","2023","To test high-voltage (HV) equipment with increasingly complex transients obtained from various power system studies, this article demonstrates a hardware implementation of a medium-voltage (MV) submodule (SM) to be used in a modular multilevel converter (MMC)-based HV arbitrary wave shape generator (AWG). The MV SM is scalable with its own onboard auxiliary power supply (APS), and it is constructed by connecting three full-bridge SMs in series from the commercially available component. The designed MV SM can be operated for a wide voltage range of 0.8-2.7 kV to incorporate different test objects ranging from HV insulation material to MV equipment and generate a wide output range of 0.12-1.2 kV. Considering the hardware nonidealities in the APS, gate driver, and switches, the series operation of three SMs is ensured using an arm energy controller. Based on the current-based model of APS, SM capacitance design criteria are updated for variable-frequency output waveform, and the minimum dc-link voltage is calculated for the proper start-up of this scalable MMC module. Apart from the variable voltage per SM, the HV AWG application poses different conditions, such as a low value of SM capacitance value and the HV dc sources with a current rating of a few tens of milliamperes. Hence, this article proposes exclusive design guidelines for the proper start-up, steady-state, and shutdown operation of the MMC-based AWG. In addition, this article dives deeper analytically into the soft start-up algorithm to understand its working principle and to design the average charging current within the limit for any number of SMs of the arm. In the end, their performance is showcased with a single MV SM per arm, operating at a different voltage (0.8-2.7 kV) and frequency levels (1-600 Hz) and generating different wave shapes, such as triangular, sinusoidal with different harmonics, and pulse waveforms. In addition, the fault ride-through capability is verified for the MMC-based HV AWG.","Arbitrary Wave shape Generator; Current transformers; Dielectrics; Hardware; HV Testing; Insulation; Modular Multilevel Converter; Off-the-Shelf Component; Scalable MV Submodule Design; Shape; Testing; Voltage transformers","en","journal article","","","","","","","","","","","High Voltage Technology Group","","",""
"uuid:9ac6730b-ebff-4605-8284-490de2406caf","http://resolver.tudelft.nl/uuid:9ac6730b-ebff-4605-8284-490de2406caf","Integration of thermo-electric coolers into the CMS MTD SiPM arrays for operation under high neutron fluence","Bornheim, A. (California Institute of Technology); Lustermann, W. (ETH Zürich); Stachon, K. (ETH Zürich); Reales Gutiérrez, G. (TU Delft Computational Design and Mechanics); Benaglia, A. (INFN Sezione di Milano-Bicocca); De Guio, F. (INFN Sezione di Milano-Bicocca; Università degli Studi di Milano Bicocca); Ghezzi, A. (INFN Sezione di Milano-Bicocca; Università degli Studi di Milano Bicocca); Lucchini, M. T. (INFN Sezione di Milano-Bicocca; Università degli Studi di Milano Bicocca); Malberti, M. (INFN Sezione di Milano-Bicocca)","","2023","The barrel section of the novel MIP Timing Detector (MTD) will be constructed as part of the upgrade of the CMS experiment to provide a time resolution for single charged tracks in the range of 30-60 ps using LYSO:Ce crystal arrays read out with Silicon Photomultipliers (SiPMs). A major challenge for the operation of such a detector is the extremely high radiation level, of about 2 × 1014 1 MeV(Si) Eqv. n/cm2, that will be integrated over a decade of operation of the High Luminosity Large Hadron Collider (HL-LHC). Silicon Photomultipliers exposed to this level of radiation have shown a strong increase in dark count rate and radiation damage effects that also impact their gain and photon detection efficiency. For this reason during operations the whole detector is cooled down to about -35°C. In this paper we illustrate an innovative and cost-effective solution to mitigate the impact of radiation damage on the timing performance of the detector, by integrating small thermo-electric coolers (TECs) on the back of the SiPM package. This additional feature, fully integrated as part of the SiPM array, enables a further decrease in operating temperature down to about -45°C. This leads to a reduction by a factor of about two in the dark count rate without requiring additional power budget, since the power required by the TEC is almost entirely offset by a decrease in the power required for the SiPM operation due to leakage current. In addition, the operation of the TECs with reversed polarity during technical stops of the accelerator can raise the temperature of the SiPMs up to 60°C (about 50°C higher than the rest of the detector), thus accelerating the annealing of radiation damage effects and partly recovering the SiPM performance.","Detector cooling and thermo-stabilization; Photon detectors for UV, visible and IR photons (solid-state) (PIN diodes, APDs, Si-PMTs, G-APDs, CCDs, EBCCDs, EMCCDs, CMOS imagers, etc); Radiation-hard detectors; Timing detectors","en","journal article","","","","","","","","","","","Computational Design and Mechanics","","",""
"uuid:284b17af-71ee-4e79-b75e-0cc3b9ce6079","http://resolver.tudelft.nl/uuid:284b17af-71ee-4e79-b75e-0cc3b9ce6079","Optimizing ML Inference Queries Under Constraints","Li, Z. (TU Delft Web Information Systems); Sun, W. (TU Delft Web Information Systems); Hai, R. (TU Delft Web Information Systems); Bozzon, A. (TU Delft Human-Centred Artificial Intelligence); Katsifodimos, A (TU Delft Web Information Systems)","","2023","The proliferation of pre-trained ML models in public Web-based model zoos facilitates the engineering of ML pipelines to address complex inference queries over datasets and streams of unstructured content. Constructing optimal plan for a query is hard, especially when constraints (e.g. accuracy or execution time) must be taken into consideration, and the complexity of the inference query increases. To address this issue, we propose a method for optimizing ML inference queries that selects the most suitable ML models to use, as well as the order in which those models are executed. We formally define the constraint-based ML inference query optimization problem, formulate it as a Mixed Integer Programming (MIP) problem, and develop an optimizer that maximizes accuracy given constraints. This optimizer is capable of navigating a large search space to identify optimal query plans on various model zoos.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-25","","","Web Information Systems","","",""
"uuid:f004636b-9486-42d7-8e52-6d4ddbb91c01","http://resolver.tudelft.nl/uuid:f004636b-9486-42d7-8e52-6d4ddbb91c01","Handboek Makerlab: Maakplaatsen in bibliotheken","Mostert-van der Sar, M. (Rotterdam University of Applied Sciences); Muñoz Aparici, M. (TU Delft History, Form & Aesthetics); Hermans, M. (National Library of the Netherlands); Oomes, M. (National Library of the Netherlands); Caso, O. (TU Delft History, Form & Aesthetics); Troxler, P. (Rotterdam University of Applied Sciences); Heil, V. (National Library of the Netherlands)","","2023","The book is conceived as a handbook for helping public libraries in setting up and start a makerspace. It reports the findings of the MAKERLAB project, a living-lab two-years research project funded by PICA Stichting. The publication is organised into three major section: Impact; Program; Space; plus additional information about the context of the research and the employed tools. The MAKERLAB project has been conducted in close collaboration between National Library, TU Delft, Hogeschool Rotterdam.","Maker Spaces; Architectural design; Public Library; Impact; Library innovation; Making","nl","book","","","","","","","","","","","History, Form & Aesthetics","","",""
"uuid:b7c7b65f-508c-4f3a-935f-fa3f39393c87","http://resolver.tudelft.nl/uuid:b7c7b65f-508c-4f3a-935f-fa3f39393c87","Focus Raqqa: Inventory of Museum Collections and Reconstruction of Missing Tablets","Nieuwenhuyse, Olivier (Centre for Global Heritage and Development Leiden Delft Erasmus); Hiatlih, Khaled (Centre for Global Heritage and Development Leiden Delft Erasmus); Hakki, Rasha (Centre for Global Heritage and Development Leiden Delft Erasmus); al-Fakhri, Ayham (Centre archéologique de Bibracte); Verlinden, Jouke (Universiteit Antwerpen); Lambers, Karsten (Universiteit Leiden); Burg-Joosten, Katrina (Universiteit Leiden); Mara, Hubert (University of Heidelberg); Ngan-Tillard, D.J.M. (TU Delft Geo-engineering)","Hayajneh, Hani (editor)","2023","The National Museum of Raqqa in Syria has suffered immensely from the ongoing violence since 2011. Much of its valuable collection of movable archaeological heritage (ca. 6000 items) is considered lost. Starting from 500 of the most precious objects of the museum stored in the Raqqa Central Bank and stolen from there in 2013, the pilot project Focus Raqqa created a concrete, workable database to enable identification by Syrian and international police and heritage institutions. The project made a pivotal first step towards potential reconstruction of the Raqqa Museum in the future. The Raqqa museum collection included cuneiform tablets. Some of the tablets were cast before the war to allow detailed study in Europe. Today the tablets have vanished. The pilot project Scanning for Syria safeguarded information from the lost artefacts by making high-resolution three-dimensional scans of the silicone rubber moulds and subsequently physical replicas of the original objects by 3D printing. The short life expectancy (30 years) of the moulds necessitated measures for long-
3
term preservation. The Scanning for Syria team not only succeeded at the preservation and sharing of knowledge in the academic circle. It also told the story of Syrian culture and its people to everyone for raising more voices in the united effort to keep cultural heritage safe in a zone of conflict.","Raqqa museum; inventory; cuneiform; digital preservation; 3D-printing","en","book chapter","LIT Verlag","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-01","","","Geo-engineering","","",""
"uuid:c2157d14-0363-4f95-92b9-03cb1331e544","http://resolver.tudelft.nl/uuid:c2157d14-0363-4f95-92b9-03cb1331e544","Intercity networks and urban performance: a geographical text mining approach","Tongjing, Wang (Universiteit Utrecht); Meijers, E.J. (TU Delft Urban Studies; Universiteit Utrecht); Bao, Ziyu; Wang, H. (TU Delft Multimedia Computing)","","2023","Compared to the burgeoning literature discussing the importance of agglomeration externalities for development, limited attention has been given to network externalities. This is largely due to limited data availability. We propose a general measure to proxy city network externalities based on toponym co-occurrences that indicate the relatedness between cities. This paper extracts intercity relationships based on the co-occurrence of Chinese place names on 2.5 billion webpages. We calculate and map absolute and relative network positions, which we use to explain urban labour productivity. We found that a stronger embeddedness in networks of cities is significantly and positively associated with urban productivity. Smaller cities benefit comparatively more from being well embedded in city networks, suggesting that these relations can compensate for a lack of agglomeration externalities. We also compare the importance for urban performance of city network externalities vis-à-vis agglomeration externalities. City network externalities turn out to be more important in explaining urban performance than agglomeration externalities. This calls for new theorizing on a relational approach to urban and regional development. Rather than stimulating further concentration of urbanization, our findings suggest that fostering relationships between cities is a viable alternative urban development strategy. We conclude with suggestions for a research agenda that delves deeper into city network externalities.","agglomeration externalities; China; City networks; labour productivity; regional development; urban system","en","journal article","","","","","","","","","","","Urban Studies","","",""
"uuid:3ddacf16-e93c-49c3-89aa-2090184ba4ff","http://resolver.tudelft.nl/uuid:3ddacf16-e93c-49c3-89aa-2090184ba4ff","Educational framework","Kuijper, J.A. (TU Delft Theory, Territories & Transitions)","Cavallo, Roberto (editor); Kuijper, Joran (editor); Harteveld, Maurice (editor); Carreiro Matias, Marcelo (editor); Ulkü, Mesut (editor); Drašković, Sonja (editor)","2023","Not only within the Faculty of Architecture and the Built Environment, but throughout Delft University of Technology, the City of the Future Graduation Lab proves to have a truly unique position. It is a laboratory where students are challenged to think beyond their own discipline, creating an interdisciplinary synergy between different fields of expertise in order to find solutions for the City of the Future.","City; Future; Multidisciplinarity; Design; Education; Engineering; Graduation Lab; Built Environment; Architecture; Urban Design; Management; Geomatics; Transport; Infrastructure; Logistics; Collaboration","en","book chapter","TU Delft OPEN Publishing","","","","","","","","","","Theory, Territories & Transitions","","",""
"uuid:a6608647-17f3-455b-b79b-e348d687e6b3","http://resolver.tudelft.nl/uuid:a6608647-17f3-455b-b79b-e348d687e6b3","Does the sun shine for all? Revealing socio-spatial inequalities in the transition to solar energy in The Hague, The Netherlands","Kraaijvanger, C.W. (TU Delft Policy Analysis); Verma, T. (TU Delft Policy Analysis); Doorn, N. (TU Delft Ethics & Philosophy of Technology); Goncalves, J. E. (TU Delft Spatial Planning and Strategy)","","2023","With technological advances and decreasing prices, solar energy is a key technology in the urban energy transition. However, the focus on increasing the overall installed capacity has overshadowed energy justice considerations, leading to inequalities in solar energy adoption. This paper adopts an equity perspective to analyse the transition to solar (photovoltaic) energy in the city of The Hague, The Netherlands. Access to solar energy is at the core of the research, encapsulating factors that influence the ability of a household to adopt solar energy. Through a socio-spatial analysis at the postcode level, we identify four distinct groups with varying levels of access to solar energy. Our results show that these groups are not only strongly segregated across the city but also overlap with existing socio-spatial inequalities. The four levels of access to solar energy are then compared to current solar adoption rates and technical rooftop energy potential in the city. Results show that decreasing levels of access to solar energy align with decreasing adoption rates, revealing that current policies fail to provide equitable access to solar energy leading to inequalities in adoption rates. Furthermore, we show that most of the technical potential available in The Hague is in areas where access to solar energy is limited, representing opportunities to exploit a significant amount of untapped technical potential while addressing existing socio-spatial inequalities. Here, we also identify two groups of interest and related leverage points for future policy interventions to address equity in the transition to solar energy in The Hague.","Access to solar energy; Energy justice; Energy policy; Energy transition; Residential solar photovoltaic","en","journal article","","","","","","","","","","","Policy Analysis","","",""
"uuid:7e05203c-f094-4cc1-8a86-206467b4f811","http://resolver.tudelft.nl/uuid:7e05203c-f094-4cc1-8a86-206467b4f811","Prioritization of micropollutants based on removal effort in drinking water purification treatment","Pronk, Tessa E. (KWR Water Research Institute); Fischer, A. (TU Delft Sanitary Engineering; Evides); van den Berg, Annemijne E.T. (Universiteit Utrecht); Hofman, Roberta C.H.M. (KWR Water Research Institute; Wageningen University & Research; Hogeschool Utrecht)","","2023","A main focus of water managers with regard to micropollutants is the protection of aquatic ecology. However, micropollutants also have the potential to affect the production of clean drinking water. In this paper, we propose to consider the removal effort when assessing micro-pollutants with an ‘Effort Index’ (EI). Assessments using the EI show which micropollutants need more extensive monitoring or abatement because of their difficulty to be removed using low-effort water purification treatment techniques. For water containing mixtures of micro-pollutants, the averaged EI values can indicate overall water quality. Data on the removal by different purification treatment techniques are not necessarily available for all micropollutants. Therefore, a set of data-driven indicative removal rules is derived to quantify the relation between micropollutant properties and different drinking water treatment techniques. The indicative removal rules provide a rough indication of removability. As an illustration, the water quality of the river Rhine is evaluated between 2000 and 2018. The EI value shows that the Rhine contains increasingly more difficult-to-remove micropollutants. In total, 18 of those are labeled as particularly difficult-to-remove chemicals. These micropollutants are suggested as candidates for abatement to lower the required effort in drinking water production.","micropollutants; model; prioritization; purification treatment; removal; water quality","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:149af660-369a-46cc-81d5-e96e45be10a7","http://resolver.tudelft.nl/uuid:149af660-369a-46cc-81d5-e96e45be10a7","Improved reliability of perfusion estimation in dynamic susceptibility contrast MRI by using the arterial input function from dynamic contrast enhanced MRI","Tseng, C. (TU Delft ImPhys/Vos group; TU Delft ImPhys/Computational Imaging; Universiteit Leiden; Medical Delta); Jaspers, Jaap (Universiteit Leiden; Erasmus MC); Romero, Alejandra Mendez (Universiteit Leiden; Erasmus MC); Wielopolski, Piotr (Erasmus MC); Smits, M. (Erasmus MC; Medical Delta); van Osch, Matthias J.P. (Universiteit Leiden; Leiden University Medical Center; Medical Delta); Vos, F.M. (TU Delft ImPhys/Computational Imaging; TU Delft ImPhys/Vos group; Universiteit Leiden; Erasmus MC; Medical Delta)","","2023","The arterial input function (AIF) plays a crucial role in estimating quantitative perfusion properties from dynamic susceptibility contrast (DSC) MRI. An important issue, however, is that measuring the AIF in absolute contrast-agent concentrations is challenging, due to uncertainty in relation to the measured (Formula presented.) -weighted signal, signal depletion at high concentration, and partial-volume effects. A potential solution could be to derive the AIF from separately acquired dynamic contrast enhanced (DCE) MRI data. We aim to compare the AIF determined from DCE MRI with the AIF from DSC MRI, and estimated perfusion coefficients derived from DSC data using a DCE-driven AIF with perfusion coefficients determined using a DSC-based AIF. AIFs were manually selected in branches of the middle cerebral artery (MCA) in both DCE and DSC data in each patient. In addition, a semi-automatic AIF-selection algorithm was applied to the DSC data. The amplitude and full width at half-maximum of the AIFs were compared statistically using the Wilcoxon rank-sum test, applying a 0.05 significance level. Cerebral blood flow (CBF) was derived with different AIF approaches and compared further. The results showed that the AIFs extracted from DSC scans yielded highly variable peaks across arteries within the same patient. The semi-automatic DSC–AIF had significantly narrower width compared with the manual AIFs, and a significantly larger peak than the manual DSC–AIF. Additionally, the DCE-based AIF provided a more stable measurement of relative CBF and absolute CBF values estimated with DCE–AIFs that were compatible with previously reported values. In conclusion, DCE-based AIFs were reproduced significantly better across vessels, showed more realistic profiles, and delivered more stable and reasonable CBF measurements. The DCE–AIF can, therefore, be considered as an alternative AIF source for quantitative perfusion estimations in DSC MRI.","arterial input function; cerebral blood flow; cerebral blood volume; dynamic contrast enhanced MRI; dynamic susceptibility contrast MRI","en","journal article","","","","","","","","","","","ImPhys/Vos group","","",""
"uuid:2835d608-b840-4022-8da5-5e140823ba39","http://resolver.tudelft.nl/uuid:2835d608-b840-4022-8da5-5e140823ba39","Extensive analysis of PCM-based heat sink with different fin arrangements under varying load conditions and variable aspect ratio","Nedumaran, Muthamil Selvan (National Institute of Technology Karnataka); Gnanasekaran, Nagarajan (National Institute of Technology Karnataka); Hooman, K. (TU Delft Process and Energy)","","2023","The present study compares a modified variable height fin heat sink with the conventional constant height fin heat sink. The two heat sinks are filled with an equal volume of PCM (n-eicosane) and a fin volume fraction of 8 %. The experiments are performed for constant loads and also different power surge conditions. The pulsed heat loads are applied for two scenarios: 1. Constant load 4 W - power surge and constant load 4 W - power surge - 1800 s no-load condition, and 2. Power surge (50 s, 100 s, and 150 s) - no-load conditions of 1800 s. During experiments, the proposed variable height fin heat sinks possess better thermal performance for all load scenarios. Further, a 3D computational model is developed using ANSYS Fluent 19 to assess not only the effect of fin arrangement for different aspect ratios but also the impact of fin shape. The enclosure aspect ratio employed for the given study ranges from 0.3 to 0.8 for both the heat sinks. Regarding the fin structure in a heat sink, four types of fin shapes are adopted: square, circular, diamond, and triangular. The contour images of temperature and the liquid fraction are shown for the charging process. For the discharging process, the time required for the heat sinks to completely solidify the PCM is discussed. From the outcomes, variable height fin heat sinks provide enhanced melting/solidification for all the aspect ratios and fin shapes considered. As the aspect ratio increases, the time difference between the heat sink for the completion of the discharging cycle is reduced. Moreover, the triangular shaped fin shows a higher enhancement percentage of 2.29 % and 1.43 % during melting and 6.25 % and 12.5 % during solidification for both the heat sinks, respectively.","Heat sink; Melting; Phase change material; Pulsed power surge; Solidification; Transient thermal response; Variable fin height","en","journal article","","","","","","","","","","Process and Energy","","","",""
"uuid:c1921abf-0f68-4fac-9ba6-760dbda65f80","http://resolver.tudelft.nl/uuid:c1921abf-0f68-4fac-9ba6-760dbda65f80","Bayesian Evidential Learning (BEL) Applied to Mineral Resource Modelling to Reduce Uncertainties","Houben, A.L. (Student TU Delft); Soleymani Shishvan, M. (TU Delft Resource Engineering)","","2023","Mineral resource modelling (MRM) requires enough geological information to define the geological model. The success of a mining project is supported by the accuracy of this model and its interpretation. Major failures can occur as a result of an incorrect degree of uncertainty quantification in the geological/geometallurgical models. There are different techniques in the industry today to reduce uncertainty in MRM. All techniques respect the statistical and geostatistical properties of the constraining data, although they vary in the specifics and the approach and they all rely on the stationarity assumption, which is not a testable hypothesis but rather the choice to collect data from a certain area or domain. This paper aims at developing a framework for reducing associated uncertainties with MRM using Bayesian Evidential Learning (BEL). BEL enables to model posterior distributions in prior model spaces using predefined parameters. It provides an indication of how future data might appear, given the data and model. The Walker Lake dataset is used to test the framework. The objective is to reduce the uncertainty in the prediction of the hardness of the orebody. First, the model is built with data from lithology, mineralogy,
penetration rate and grade. These properties are obtained from samples that are spatially correlated. Then, Monte Carlo realizations are obtained based on the exploration data and the assumed uncertainty range. A relationship needs to be obtained between lithology, grade and mineralogy and hardness variables. PCA is applied to get a better visualization by looking at the most influential properties. The observed data are used to compare and see if the prior model needs to get falsified. It is determined that the penetration rate and the lithology are the most influential properties. After that, Canonical Correlation Analysis (CCA) is applied to find the combination of the variables that have the maximized linearity between the penetration data and the prediction data. The predictions are made and then back-transformed to their original space. Finally, the hardness predictions are not falsified by the observed data from the drillholes. These predictions are used to domain the orebody into soft, medium or hard materials.","","en","abstract","","","","","","","","","","","Resource Engineering","","",""
"uuid:d2212587-436e-48ba-8e6a-c178b185732d","http://resolver.tudelft.nl/uuid:d2212587-436e-48ba-8e6a-c178b185732d","The Texas Coast: Ship Channel Network of the Petroleum Age","Lessoff, A.H. (TU Delft History, Form & Aesthetics; Illinois State University)","","2023","This article provides an overview of the Texas Gulf Coast as a port city region dedicated above all to oil and gas. By the late 1800s, the same trends in transportation and industry that encouraged ship channel construction around the world drew attention to schemes to transform the Gulf Coast’s shallow bays and estuaries into inland deep-water harbors. An added factor in Texas was the vulnerability of Galveston and other coastal locations to hurricanes. Between 1902, when construction began on the 52-mile Houston Ship Channel, and the 1950s–60s, when a deep-water channel opened at Matagorda Bay along the mid-Texas coast, various levels of government—local, state, and national—combined to engineer one of the world’s most elaborate navigation networks. Six deep-water channels were woven together by Gulf Intracoastal Waterway, which connected Texas to the Mississippi and beyond. During the years when these ports were taking shape, the Texas oil industry had begun to burgeon. In a reflection of the pre-Spindletop origins of Texas’s deep-water movement, policy and planning continued to assume, until oil’s dominance had become clear, that even the massive ship channels at Houston and Corpus Christi would serve mainly as outlets for agricultural commodities. It was the organizers of the state’s petroleum sector who came to understand the Texas ship channels as exemplary locations for aggregating their diverse operations. This interplay between civil engineering and the energy sector made coastal Texas into a dynamic urban port region. Petroleum and petrochemicals, however, so thoroughly imprinted themselves on the landscape, economy, and life of Texas’s oil port region that the region’s post-oil future remained difficult to envision.","Beaumont; climate change; Corpus Christi; Houston; petroleum industry; Port Arthur; port cities; ship channels; Texas cities","en","journal article","","","","","","","","","","","History, Form & Aesthetics","","",""
"uuid:f9c07892-76c4-4599-a99c-5d7ae6c7aef0","http://resolver.tudelft.nl/uuid:f9c07892-76c4-4599-a99c-5d7ae6c7aef0","Rapid Prototyping of Subsurface CO2 Sequestration with Flow Diagnostics","Petrovskyy, Dmytro (Heriot-Watt University); Geiger, S. (TU Delft Applied Geology); Jacquemyn, Carl (Imperial College London); Jackson, Matthew D (Imperial College London); Hampson, Gary J (Imperial College London); Silva, Julio D Machado (University of Calgary); Judice, Sicilia (University of Calgary); Rahman, Fazilatur (University of Calgary); Sousa, Mario Costa (University of Calgary)","","2023","","","en","abstract","","","","","","","","","","","Applied Geology","","",""
"uuid:90c21381-c6d3-4507-9426-b7731db22aa8","http://resolver.tudelft.nl/uuid:90c21381-c6d3-4507-9426-b7731db22aa8","Fast Modeling of Fault Leakage during CO2 Storage","Ramachandran, Hariharan (Heriot-Watt University); Doster, Florian (Heriot-Watt University); Geiger, S. (TU Delft Applied Geology)","","2023","","","en","abstract","","","","","","","","","","","Applied Geology","","",""
"uuid:0449bade-c218-438f-85bd-e68fc9c088c1","http://resolver.tudelft.nl/uuid:0449bade-c218-438f-85bd-e68fc9c088c1","Mitigating Mainstream Bias in Recommendation via Cost-sensitive Learning","Li, Roger Zhe (TU Delft Multimedia Computing); Urbano, Julián (TU Delft Multimedia Computing); Hanjalic, A. (TU Delft Intelligent Systems)","","2023","Mainstream bias, where some users receive poor recommendations because their preferences are uncommon or simply because they are less active, is an important aspect to consider regarding fairness in recommender systems. Existing methods to mitigate mainstream bias do not explicitly model the importance of these non-mainstream users or, when they do, it is in a way that is not necessarily compatible with the data and recommendation model at hand. In contrast, we use the recommendation utility as a more generic and implicit proxy to quantify mainstreamness, and propose a simple user-weighting approach to incorporate it into the training process while taking the cost of potential recommendation errors into account. We provide extensive experimental results showing that quantifying mainstreamness via utility is better able at identifying non-mainstream users, and that they are indeed better served when training the model in a cost-sensitive way. This is achieved with negligible or no loss in overall recommendation accuracy, meaning that the models learn a better balance across users. In addition, we show that research of this kind, which evaluates recommendation quality at the individual user level, may not be reliable if not using enough interactions when assessing model performance.","bias mitigation; mainstream bias; recommender systems","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","Intelligent Systems","Multimedia Computing","","",""
"uuid:d861b3c4-234d-4b00-810e-e42e667c0be7","http://resolver.tudelft.nl/uuid:d861b3c4-234d-4b00-810e-e42e667c0be7","Promising solutions for railway operations to cope with future challenges — Tackling COVID and beyond","Wang, Z. (TU Delft Transport and Planning); Aoun, J. (TU Delft Transport and Planning); Szymula, Christopher (Technische Universität Dresden); Bešinović, Nikola (TU Delft Transport and Planning; Technische Universität Dresden)","","2023","The COVID-19 pandemic has imposed a dramatic effect on the mobility habits of both passengers and freight in the rail sector. Since the relaxation of COVID-19 restrictions worldwide, rail transport has been revitalised gradually. However, the new normal emerges with unprecedented issues, such as changed travel behaviour, lost profits, and a lack of personnel. In this paper, we determine the arising challenges due to COVID-19 and pandemics in general and subsequently propose several solutions to tackle these challenges in rail transport. These solutions cover multidisciplinary aspects such as passenger demand management, freight demand management, service design, automation, decentralisation and advanced railway technologies. By reviewing the relevant literature on COVID-19, public transport and particularly rail transport, we synthesise and identify promising lines of research that should devote more attention to a more efficient, effective and sustainable rail transport service. This paper provides policymakers, researchers, railway infrastructure managers and undertakings with an overview and an outlook for the impacts of the pandemic crisis and similar situations. It supports decision-making with more evidence and facilitates rail transport to restore its performance and reach its societal goal.","Capacity management; COVID-19; Railway technology; Railways; Resilience","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:1f610c78-6000-42c7-9319-264a3e2e41b7","http://resolver.tudelft.nl/uuid:1f610c78-6000-42c7-9319-264a3e2e41b7","Sex differences in characteristics of atrial fibrillation recurrence post surgical pulmonary vein isolation","Veen, Danny (Erasmus MC; Onze Lieve Vrouwe Gasthuis); Verbeek, Eva C. (Onze Lieve Vrouwe Gasthuis); Kavousi, Maryam (Erasmus MC); Huigen, Jos (Onze Lieve Vrouwe Gasthuis); Mijnen-Schra, Annet (Onze Lieve Vrouwe Gasthuis); Cocchieri, Riccardo (Onze Lieve Vrouwe Gasthuis); Khan, Muchtiar (Onze Lieve Vrouwe Gasthuis); de Groot, N.M.S. (TU Delft Biomechanical Engineering; TU Delft Signal Processing Systems; Erasmus MC)","","2023","Background: Prior studies demonstrated that female sex is associated with arrhythmia recurrence after endovascular pulmonary vein isolation (PVI). However, it is unknown if the sexes differ in outcome after video assisted thoracoscopic (VATS) PVI. The aim of this study was therefore to compare characteristics of recurrent AF episodes in a matched male and female population, using implantable loop recorders for continuous rhythm monitoring. Methods: 40 matched (based on propensity score) males (age: 60.0 ± 7.71 (45–75)) and females (age: 62.0 ± 7.0 (37–74)) were retrieved from an existing database from a prior conducted study by the cardiothoracic department of the OLVG hospital (1) containing patients who received an implantable looprecorder and underwent a VATS PVI between 2012 and 2017. Patients were continuously monitored for a period of 12 months after VATS PVI and AF characteristics were compared. Results: An equal number of males and females had AF episodes during all periods (P > 0.05). The number of AF episodes was higher in females, during the first 6 months (P = 0.01, P = 0.034). During the entire follow up, the total AF duration was longer in females (P = 0.01, for all periods) with shorter inter - episode intervals (P = 0.001, P = 0001, P = 0.04) and a higher AF burden (P = 0.003, P = 0001, P = 0.006). After 3 months, AF recurrences during the night were more frequently observed in female patients (P = 0.001, P = 0.001). Conclusions: AF episodes occur frequently in both sexes after VATS PVI and warrant frequent rhythm monitoring. The observed sex differences in AF burden after VATS PVI, calls for intensive rhythm monitoring and aggressive treatment of recurrent AF epsiodes in females.","AF recurrences; Atrial fibrillation; Sex differences; VATS PVI","en","journal article","","","","","","Publisher Copyright: © 2023 The Authors","","","","Biomechanical Engineering","Signal Processing Systems","","",""
"uuid:9f37ed35-47e3-4c4b-83af-165c6d1d4a91","http://resolver.tudelft.nl/uuid:9f37ed35-47e3-4c4b-83af-165c6d1d4a91","Can ChatGPT Pass High School Exams on English Language Comprehension?","de Winter, J.C.F. (TU Delft Human-Robot Interaction)","","2023","Launched in late November 2022, ChatGPT, a large language model chatbot, has garnered considerable attention. However, ongoing questions remain regarding its capabilities. In this study, ChatGPT was used to complete national high school exams in the Netherlands on the topic of English reading comprehension. In late December 2022, we submitted the exam questions through the ChatGPT web interface (GPT-3.5). According to official norms, ChatGPT achieved a mean grade of 7.3 on the Dutch scale of 1 to 10—comparable to the mean grade of all students who took the exam in the Netherlands, 6.99. However, ChatGPT occasionally required re-prompting to arrive at an explicit answer; without these nudges, the overall grade was 6.5. In March 2023, API access was made available, and a new version of ChatGPT, GPT-4, was released. We submitted the same exams to the API, and GPT-4 achieved a score of 8.3 without a need for re-prompting. Additionally, employing a bootstrapping method that incorporated randomness through ChatGPT’s ‘temperature’ parameter proved effective in self-identifying potentially incorrect answers. Finally, a re-assessment conducted with the GPT-4 model updated as of June 2023 showed no substantial change in the overall score. The present findings highlight significant opportunities but also raise concerns about the impact of ChatGPT and similar large language models on educational assessment.","GPT-3.5; GPT-4; Large language model; Educational assessment; Reading comprehension","en","journal article","","","","","","","","","","","Human-Robot Interaction","","",""
"uuid:cf820926-7f23-480d-a091-ea0aedfcb32f","http://resolver.tudelft.nl/uuid:cf820926-7f23-480d-a091-ea0aedfcb32f","(Re-) Evaluating the Role of Microbes for Fluid Mud Rheology and Settling","Gebert, J. (TU Delft Geo-engineering); Kirichek, Alex (TU Delft Rivers, Ports, Waterways and Dredging Engineering); de Lucas Pardo, Miguel (Deltares); Amman, Baerbel (Niedersachsen Ports GmbH & Co. KG); Ohle, Nino (Hamburg Port Authority)","","2023","","","en","abstract","","","","","","","","","","","Geo-engineering","","",""
"uuid:2d05e49c-aadd-494c-aab4-630fbb95bc14","http://resolver.tudelft.nl/uuid:2d05e49c-aadd-494c-aab4-630fbb95bc14","Testing Conditioning Methods for Maintenance Dredging in Ports","Kirichek, Alex (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Bampatzeliou, A. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Gebert, J. (TU Delft Geo-engineering); Chassagne, C. (TU Delft Environmental Fluid Mechanics); Ohle, Nino (Hamburg Port Authority); Schmekel, Uli (Hamburg Port Authority)","","2023","","","en","abstract","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:3ca59e8f-ab8e-423b-99c5-d39eb8c7c790","http://resolver.tudelft.nl/uuid:3ca59e8f-ab8e-423b-99c5-d39eb8c7c790","Sustainable port maintenance strategies: trade-offs between dredging cost and port call efficiency","Sepehri, A. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Kirichek, Alex (TU Delft Rivers, Ports, Waterways and Dredging Engineering); van Koningsveld, M. (TU Delft Rivers, Ports, Waterways and Dredging Engineering)","","2023","","","en","abstract","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:0236bacc-5912-4cf3-bca9-bf8b45a09594","http://resolver.tudelft.nl/uuid:0236bacc-5912-4cf3-bca9-bf8b45a09594","Effects of Re-circulation on Sediment Properties: A Case Study in the Seaport Emden","Chamanmotlagh, F.C. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Gebert, J. (TU Delft Geo-engineering); Kirichek, Alex (TU Delft Rivers, Ports, Waterways and Dredging Engineering)","","2023","","","en","abstract","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:e0aaced3-49c8-4a5d-9d65-c7c808415639","http://resolver.tudelft.nl/uuid:e0aaced3-49c8-4a5d-9d65-c7c808415639","In gebiedstransformaties barrières doorbreken: geld alleen is niet genoeg","Verheul, W.J. (TU Delft Urban Development Management)","","2023","Het Rijk probeert de woningbouwproductie aan te jagen met woningbouwimpulsen, infrastructuurgelden en bijdragen voor NOVEX-gebieden. TU Delft-onderzoeker Wouter Jan Verheul bekeek 25 stedelijke gebiedstransformaties. Zijn conclusie: naast financiën zijn ook capaciteit en expertise essentieel om blokkades op te ruimen.","","nl","journal article","","","","","","","","","","","Urban Development Management","","",""
"uuid:fc0bb2f2-6792-442b-a914-2d6ed63a93d6","http://resolver.tudelft.nl/uuid:fc0bb2f2-6792-442b-a914-2d6ed63a93d6","Tracking Multiphase Flows through Steep Reservoirs with External Constraint","Nazeer, Mubbashar (Government College University Faisalabad, Chiniot Campus); Ali, W. (TU Delft Environmental Fluid Mechanics); Hussain, Farooq (University of Information Technology, Engineering and Management Sciences)","","2023","Problem statement: The study offers theoretical formulations for high-viscosity particulate flows in inclined reservoirs, taking into account the presence of homogeneous spheroidal particles of various types to produce discrete two-phase suspensions. Purpose: The primary objective of this analytical and comparative study is to identify the most dependable nanoparticles among hafnium and crystal metals that are suspended in an Eyring–Powell fluid through an inclined channel while being subjected to external magnetic and gravitational forces. Solution methodology: The flow dynamics of multiphase flows are formulated utilizing the stress tensor of the base fluid. The regular perturbation method (RPM) is employed to attain a more closed-form solution. The perturbation method is frequently employed in engineering problems to obtain an approximated solution, even when demonstrating the convergence of the solution is challenging. The rough solution is also validated through a thorough parametric analysis that shows the role of relevant parameters that contribute to the multiphase flow. Results: A concise parametric study is carried out against some of the most pertinent parameters and reveals that additional particles have promising effects on the momentum of each multiphase flow, whereas Eyring–Powell multiphase suspensions lessen in momentum due to strong internal viscous forces. The velocity of fluid and particle phases diminish with Hartmann number M and Froude number Fr. The second-order material constant B and concentration of nanoparticles C boost the motion of the fluid. The velocities of the particulate phase are quicker than the fluid phase. The hafnium particle is more reliable than crystal particles. Solution benchmark: Numerical and graphical findings have also been compared with the existing literature for the limiting case and found to be fully in agreement. Applications: This study’s findings provide a wider understanding of subterranean flows, specifically within the petroleum sector, with a focus on multiphase flows. Originality: The current study represents the authors’ original work and has not been previously submitted or published elsewhere.","multiphase; spherically homogeneous; suspension; reservoir; coherence","en","journal article","","","","","","","","","","","Environmental Fluid Mechanics","","",""
"uuid:4b2f5aed-c520-4120-894f-dedd897bc4db","http://resolver.tudelft.nl/uuid:4b2f5aed-c520-4120-894f-dedd897bc4db","Numerical Simulations of Effects of the Layout of Permeable Pile Groin Systems on Longshore Currents","Zhang, R. (TU Delft Coastal Engineering; Hohai University); Chen, Y. (Hohai University); Yao, P. (Hohai University); Stive, M.J.F. (TU Delft Coastal Engineering); Zeng, Jian (Zhejiang Institute of Marine Planning and Design)","","2023","Coastal permeable groins have been used to protect beaches from erosion for centuries. However, the hydraulic functioning of permeable groins has not been fully understood and their design heavily depends on engineering experiences. In this study, numerical experiments were executed to investigate the effects of layout configurations of a permeable groin system on longshore currents. The non-hydrostatic SWASH (Simulating WAve till SHore) model was employed to carry out the numerical simulations. Two data sets obtained from physical laboratory experiments with different permeable groin layouts on different slopes are used to validate the accuracy of the model. Then, the longshore current reduction by the permeable groin system with varying configuration parameters (e.g., groin spacing, groin length) was numerically investigated under different environmental conditions (e.g., a slight or a moderate wave climate). From the calculation results of numerical experiments, it is indicated that permeable groins function efficiently to reduce the maximal longshore current velocity under the condition that the groin length ranges from 84% and 109% of the wave breaker zone width. The longshore current reduction rate monotonously decreases with the increase in groin spacing; permeable pile groin functions best to reduce longshore current with the minimal groin spacing-groin length ratio 1:1 among the range between 1:1 and 2:1. When the groin spacing–groin length ratios are 1:1 and 1.5:1, the longshore current reduction is not sensitive to the investigated wave conditions in this study. When the spatial ratio is 2:1, the permeable pile groin system functions worse under a moderate wave climate than under a slight wave climate, from the view of longshore current reduction.","permeable pile groin; layout design; SWASH; longshore current; longshore current reduction","en","journal article","","","","","","","","","","","Coastal Engineering","","",""
"uuid:f592cf54-f8b7-49c5-9b69-dc8816a799ee","http://resolver.tudelft.nl/uuid:f592cf54-f8b7-49c5-9b69-dc8816a799ee","Influence of moisture content on the assessment of decay levels by micro-drilling measurements in wooden foundation piles","Mirra, M. (TU Delft Bio-based Structures & Materials); Pagella, G. (TU Delft Bio-based Structures & Materials); Gard, W.F. (TU Delft Bio-based Structures & Materials); Ravenshorst, G.J.P. (TU Delft Bio-based Structures & Materials); van de Kuilen, J.W.G. (TU Delft Bio-based Structures & Materials; Technische Universität München)","Nyrud, Anders Q. (editor); Malo, Kjell Arne (editor); Nore, Kristine (editor); Alsen, Knut Werner Lindeberg (editor); Tulebekova, Saule (editor); Staehr, Efthymia Ratsou (editor); Bergh, Gabrielle (editor); Wuyts, Wendy (editor)","2023","In the historic city centre of Amsterdam (NL), the most widespread foundation system consists of wooden piles. With the aim of modelling and predicting remaining service life of these foundations and the piles in particular, one of the possible methods for collecting data and monitoring their condition consists of micro-drilling (MD) measurements. This work evaluates the reliability of MD measurements in identifying decayed portions and specific features of wooden foundation piles, considering different moisture content (MC) values. To this end, 24 segments were selected, sawn from wooden piles extracted from site, and having time in service (TS) of 2 to 294 years (with reference to 2021, the year of extraction). 240 MD measurements were conducted at varying MC values of 7% to 212%. The obtained MD profiles showed for all TS a slight decrease in drilling resistance when increasing MC. However, from the MD signals it is possible to reliably detect the areas affected by biodegradation phenomena (e.g. bacterial decay) along the drilling depth, regardless the MC of the segment or its gradient along the drilling depth. The present study contributes to research aiming at utilizing (in-situ) MD techniques for reliably assessing and quantifying decay and to be used in remaining service life planning of wooden foundation piles.","Wooden foundation piles; Micro-drilling; Moisture content; Biodegradation; Service life prediction","en","conference paper","World Conference on Timber Engineering (WCTE)","","","","","","","","","","Bio-based Structures & Materials","","",""
"uuid:d4277b7d-3cd7-43da-ae6c-30be3aa33b6b","http://resolver.tudelft.nl/uuid:d4277b7d-3cd7-43da-ae6c-30be3aa33b6b","Weaving Textile-form Interfaces: A Material-Driven Design Journey","Buso, A. (TU Delft Emerging Materials); McQuillan, H.L. (TU Delft Materials and Manufacturing); Voorwinden, M. (TU Delft Materials and Manufacturing); Karana, E. (TU Delft Emerging Materials; Avans University of Applied Sciences)","","2023","A woven textile-form is a form that is constructed simultaneously as the textile is woven. Interfaces designed with this approach hold undisclosed potential for rich interactions. However, the design of woven textile-form interfaces requires specialised tacit knowledge, which is limited even in craft and practice spaces; and it is therefore inaccessible to HCI designers. To bridge this gap, we present the material-driven journey of a multidisciplinary team to design a woven textile-form interface using various techniques such as paper models and diagrams to design for multi-layer weaving. Replacing traditional yarns with conductive yarn, we achieved woven textile-forms with electronic sensing capabilities. By outlining our process, the pictorial highlights the challenges and opportunities of textile-form thinking for HCI designers. Additionally, its printed version serves as a ‘paper prototyping tool’ for designers to gain hands-on experience developing textile-form interfaces.","Textile-form Interfaces; weaving; HCI textiles; Material-Driven Design; design tools; prototyping","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-10","","","Emerging Materials","","",""
"uuid:0115a6a1-da19-4e7d-8967-170f054e2750","http://resolver.tudelft.nl/uuid:0115a6a1-da19-4e7d-8967-170f054e2750","Cyber Attacks on Power Grids: Causes and Propagation of Cascading Failures","Subramaniam Rajkumar, Vetrivel (TU Delft Intelligent Electrical Power Grids); Stefanov, Alexandru (TU Delft Intelligent Electrical Power Grids); Presekal, A. (TU Delft Intelligent Electrical Power Grids); Palensky, P. (TU Delft Electrical Sustainable Energy); Rueda, José L. (TU Delft Intelligent Electrical Power Grids)","","2023","Cascading effects in the power grid involve an uncontrolled, successive failure of elements. The root cause of such failures is the combined occurrence of multiple, statistically rare events that may result in a blackout. With increasing digitalisation, power systems are vulnerable to emergent cyber threats. Furthermore, such threats are not statistically limited and can simultaneously occur at multiple locations. In the absence of real-world attack information, however, it is imperative to investigate if and how cyber attacks can cause power system cascading failures. Hence, in this work we present a fundamental analysis of the connection between the cascading failure mechanism and cyber security. We hypothesise and demonstrate how cyber attacks on power grids may cause cascading failures and a blackout. To do so, we perform a systematic survey of major historic blackouts caused by physical disturbances, and examine the cascading failure mechanism. Subsequently, we identify critical cyber-physical factors that can activate and influence it. We then infer and discuss how cyber attack vectors can enable these factors to cause and accelerate cascading failures. A synthetic case-study and software-based simulation results prove our hypothesis. This analysis enables future research into cyber resilience of power grids.","","en","journal article","","","","","","","","","","Electrical Sustainable Energy","Intelligent Electrical Power Grids","","",""
"uuid:e2c3c09f-4afc-495f-b296-ffc777b73ad8","http://resolver.tudelft.nl/uuid:e2c3c09f-4afc-495f-b296-ffc777b73ad8","‘Zeitenwende’: The retrenchment – or not – of ‘territorialism’?","Faludi, A.K.F. (TU Delft Spatial Planning and Strategy)","","2023","‘Zeitenwende’ as announced by German Chancellor Olaf Scholz in relation to Ukraine suggests the retrenchment of ‘territorialism’. I discuss this with a focus on Poland. Western Ukraine has after all been Eastern Poland until becoming part of the Ukrainian SSR in 1939. Enlarged with Crimea, the same SSR declared independence from the Soviet Union in 1991 at a time when Poland was aiming to join the EU and NATO. Now, Poland is a conduit of assistance, military or otherwise to Ukraine. In due course she may need to reconsider her aspiration, as good as fulfilled now, for being a consolidated nation-state. The alternative in 1919 was something like the Polish-Lithuanian Commonwealth of old. That model may become relevant once more. If so, then this would be like my alternative – neo-medievalism – to a territorialism under which nation-states are the exclusive building blocks of the international order. Neo-medievalism stands for political action, including defence, no longer being the exclusive province of the territorial nation-state. If so, then thinking about the state as if it were the owner on behalf of the nation of its territory needs to adapt.","neo-medievalism; Poland; Polish-Lithuanian Commonwealth; territorialism; Ukraine","en","journal article","","","","","","","","","","","Spatial Planning and Strategy","","",""
"uuid:b45b4a13-c2ff-415e-9cde-2ac3965c9688","http://resolver.tudelft.nl/uuid:b45b4a13-c2ff-415e-9cde-2ac3965c9688","Overview of Space-Capable Global Navigation Satellite Systems Receivers: Heritage, Status and the Trend towards Miniaturization","Gill, E.K.A. (TU Delft Space Systems Egineering); Morton, Jade (University of Colorado Boulder); Axelrad, Penina (University of Colorado Boulder); Akos, Dennis M. (University of Colorado Boulder); Centrella, M. (TU Delft Space Systems Egineering); Speretta, S. (TU Delft Space Systems Egineering)","","2023","Spaceborne Global Navigation Satellite Systems (GNSS) receivers have become ubiquitous sensors for spacecraft navigation, especially in Low Earth Orbits (LEOs), often also supporting science endeavors or as acting dedicated science payloads. Due to the large number of space-capable GNSS receiver models available, spacecraft designers, as well as scientists, may find it difficult to have or gain an overview of suitable state-of-the-art models for their purposes and constraints. Based on a literature review that included more than 90 different receiver models, this paper aims to provide an overview of space-capable GNSS receivers that have a heritage in space missions. It analyses trends from the collected data and provides an outlook on miniaturized GNSS receiver models, which have a high potential of being used in future space missions.","GNSS; space receivers; space missions; COTS; miniaturization","en","journal article","","","","","","","","","","","Space Systems Egineering","","",""
"uuid:56c27dcc-5c3f-4b62-a901-094714c3589e","http://resolver.tudelft.nl/uuid:56c27dcc-5c3f-4b62-a901-094714c3589e","Evaluation of the effect of previous endometriosis surgery on clinical and surgical outcomes of subsequent endometriosis surgery","Tummers, Fokkedien H.M.P. (Leiden University Medical Center); Peltenburg, Sophie I. (Leiden University Medical Center); Metzemaekers, Jeroen (Leiden University Medical Center); Jansen, F.W. (TU Delft Medical Instruments & Bio-Inspired Technology; Leiden University Medical Center); Blikkendaal, Mathijs D. (Haaglanden Medical Center; Reinier de Graaf Gasthuis)","","2023","Purpose: Patients often undergo repeat surgery for endometriosis, due to recurrent or residual disease. Previous surgery is often considered a risk factor for worse surgical outcome. However, data are scarce concerning the influence of subsequent endometriosis surgery. Methods: A retrospective study in a centre of expertise for endometriosis was conducted. All endometriosis subtypes and intra-operative steps were included. Detailed information regarding surgical history of patients was collected. Surgical time, intra-operative steps and major post-operative complications were obtained as outcome measures. Results: 595 patients were included, of which 45.9% had previous endometriosis surgery. 7.9% had major post-operative complications and 4.4% intra-operative complications. The patient journey showed a median of 3 years between previous endometriosis surgeries. Each previous therapeutic laparotomic surgery resulted on average in 13 additional minutes (p = 0.013) of surgical time. Additionally, it resulted in more frequent performance of adhesiolysis (OR 2.96, p < 0.001) and in a higher risk for intra-operative complications (OR 1.81, p = 0.045), however no higher risk for major post-operative complications (OR 1.29, p = 0.418). Previous therapeutic laparoscopic endometriosis surgery, laparotomic and laparoscopic non-endometriosis surgery showed no association with surgical outcomes. Regardless of previous surgery, disc and segmental bowel resection showed a higher risk for major post-operative complications (OR 3.64, p = 0.017 respectively OR 3.50, p < 0.001). Conclusion: Previous therapeutic laparotomic endometriosis surgery shows an association with longer surgical time, the need to perform adhesiolysis, and more intra-operative complications in the subsequent surgery for endometriosis. However, in a centre of expertise with experienced surgeons, no increased risk of major post-operative complications was observed.","Complications; Endometriosis; Patient journey; Recurrence; Repeat surgery","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:96dff9ca-3aa1-4882-a29d-c232616904c4","http://resolver.tudelft.nl/uuid:96dff9ca-3aa1-4882-a29d-c232616904c4","A Provably Stable Iterative Learning Controller for Continuum Soft Robots","Pierallini, M. (University of Pisa); Stella, F. (Swiss Federal Institute of Technology); Angelini, Franco (University of Pisa); Deutschmann, Bastian (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Hughes, Josie (Swiss Federal Institute of Technology); Bicchi, Antonio (University of Pisa; Fondazione Istituto Italiano di Tecnologia); Garabini, Manolo (University of Pisa); Della Santina, C. (TU Delft Learning & Autonomous Control; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR))","","2023","Fully exploiting soft robots' capabilities requires devising strategies that can accurately control their movements with the limited amount of control sources available. This task is challenging for reasons including the hard-to-model dynamics, the system's underactuation, and the need of using a prominent feedforward control action to preserve the soft and safe robot behavior. To tackle this challenge, this letter proposes a purely feedforward iterative learning control algorithm that refines the torque action by leveraging both the knowledge of the model and data obtained from past experience. After presenting a 3D polynomial description of soft robots, we study their intrinsic properties, e.g., input-to-state stability, and we prove the convergence of the controller coping with locally Lipschitz nonlinearities. Finally, we validate the proposed approach through simulations and experiments involving multiple systems, trajectories, and in the case of external disturbances and model mismatches.","Feedforward systems; Kinematics; Modeling, Control, and Learning for Soft Robots; Motion Control; Robots; Soft robotics; Solid modeling; Three-dimensional displays; Trajectory; Underactuated Robots","en","journal article","","","","","","","","","","","Learning & Autonomous Control","","",""
"uuid:3b942384-88fd-4bfa-8bfa-a05e8eb2068c","http://resolver.tudelft.nl/uuid:3b942384-88fd-4bfa-8bfa-a05e8eb2068c","Distinctive sedimentary processes on two contrasting tidal flats of the Yellow River Delta","Xie, Weiming (East China Normal University); Sun, J. (TU Delft Coastal Engineering); Guo, Leicheng (East China Normal University); Xu, Fan (East China Normal University); Wang, Xianye (East China Normal University); Ji, Hongyu (East China Normal University); Fan, Yaoshen (Yellow River Conservancy Commission); Wang, Zhengbing (TU Delft Coastal Engineering; Deltares); He, Qing (East China Normal Univeristy)","","2023","Coastal tidal flats provide valuable ecosystems, but are highly sensitive to tidal dynamics, sea-level rise, and human activities. Tidal inundation depth and frequency are known to affect tidal flat morphodynamics. However, the causes, processes and extent remain uncertain, particularly given the associated changes in sediment availability. In this study, we monitored the hydrodynamics, sediment transport, and morphological changes on two tidal flats in the northern and southern parts of the Yellow River Delta, with contrasting tidal regimes. The data showed that longer inundation periods under diurnal tides gained additional sediment and deposition than under semi-diurnal tides, because of the associated increase in water depth and sediment availability. The wave impact increased at the site with a semi-diurnal tidal regime owing to the lower water depth, where a larger bed shear stress led to tidal flat erosion. These results indicated that the combination of tidal regime and the occurrence of powerful waves played a joint role in controlling bed erosion, sediment availability, and short-term tidal flat evolution. This has implications for coping with delta erosion by enhancing local sediment availability in diurnal tidal regions and restoring vegetation to attenuate waves in semi-diurnal regions of the Yellow River Delta.","tidal flat; hydrodynamics; sediment dynamics; inundation period; Yellow River Delta","en","journal article","","","","","","","","","","","Coastal Engineering","","",""
"uuid:83c13de5-0992-4e3e-b1a6-4b224f77c88e","http://resolver.tudelft.nl/uuid:83c13de5-0992-4e3e-b1a6-4b224f77c88e","Turning waste into value: eco-efficient recovery of by-products from biomass pretreatment in lignocellulosic biorefineries","Jankovic, T.J. (TU Delft BT/Bioprocess Engineering); Straathof, Adrie J.J. (TU Delft BT/Bioprocess Engineering); Kiss, A.A. (TU Delft ChemE/Product and Process Engineering)","","2023","This original research contributes to enhancing the viability of biorefineries through recovering valuable by-products from the liquid remaining after the biomass pretreatment by hot liquid water. A novel downstream processing method is developed for the recovery of acetic acid, formic acid, furfural and 5-hydroxymethylfurfural (HMF) by enhanced distillation. The major challenge in this research is the processing of the highly diluted initial solution (>96 wt% water) and the thermodynamic limitations owing to possible formation of several azeotropes. This new process recovers 78.7% of the acetic acid (99.8 wt%), while the rest of it is recycled back to the biomass pretreatment step together with most of the separated water from the initial solution. Over 99.5% of formic acid, furfural and HMF is also recovered, at purities of 74.7, 98.0 and 100 wt%, respectively. Vapor recompression and heat integration are implemented to decrease the energy use. The results demonstrate a 77.4% decrease in total annual costs (from $3.44 to 0.78/kgproduct), a 75.0% reduction in minimum average selling price (from $3.50 to 0.87/kgproduct), an 81.1% reduction in energy requirements (from 77.41 to 14.66 kWthh/kgproduct) and an up to 99.7% decrease in CO2 emissions (from 11.17 to 0.03 kgCO2/kgproduct).","biomass pretreatment; biorefineries; by-products recovery; downstream processing; lignocellulosic biomass","en","journal article","","","","","","","","","","","BT/Bioprocess Engineering","","",""
"uuid:28603f39-a578-46d7-99b5-024cfefdc59e","http://resolver.tudelft.nl/uuid:28603f39-a578-46d7-99b5-024cfefdc59e","Predictability of Fall Risk Assessments in Community-Dwelling Older Adults: A Scoping Review","Waterval, N.F.J. (VU University Medical Centre; Amsterdam Movement Sciences, Rehabilitation & Development); Claassen, C.M. (Student TU Delft); van der Helm, F.C.T. (TU Delft Biomechatronics & Human-Machine Control); van der Kruk, E. (TU Delft Biomechatronics & Human-Machine Control)","","2023","Fall risk increases with age, and one-third of adults over 65 years old experience a fall annually. Due to the aging population, the number of falls and related medical costs will progressively increase. Correct prediction of who will fall in the future is necessary to timely intervene in order to prevent falls. Therefore, the aim of this scoping review is to determine the predictive value of fall risk assessments in community-dwelling older adults using prospective studies. A total of 37 studies were included that evaluated clinical assessments (questionnaires, physical assessments, or a combination), sensor-based clinical assessments, or sensor- based daily life assessments using prospective study designs. The posttest probability of falling or not falling was calculated. In general, fallers were better classified than non-fallers. Questionnaires had a lower predictive capability compared to the other assessment types. Contrary to conclusions drawn in reviews that include retrospective studies, the predictive value of physical tests evaluated in prospective studies varies largely, with only smaller-sampled studies showing good predictive capabilities. Sensor-based fall risk assessments are promising and improve with task complexity, although they have only been evaluated in relatively small samples. In conclusion, fall risk prediction using sensor data seems to outperform conventional tests, but the method’s validity needs to be confirmed by large prospective studies.","fall risk assessment; aging population; community dwelling older adults; sensor","en","review","","","","","","","","","","","Biomechatronics & Human-Machine Control","","",""
"uuid:1b398fb2-d8b1-423e-bddd-08e50867a59b","http://resolver.tudelft.nl/uuid:1b398fb2-d8b1-423e-bddd-08e50867a59b","Optimal Decision Tree Policies for Markov Decision Processes","Vos, D.A. (TU Delft Cyber Security); Verwer, S.E. (TU Delft Cyber Security)","Elkind, Edith (editor)","2023","Interpretability of reinforcement learning policies is essential for many real-world tasks but learning such interpretable policies is a hard problem. Particularly, rule-based policies such as decision trees and rules lists are difficult to optimize due to their non-differentiability. While existing techniques can learn verifiable decision tree policies, there is no guarantee that the learners generate a policy that performs optimally. In this work, we study the optimization of size-limited decision trees for Markov Decision Processes (MPDs) and propose OMDTs: Optimal MDP Decision Trees. Given a user-defined size limit and MDP formulation, OMDT directly maximizes the expected discounted return for the decision tree using Mixed-Integer Linear Programming. By training optimal tree policies for different MDPs we empirically study the optimality gap for existing imitation learning techniques and find that they perform sub-optimally. We show that this is due to an inherent shortcoming of imitation learning, namely that complex policies cannot be represented using size-limited trees. In such cases, it is better to directly optimize the tree for expected return. While there is generally a trade-off between the performance and interpretability of machine learning models, we find that on small MDPs, depth 3 OMDTs often perform close to optimally.","","en","conference paper","International Joint Conferences on Artificial Intelligence (IJCAI)","","","","","","","","","","Cyber Security","","",""
"uuid:152e0eaf-a3e3-4f4d-b92b-97a0d8c64be8","http://resolver.tudelft.nl/uuid:152e0eaf-a3e3-4f4d-b92b-97a0d8c64be8","Het juiste woningconcept voor ieder project: Versnelling van de bouwproductie en kwaliteit door inzicht in woningconcepten","Koolwijk, J.S.J. (TU Delft Design & Construction Management); Wamelink, J.W.F. (TU Delft Design & Construction Management)","","2023","In Nederland worden te weinig woningen geproduceerd om aan de vraag te voldoen. Conceptuele woningbouw biedt voor een deel van de opgave de kans om de productie te verhogen en te versnellen. In de markt is een grote variëteit van conceptaanbieders aanwezig. Conceptuele woningen worden in veel verschillende verschijningsvormen aangeboden en ook de aanbieders van deze woningen tonen onderling verschillen. Uit dit onderzoek blijkt dat opdrachtgevers het lastig vinden om onderscheid te maken tussen de verschillende aanbieders. Daarnaast geven conceptaanbieders aan dat ze als producent gezien willen worden en niet als aannemer.
Het doel van dit onderzoek was de ontwikkeling van een hulpmiddel waarmee voor afnemers relevante eigenschappen van verschillende woningconcepten in kaart kunnen worden gebracht.
De hoofdvraag van dit onderzoek is:
Hoe onderscheiden de in Nederland beschikbare woningconcepten zich op voor opdrachtgevers relevante eigenschappen?
Met dit onderzoek hebben we eigenschappen geïdentificeerd op basis waarvan opdrachtgevers op een eenvoudige wijze potentiële aanbieders en concepten kunnen selecteren. De eigenschappen zullen worden opgenomen in de Conceptenboulevard.nl van het Netwerk Conceptueel Bouwen (NCB), een online platform, waarop woningconcepten met elkaar kunnen worden vergeleken.
Met dit onderzoek trachten we de woningbouw opgave te versnellen doordat opdrachtgevers makkelijker een preselectie kunnen maken van partijen die geschikt zijn voor een bepaalde opgave.","Construction industry; Industrialized construction; Housing construction; Supplier selection","nl","report","Delft University of Technology","","","","","Research for the ministry of internal affairs and het Netwerk Conceptueel Bouwen (network for industrialized housing construction)","","","","","Design & Construction Management","","",""
"uuid:ced68f69-a0cc-4ec3-a654-ee4947a24331","http://resolver.tudelft.nl/uuid:ced68f69-a0cc-4ec3-a654-ee4947a24331","Validation of different Eulerian and Lagrangian solvers of aerosol dispersion in indoor spaces","Hobeika, N. (TU Delft Urban Data Science); Garcia Sanchez, C. (TU Delft Urban Data Science); Bluyssen, P.M. (TU Delft Environmental & Climate Design)","","2023","The corona pandemic accelerated a lot of studies about aerosol dispersion and different aerosol-generating tasks ranging in intensity from sneezing to breathing. Both measurements and numerical simulations were used to understand the behaviour of aerosols. For numerical simulations, Computational Fluid Dynamic (CFD) simulations were used; however, the set-up of cases varied between studies. Different solvers, methods, turbulence models and steadiness are used depending on the scope and aim of each study. The aim of this study is to compare different set-ups and solvers and validate them against measurements conducted in the Senselab at the Delft University of Technology. The purpose is to find the best approach that balances between accuracy and computational cost to use afterwards in ventilation design decision-making. Consequently, we set up several numerical cases with different levels of complexities (e.g.: eulerian-eulerian to eulerian-lagrangian, including/excluding temperature and relative humidity, steady/unsteady). We then compare those cases to the experiments of a breathing manikin in the Senselab. The performance of each case is determined depending on how well it predicts aerosol dispersion and the run time cost.","CFD; validation; aerosols","en","conference paper","","","","","","","","","","","Urban Data Science","","",""
"uuid:a174322d-07f8-47bd-b534-1e61baa8c4f1","http://resolver.tudelft.nl/uuid:a174322d-07f8-47bd-b534-1e61baa8c4f1","Clustering students based on their acoustical-related preferences of study places","Hamida, A.B. (TU Delft Environmental & Climate Design); Eijkelenboom, A.M. (TU Delft Environmental & Climate Design); Bluyssen, P.M. (TU Delft Environmental & Climate Design)","","2023","Students are exposed to various environmental stimuli at their home study places. However, different students have different preferences in terms of indoor environmental quality (IEQ) aspects and psychosocial aspects of these places. A previous study on students' preferences of their study places resulted in nine profiles based on their IEQ and psychosocial preferences of their study places. It was found that there are profiles that were not highly concerned with sounds at their study places, while other profiles are concerned about sounds. Accordingly, this present study aims at clustering students based on their acoustical-related preferences of their study places. A questionnaire survey was completed by 451 first-year bachelor students at the Faculty of Architecture and the Built Environment at TU Delft. TwoStep cluster analysis was performed, and five unique profiles were identified. These are: 1) sound extremely concerned introvert, 2) sound unconcerned introvert, 3) sound partially concerned introvert, 4) sound concerned extrovert, and 5) sound unconcerned extrovert. The outcomes of this study showed that TwoStep cluster analysis facilitate researchers to better understand the different profiles of students based on their acousticalrelated preferences in study places.","Acoustical-related preferences; psychosocial preferences; TwoStep cluster analysis; study places","en","conference paper","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:ddf91ed3-6a20-4a26-91c9-98f62379c685","http://resolver.tudelft.nl/uuid:ddf91ed3-6a20-4a26-91c9-98f62379c685","Understanding the indoor environment, its occupants, interactions, and effects","Bluyssen, P.M. (TU Delft Environmental & Climate Design)","","2023","Indoor environmental quality is still described with quantitative dose-related indicators, expressed in number and/or ranges of numbers for each of the factors (indoor air, lighting, acoustics, and thermal aspects). Interactions of stressors and effects at and between human and environment level are ignored. Individual differences in needs and preferences of occupants (over time) are not accounted for. We need a more complex research model and other indicators than the dose-related indicators used in our guidelines: building-related and occupant-related indicators.","indoor environmental quality; diseases and disorders; interactions, preferences and needs; stressors","en","conference paper","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:f589d3b0-dcf3-4ebf-9992-7d773d2c710c","http://resolver.tudelft.nl/uuid:f589d3b0-dcf3-4ebf-9992-7d773d2c710c","Evaluating railway track stiffness using axle box accelerations: A digital twin approach","Shen, C. (TU Delft Railway Engineering); Zhang, P. (TU Delft Railway Engineering); Dollevoet, R.P.B.J. (TU Delft Railway Engineering); Zoeteman, A. (ProRail); Li, Z. (TU Delft Railway Engineering)","","2023","While various train-borne techniques have been developed for measuring railway track stiffness, differentiating stiffness at different track layers remains a challenge. This study proposes a digital twin framework for the vehicle–track interaction system, which enables track stiffness evaluations based on axle box accelerations (ABA). The digital twin consists of a physics-based model, a model library and data-driven models. Compared to existing techniques, the proposed method simultaneously evaluates the stiffness of the railpad, sleeper and ballast layers at a sleeper spacing resolution, while being robust to varying track conditions, such as track irregularities and vehicle speeds. This is accomplished by employing a localized frequency-domain ABA feature capable of distinguishing between the characteristics of different track layers. Furthermore, track stiffness is evaluated in near real-time. This is achieved using a model library derived from physics-based simulations of a range of track conditions. Two data-driven models that can quickly select or interpolate model instances contained in the library are developed. During operation, the data-driven models use the measured ABA features as input and then infer the stiffness for the different track layers. The proposed method is applied to evaluate the track stiffness of a downscale test rig in a case study. The track stiffness evaluated by the proposed method is compared with that obtained through hammer tests and with the observations of the track component conditions. These comparisons show that the proposed method can capture the stiffness variations due to periodically fastened clamps and substructure misalignments at different speeds. In addition, the proposed method is demonstrated to be superior to the commonly used hammer test method for evaluating track stiffness under loaded conditions.","Railway track stiffness; Axle box acceleration; Digital twin; Physics-based simulation; Gaussian process regression","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:e5cdf8e2-9b9e-4476-84ef-2f0592035a38","http://resolver.tudelft.nl/uuid:e5cdf8e2-9b9e-4476-84ef-2f0592035a38","Cognitive warfare: an ethical analysis","Miller, S.R.M. (TU Delft Ethics & Philosophy of Technology; Charles Sturt University; University of Oxford)","","2023","This article characterises the nature of cognitive warfare and its use of disinformation and computational propaganda and its political and military purposes in war and in conflict short of war. It discusses both defensive and offensive measures to counter cognitive warfare and, in particular, measures that comply with relevant moral principles.","Cognitive warfare; Computational propaganda; Disinformation; Freedom of communication; Liberal democracy; Necessity; Proportionality","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:69264137-4587-47a9-a557-942dd88effe3","http://resolver.tudelft.nl/uuid:69264137-4587-47a9-a557-942dd88effe3","Macaroni: Crawling and Enriching Metadata from Public Model Zoos","Li, Z. (TU Delft Web Information Systems); Hai, R. (TU Delft Web Information Systems); Katsifodimos, A (TU Delft Web Information Systems); Bozzon, A. (TU Delft Human-Centred Artificial Intelligence)","","2023","Machine learning (ML) researchers and practitioners are building repositories of pre-trained models, called model zoos. These model zoos contain metadata that detail various properties of the ML models and datasets, which are useful for reporting, auditing, reproducibility, and interpretability. Unfortunately, the existing metadata representations come with limited expressivity and lack of standardization. Meanwhile, an interoperable method to store and query model zoo metadata is missing. These two gaps hinder model search, reuse, comparison, and composition. In this demo paper, we advocate for standardized ML model metadata representation, proposing Macaroni, a metadata search engine with toolkits that support practitioners to obtain and enrich that metadata.","","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-25","","","Web Information Systems","","",""
"uuid:cadf981f-1555-4631-a8c2-db373ebee15e","http://resolver.tudelft.nl/uuid:cadf981f-1555-4631-a8c2-db373ebee15e","Public transport crowding valuation in a post-pandemic era","Yap, M.D. (TU Delft Transport and Planning; Transport for London); Wong, Howard (Transport for London; University College London (UCL)); Cats, O. (TU Delft Transport and Planning)","","2023","It is important to understand how public transport passengers value on-board crowding since the outbreak of the COVID-19 pandemic. The main contribution of this study is to derive the crowding valuation of public transport passengers in a post-pandemic era entirely based on observed, actual passenger route choices. We derive passengers’ crowding valuation for the London metro network based on a revealed preference discrete choice model using maximum likelihood estimation. We find that after the passenger load on-board the metro reaches the seat capacity, the in-vehicle time valuation increases by 0.42 for each increase in the average number of standing passengers per square metre upon boarding. When comparing this result to a variety of crowding valuation studies conducted before the pandemic in London and elsewhere, we can conclude that public transport passengers value crowding more negatively since the pandemic. Furthermore, we found a ratio between out-of-vehicle time and in-vehicle time of 1.94 pre-pandemic and of 1.92 post-pandemic, based on which we conclude that the relative waiting/walking time valuation did not significantly change since the COVID-19 pandemic. Our study results contribute to a better understanding on how on-board crowding in urban public transport is perceived in a European context since the outbreak of the COVID-19 pandemic.","COVID-19; Crowding; Public transport; Revealed preference; Smart card data","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:72e7a4ef-184a-4fbb-8ed7-c672284b3a01","http://resolver.tudelft.nl/uuid:72e7a4ef-184a-4fbb-8ed7-c672284b3a01","Landschap als basis voor natuurinclusief bouwen","Nijhuis, S. (TU Delft Landscape Architecture)","","2023","Natuurinclusief bouwen is een actueel en belangrijk thema. Maar hoe doe je dat, natuurinclusief bouwen? We zien steeds meer goede toepassingen op het niveau van gebouwen met groene daken en wanden, nestgelegenheden voor vogels etc. en op het niveau van de openbare ruimte met vaste planten grassen, regentuinen, etc. Maar in hoeverre speelt de landschappelijke context daarbij een rol en wat is de potentie daarvan? Deze bijdrage betoogt dat duurzame verstedelijking, klimaatadaptatie en biodiversiteit vragen om een ontwerpaanpak die het landschap als uitgangspunt nemen. De bestaande landschappelijke logica biedt aanknopingspunten voor planning en ontwerp van een sociaal en ecologisch inclusieve ruimtelijke omgeving door de schalen heen; van stad tot straatprofiel. Het landschap biedt structuur, ecologische samenhang en variatie, maar is ook flexibel en multifunctioneel. Landschap vertegenwoordigd ook ruimtelijk-esthetische waarden als schoonheid en oriëntatie in ruimte en tijd. Het landschap is immers het resultaat van de jarenlange interactie van de mens met zijn natuurlijke omgeving. Maar hoe kunnen we landschaps-gebaseerd ontwerpen aan ruimtelijke opgaven? Deze bijdrage bespreekt een aantal manieren waarop het landschap als basis kan dienen voor natuurinclusief bouwen door de schalen heen.","natuurinclusief bouwen; landschapsarchitectuur; landscape-based urbanism; landschapsbenadering","nl","journal article","","","","","","","","","","","Landscape Architecture","","",""
"uuid:4186ffbd-3151-4cd9-8ff7-6f05728a0eee","http://resolver.tudelft.nl/uuid:4186ffbd-3151-4cd9-8ff7-6f05728a0eee","Supporting Children’s Metacognition with a Facial Emotion Recognition based Intelligent Tutor System","Ruan, Xingran (University of Edinburgh); Palansuriya, Charaka (University of Edinburgh); Constantin, Aurora (University of Edinburgh); Tsiakas, K. (TU Delft Human Information Communication Design)","","2023","The present study aims to investigate the relationship between emotions experienced during learning and metacognition in typically developing (TD) children and those with autism spectrum disorder (ASD). This will assist us in using machine learning (ML) to develop a facial emotion recognition (FER) based intelligent tutor system (ITS) to support children’s metacognitive monitoring process in order to enhance their learning outcomes. In this paper, we first report the results of our preliminary research, which utilized an ML-based FER algorithm to detect four spontaneous epistemic emotions (i.e., neutral, confused, frustrated, and boredom) and six spontaneous basic emotions (i.e., anger, disgust, fear, happiness, sadness, and surprise). Subsequently, we adapted an application (‘BrainHood’) to create the ‘Meta-BrainHood’, that embedded our proposed ML-based FER algorithm to examine the relationship between facial emotion expressions and metacognitive monitoring performance in TD children and those with ASD. Finally, we outline the future steps in our research, which adopts the outcomes of the first two steps to construct an ITS to improve children’s metacognitive monitoring performance and learning outcomes.","facial emotion recognition; Intelligent tutor system; learning outcomes; metacognitive monitoring process","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-19","","","Human Information Communication Design","","",""
"uuid:f36211a3-58e0-48ac-8e00-6d65b54a583e","http://resolver.tudelft.nl/uuid:f36211a3-58e0-48ac-8e00-6d65b54a583e","The DIA-Method for Navigational Integrity","Teunissen, P.J.G. (TU Delft Mathematical Geodesy and Positioning; Curtin University)","","2023","In this contribution we present a review of the DIA-method to ensure navigational integrity. The DIA-method rigorously combines parameter estimation and statistical testing for the Detection, Identification and Adaptation of multivariate and multiple model misspecifications. We describe the statistical properties of the so-obtained DIA-estimator together with its probability density function. Numerical examples are given to highlight various aspects of the navigational DIA-estimator.","Adaptation; Detection; DIA-estimator; Estimation; Identification; Integrity risk; Probability distribution; Statistical testing","en","journal article","","","","","","","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:3868193f-a25d-4e3a-8012-6e287fedbd4f","http://resolver.tudelft.nl/uuid:3868193f-a25d-4e3a-8012-6e287fedbd4f","Variance–covariance analysis of two high-resolution regional least-squares quasi-geoid models","Klees, R. (TU Delft Physical and Space Geodesy); Slobbe, D.C. (TU Delft Physical and Space Geodesy)","","2023","This paper investigates the full variance–covariance (VC) matrix of two high-resolution regional quasi-geoid models, utilizing a spherical radial basis function parameterization. Model parameters were estimated using weighted least-squares techniques and variance component estimation (VCE) for data weighting. The first model, known as the “RCR model,” is computed through the remove–compute–restore method, incorporating various local gravity and radar altimeter datasets. The second model, the “combined model,” includes the GOCO05s satellite-only global geopotential model as an additional dataset with a full-noise VC matrix. Validation of the noise VC matrix scaling for each quasi-geoid model is achieved by comparing observed and formal noise standard deviations of differences between geometric and gravimetric height anomalies at GPS height markers in the Netherlands. Analysis of the noise VC matrix of height anomalies at grid nodes reveals significantly smaller formal noise standard deviations for the RCR model compared to the combined model. This difference is attributed to VCE assigning larger weights to the GOCO05s dataset, which exhibits greater noise standard deviations for the specific spatial scales used. Additionally, the formal noise standard deviations of height anomaly differences, relevant for GNSS-heighting, favor the RCR model. However, the disparity between the two models is smaller than implied by the height anomaly noise standard deviations. This is due to the combined model’s noise autocorrelation function displaying a longer correlation length (67 km) in contrast to the RCR model’s (17 km). Consequently, the combined model exhibits a greater reduction in noise variance for height anomaly differences relative to white noise compared to the RCR model.","Covariance propagation; GNSS-levelling; Least-squares techniques; Local quasi-geoid modelling; Multiscale analysis; Noise variance–covariance matrix; Spherical radial basis functions","en","journal article","","","","","","","","","","","Physical and Space Geodesy","","",""
"uuid:28c4999b-0a55-4951-a7e9-6153e288720d","http://resolver.tudelft.nl/uuid:28c4999b-0a55-4951-a7e9-6153e288720d","Captain and conscript or companions in operational reconfiguration? The case of an infrastructure owner with projects and asset management units","Krystallis, Ilias (University College London (UCL)); Locatelli, Giorgio (Politecnico di Milano); Papadonikolaki, E. (TU Delft Integral Design & Management)","","2023","Infrastructure owners with projects and asset management units reconfigure their operational capabilities to deal with external stressors. We distinguish between two reconfiguration approaches, the discrete and the continuous approach. The discrete approach is broadly adopted in the infrastructure sector and draws from the project capabilities literature, whereas the continuous approach draws from the general management literature and views reconfiguration as a best practice dynamic capability. This article compares and contrasts the two approaches by leveraging an ethnographic study of an infrastructure owner. We explain why the discrete approach was initially adopted but ultimately failed. Later, by adopting the continuous approach, the organisation succeeded by enabling the two units to work collaboratively by developing two dynamic capabilities: negotiating and disseminating for reconfiguring their operational capabilities. Our research contributes to the theoretical elaboration of why and how change management processes succeed or fail. We discuss the implications of our study to the capabilities literature and project organising research and the managerial implications of developing dynamic capabilities for operational reconfiguration in organisations with projects and asset management units.","Capability development; ethnography; organizational change; project capabilities; project-operations transition; reconfiguration","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:71867b36-e1e3-43ef-bb7b-41e351e1f0bb","http://resolver.tudelft.nl/uuid:71867b36-e1e3-43ef-bb7b-41e351e1f0bb","Beyond data transactions: a framework for meaningfully informed data donation","Gomez Ortega, A. (TU Delft Internet of Things); Bourgeois, J. (TU Delft Internet of Things); Hutiri, Wiebke (TU Delft Information and Communication Technology); Kortuem, G.W. (TU Delft Internet of Things)","","2023","As we navigate physical (e.g., supermarket) and digital (e.g., social media) systems, we generate personal data about our behavior. Researchers and designers increasingly rely on this data and appeal to several approaches to collect it. One of these is data donation, which encourages people to voluntarily transfer their (personal) data collected by external parties to a specific cause. One of the central pillars of data donation is informed consent, meaning people should be adequately informed about what and how their data will be used. However, can we be adequately informed when it comes to donating our data when many times we don’t even know it is being collected and, even more so, what exactly is being collected? In this paper, we investigate how to foster (personal) data literacy and increase donors’ understanding of their data. We introduce a Research through Design approach where we define a data donation journey in the context of speech records, data collected by Google Assistant. Based on the data donation experiences of 22 donors, we propose a data donation framework that understands and approaches data donation as an encompassing process with mutual benefit for donors and researchers. Our framework supports a donation process that dynamically and iteratively engages donors in exploring and understanding their data and invites them to (re)evaluate and (re)assess their participation. Through this process, donors increase their data literacy and are empowered to give meaningfully informed consent.","Data donation; Data literacy; Personal data; Voice assistants","en","journal article","","","","","","","","","","","Internet of Things","","",""
"uuid:7110874a-a227-4407-a35e-7f78e4b2d8b8","http://resolver.tudelft.nl/uuid:7110874a-a227-4407-a35e-7f78e4b2d8b8","Adaptive Distributed Streaming Similarity Joins","Siachamis, G. (TU Delft Web Information Systems); Psarakis, K. (TU Delft Web Information Systems); Fragkoulis, M. (Delivery Hero SE); Papapetrou, Odysseas (Eindhoven University of Technology); van Deursen, A. (TU Delft Software Technology); Katsifodimos, A (TU Delft Web Information Systems)","Pasin, Marcelo (editor)","2023","How can we perform similarity joins of multi-dimensional streams in a distributed fashion, achieving low latency? Can we adaptively repartition those streams in order to retain high performance under concept drifts? Current approaches to similarity joins are either restricted to single-node deployments or focus on set-similarity joins, failing to cover the ubiquitous case of metric-space similarity joins. In this paper, we propose the first adaptive distributed streaming similarity join approach that gracefully scales with variable velocity and distribution of multi-dimensional data streams. Our approach can adaptively rebalance the load of nodes in the case of concept drifts, allowing for similarity computations in the general metric space. We implement our approach on top of Apache Flink and evaluate its data partitioning and load balancing schemes on a set of synthetic datasets in terms of latency, comparisons ratio, and data duplication ratio","","en","conference paper","","","","","","","","","","Software Technology","Web Information Systems","","",""
"uuid:d3912853-0178-40ba-9735-d3699107c9cf","http://resolver.tudelft.nl/uuid:d3912853-0178-40ba-9735-d3699107c9cf","Developing health indicators for composite structures based on a two-stage semi-supervised machine learning model using acoustic emission data","Moradi, M. (TU Delft Structural Integrity & Composites); Chiachío, Juan (Universidad de Granada; University of Granada); Zarouchas, D. (TU Delft Structural Integrity & Composites)","","2023","Composite structures are highly valued for their strength-to-weight ratio, durability, and versatility, making them ideal for a variety of applications, including aerospace, automotive, and infrastructure. However, potential damage scenarios like impact, fatigue, and corrosion can lead to premature failure and pose a threat to safety. This highlights the importance of monitoring composite structures through structural health monitoring (SHM) and prognostics and health management (PHM) to ensure their safe and reliable operation. SHM provides information on the current state of the structure, while PHM predicts its future behavior and determines necessary maintenance. Health indicators (HIs) play a crucial role in both SHM and PHM, providing information on structural health and behavior, but accurate determination of these indicators can be challenging due to the complexity of material behavior and multiple sources of damage in composite structures. In the present work, a model containing a developed adaptive standardization, a dimension reduction sub-model, a time-independent sub-model, and a time-dependent sub-model is introduced to address this challenge. First, the raw data collected by the acoustic emission technique monitoring composite structures under fatigue loading is processed to provide plenty of statistical features. The extracted features are adaptively standardized according to the available data until the current time. Then, the principal component analysis algorithm is employed to reconstruct a few yet highly informative features out of those statistical features. An artificial neural network is used to regress the principal components to the HI that meets the prognostic criteria. Finally, the last sub-model takes into account the time dependency of HI values during fatigue loading. In comparison to other models, the results show superior performance.","Prognostic and Health Management (PHM); Structural Health Monitoring (SHM); Intelligent health indicator; Artificial Intelligence (AI); Composite structures; Acoustic Emission; Semi-supervised Learning; Adaptive standardization; Dimension Reduction; Bayesian Optimization; Deep learning (DL); Fatigue behavior evaluation; Fatigue assessment; Impact damage","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-08","","","Structural Integrity & Composites","","",""
"uuid:2a0fab4e-4fbd-4ed6-8bb7-935512f0abae","http://resolver.tudelft.nl/uuid:2a0fab4e-4fbd-4ed6-8bb7-935512f0abae","Bone biopsy devices - a patent review","de Kater, E.P. (TU Delft Medical Instruments & Bio-Inspired Technology; TU Delft Sports & Games); Boetzkes, Jos A. (Student TU Delft); Sakes, A. (TU Delft Medical Instruments & Bio-Inspired Technology); Breedveld, P. (TU Delft Medical Instruments & Bio-Inspired Technology)","","2023","Introduction: Bone biopsies have great value for the diagnosis of, amongst others, hematologic diseases. Although the bone biopsy procedure is mostly performed minimally invasive with the use of a slender cannula, the patient may still experience discomfort, especially when the procedure has to be repeated due to an unsuccessful biopsy. Areas covered: This review presents a comprehensive overview of bone biopsy devices presented in the patent literature. The patents were obtained using a classification search combined with keywords in the Espacenet patent database and were subsequently verified using pre-set eligibility criteria. This resulted in 62 unique patents included in this review. Expert opinion: The included patents were categorized based on the used strategies for the three steps that can be identified during a bone biopsy (1) biopsy sampling, (2) biopsy severing and (3) biopsy harvesting. Most patents described strategies for multiple steps. Insight into the used strategies and the comprehensive overview may serve as a source of inspiration for the design of novel bone biopsy devices.","Biopsy; bone; cannula; mechanical design; medical devices; patent review","en","review","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:0eee41db-eee0-4a49-b9aa-ab96ee09a937","http://resolver.tudelft.nl/uuid:0eee41db-eee0-4a49-b9aa-ab96ee09a937","Introducing CNN-LSTM network adaptations to improve remaining useful life prediction of complex systems","Borst, N.G. (TU Delft Air Transport & Operations); Verhagen, W.J.C. (TU Delft Air Transport & Operations; Royal Melbourne Institute of Technology University)","","2023","Prognostics and Health Management (PHM) models aim to estimate remaining useful life (RUL) of complex systems, enabling lower maintenance costs and increased availability. A substantial body of work considers the development and testing of new models using the NASA C-MAPSS dataset as a benchmark. In recent work, the use of ensemble methods has been prevalent. This paper proposes two adaptations to one of the best-performing ensemble methods, namely the Convolutional Neural Network - Long Short-Term Memory (CNN-LSTM) network developed by Li et al. (IEEE Access, 2019, 7, pp 75464-75475)). The first adaptation (adaptable time window, or ATW) increases accuracy of RUL estimates, with performance surpassing that of the state of the art, whereas the second (sub-network learning) does not improve performance. The results give greater insight into further development of innovative methods for prognostics, with future work focusing on translating the ATW approach to real-life industrial datasets and leveraging findings towards practical uptake for industrial applications.","Neural networks; Predictive maintenance; Prognostics; Remaining useful life","en","journal article","","","","","","","","","","","Air Transport & Operations","","",""
"uuid:79127ecc-da43-48ad-b25e-3f5f7c4ded60","http://resolver.tudelft.nl/uuid:79127ecc-da43-48ad-b25e-3f5f7c4ded60","Advancing Design Approaches through Data-Driven Techniques: Patient Community Journey Mapping Using Online Stories and Machine Learning","Jung, Jiwon (TU Delft Methodologie en Organisatie van Design; Erasmus MC); Kim, K. (TU Delft Methodologie en Organisatie van Design; Pusan National University); Peters, T. (TU Delft Methodologie en Organisatie van Design); Snelders, H.M.J.J. (TU Delft Methodologie en Organisatie van Design); Kleinsmann, M.S. (TU Delft Design, Organisation and Strategy; Leiden University Medical Center)","","2023","Designers are increasingly collaborating with data scientists to apply smart data technologies to understand large-scale user behavior during their design research. This is useful in specific impact domains with vulnerable users and unfamiliar contexts, such as healthcare design. Patient journey mapping is the most common design tool for developing and communicating patient-centred perspectives in healthcare design. However, creating a traditional patient journey map is labor intensive. Consequently, they often represent the experiences of a limited number of patients and, therefore, have limitations in including an extensive group patient experience. To overcome these challenges, we present a new data-driven and hybrid intelligent design approach that utilizes tens of thousands of online patient stories and machine-learning techniques through collaboration with data scientists. We set up two studies in the field of oncology and demonstrate that combining the two machine-learning techniques allows for quantifying the experiences of a wide range of patients, detecting relationships between co-occurring experiences within the journey, and detecting new design opportunities/directions. In these studies, designers gained a large-scale, yet qualitative and inspiring, understanding of a complex context in healthcare with reduced time and cost investments","Patient Journey Mapping; Machine Learning; Hybrid Intelligence; Patient Stories; Healthcare Design","en","journal article","","","","","","","","","","Design, Organisation and Strategy","Methodologie en Organisatie van Design","","",""
"uuid:83897cba-5d4d-45e2-9432-4373c7660140","http://resolver.tudelft.nl/uuid:83897cba-5d4d-45e2-9432-4373c7660140","Application of GIS-based machine learning algorithms for prediction of irrigational groundwater quality indices","Mohammed, Musaab A. A. (University of Miskolc; University of Bahri); Kaya, Fuat (Isparta University of Applied Sciences); Mohamed, Ahmed (Assiut University); Alarifi, Saad S. (King Saud University); Abdelrady, Ahmed (TU Delft Water Resources); Keshavarzi, Ali (University of Tehran); Szabó, Norbert P. (University of Miskolc); Szűcs, Péter (University of Miskolc)","","2023","Agriculture is considered one of the primary elements for socioeconomic stability in most parts of Sudan. Consequently, the irrigation water should be properly managed to achieve sustainable crop yield and soil fertility. This research aims to predict the irrigation indices of sodium adsorption ratio (SAR), sodium percentage (Na%), permeability index (PI), and potential salinity (PS) using innovative machine learning (ML) techniques, including K-nearest neighbor (KNN), random forest (RF), support vector regression (SVR), and Gaussian process regression (GPR). Thirty-seven groundwater samples are collected and analyzed for twelve physiochemical parameters (TDS, pH, EC, TH, Ca+2, Mg+2, Na+, HCO3−, Cl, SO4−2, and NO3−) to assess the hydrochemical characteristics of groundwater and its suitability for irrigation purposes. The primary investigation indicated that the samples are dominated by Ca-Mg-HCO3 and Na-HCO3 water types resulted from groundwater recharge and ion exchange reactions. The observed irrigation indices of SAR, Na%, PI, and PS showed average values of 7, 42.5%, 64.7%, and 0.5, respectively. The ML modeling is based on the ion’s concentration as input and the observed values of the indices as output. The data is divided into two sets for training (70%) and validation (30%), and the models are validated using a 10-fold cross-validation technique. The models are tested with three statistical criteria, including mean square error (MSE), root means square error (RMSE), and correlation coefficient (R2). The SVR algorithm showed the best performance in predicting the irrigation indices, with the lowest RMSE value of 1.45 for SAR. The RMSE values for the other indices, Na%, PI, and PS, were 6.70, 7.10, and 0.55, respectively. The models were applied to digital predictive data in the Nile River area of Khartoum state, and the uncertainty of the maps was estimated by running the models 10 times iteratively. The standard deviation maps were generated to assess the model’s sensitivity to the data, and the uncertainty of the model can be used to identify areas where a denser sampling is needed to improve the accuracy of the irrigation indices estimates.","Nubian aquifer system; artificial intelligence; spatial uncertainty; irrigation; groundwater quality; Khartoum; Sudan","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:afac5bed-ef2d-4438-87a7-320d88973a1d","http://resolver.tudelft.nl/uuid:afac5bed-ef2d-4438-87a7-320d88973a1d","Exploring the effect of automation failure on the human’s trustworthiness in human-agent teamwork","Centeio Jorge, C. (TU Delft Interactive Intelligence); Bouman, Nikki H. (Student TU Delft); Jonker, C.M. (TU Delft Interactive Intelligence; Universiteit Leiden); Tielman, M.L. (TU Delft Interactive Intelligence)","","2023","Introduction: Collaboration in teams composed of both humans and automation has an interdependent nature, which demands calibrated trust among all the team members. For building suitable autonomous teammates, we need to study how trust and trustworthiness function in such teams. In particular, automation occasionally fails to do its job, which leads to a decrease in a human’s trust. Research has found interesting effects of such a reduction of trust on the human’s trustworthiness, i.e., human characteristics that make them more or less reliable. This paper investigates how automation failure in a human-automation collaborative scenario affects the human’s trust in the automation, as well as a human’s trustworthiness towards the automation.Methods: We present a 2 × 2 mixed design experiment in which the participants perform a simulated task in a 2D grid-world, collaborating with an automation in a “moving-out” scenario. During the experiment, we measure the participants’ trustworthiness, trust, and liking regarding the automation, both subjectively and objectively.Results: Our results show that automation failure negatively affects the human’s trustworthiness, as well as their trust in and liking of the automation.Discussion: Learning the effects of automation failure in trust and trustworthiness can contribute to a better understanding of the nature and dynamics of trust in these teams and improving human-automation teamwork.","human-automation teamwork; automation failure; mental model; trust; trustworthiness; human-agent collaboration","en","journal article","","","","","","","","","","","Interactive Intelligence","","",""
"uuid:e67b52d7-89d1-45f4-998f-e8c53fd25871","http://resolver.tudelft.nl/uuid:e67b52d7-89d1-45f4-998f-e8c53fd25871","Exploring the Use of Granger Causality for the Identification of Chemical Exposure Based on Physiological Data","Difrancesco, S. (TNO); van Baardewijk, J.U. (TNO); Cornelissen, A.S. (TNO); Varon, Carolina (TU Delft Signal Processing Systems; Universite' Libre de Bruxelles (ULB)); Hendriks, R.C. (TU Delft Signal Processing Systems); Bouwer, A.M. (TNO)","","2023","Wearable sensors offer new opportunities for the early detection and identification of toxic chemicals in situations where medical evaluation is not immediately possible. We previously found that continuously recorded physiology in guinea pigs can be used for early detection of exposure to an opioid (fentanyl) or a nerve agent (VX), as well as for differentiating between the two. Here, we investigated how exposure to these different chemicals affects the interactions between ECG and respiration parameters as determined by Granger causality (GC). Features reflecting such interactions may provide additional information and improve models differentiating between chemical agents. Traditional respiration and ECG features, as well as GC features, were extracted from data of 120 guinea pigs exposed to VX (n = 61) or fentanyl (n = 59). Data were divided in a training set (n = 99) and a test set (n = 21). Minimum Redundancy Maximum Relevance (mRMR) and Support Vector Machine (SVM) algorithms were used to, respectively, perform feature selection and train a model to discriminate between the two chemicals. We found that ECG and respiration parameters are Granger-related under healthy conditions, and that exposure to fentanyl and VX affected these relationships in different ways. SVM models discriminated between chemicals with accuracy of 95% or higher on the test set. GC features did not improve the classification compared to traditional features. Respiration features (i.e., peak inspiratory and expiratory flow) were the most important to discriminate between different chemical’s exposure. Our results indicate that it may be feasible to discriminate between chemical exposure when using traditional physiological respiration features from wearable sensors. Future research will examine whether GC features can contribute to robust detection and differentiation between chemicals when considering other factors, such as generalizing results across species","Granger causality; chemical exposure; toxidrome detection; physiological data; support vector machine; machine learning","en","journal article","","","","","","","","","","","Signal Processing Systems","","",""
"uuid:1d138b19-127c-46a0-9000-a14bdc9000a5","http://resolver.tudelft.nl/uuid:1d138b19-127c-46a0-9000-a14bdc9000a5","Critical cartographies for assessing and designing with planning legacies: The case of Jaap Bakema’s Open Society in ‘t Hool, the Netherlands","Sanz Oliver, Juan (Student TU Delft); Bracken, G. (TU Delft Spatial Planning and Strategy); Muñoz Sanz, V. (TU Delft Urban Design)","","2023","The Open Society appeared as a concept in planning discourse at the Congrès
International d’Architecture Moderne (CIAM XI). It attempted to create urban
conditions which would allow society to prosper. Despite its good theoretical
intentions, the project did not always translate well into practice. We observe that
historic approaches and tools have tended to be neglected in urban regeneration
projects and discussions, yet we think that they can bring valuable urban
transformations. This paper therefore considers the extent to which historic
planning tools and theories can be useful for assessing built projects to provide
fresh approaches for urban renovation. This paper will reappraise the concept of
the Open Society empirically by analysing, critiquing, and imagining its relevance
in twenty-first-century planning projects and discourse. This research uses a
mostly qualitative approach through critical cartographies as a main medium and
to draw conclusions that highlight the power relations in the Dutch neighbourhood
of ‘t Hool (Eindhoven) as well as the local conditions and materials that can enable
them to plan for a more resilient future. We aim to bridge the gap between theory
and practice through a methodology that allows for a broader and deeper
understanding of place, history, potentials, and urgencies.","Jaap Bakema; Open Society; T Hool (the Netherlands); critical cartographies; modernist planning legacy","en","journal article","","","","","","","","","","","Spatial Planning and Strategy","","",""
"uuid:f830b9cd-40ac-4a77-86c4-3caeee4b8f85","http://resolver.tudelft.nl/uuid:f830b9cd-40ac-4a77-86c4-3caeee4b8f85","Machine learning for the prediction of the local skin friction factors and Nusselt numbers in turbulent flows past rough surfaces","Diez Sanhueza, R.G. (TU Delft Energy Technology); Akkerman, I. (TU Delft Ship Hydromechanics and Structures); Peeters, J.W.R. (TU Delft Energy Technology)","","2023","Turbulent flows past rough surfaces can create substantial energy losses in engineering equipment. During the last decades, developing accurate correlations to predict the thermal and hydrodynamic behavior of rough surfaces has proven to be a difficult challenge. In this work, we investigate the applicability of convolutional neural networks to perform a direct image-to-image translation between the height map of a rough surface and its detailed local skin friction factors and Nusselt numbers. Additionally, we propose the usage of separable convolutional modules to reduce the total number of trainable parameters, and PReLU activation functions to increase the expressivity of the neural networks created. Our final predictions are improved by a new filtering methodology, which is able to combine the results of multiple neural networks while discarding non-physical oscillations likely caused by over-fitting. The main study is based on a new DNS database formed by 80 flow cases at a friction Reynolds number of Reτ=180 obtained by applying random shifts to the Fourier spectrum of the grit-blasted surface originally scanned by Busse et al. (2015). The results show that machine learning can accurately predict the skin friction values and Nusselt numbers for a rough surface. A detailed comparison with existing correlations in the literature revealed that the maximum errors generated by deep learning were only 8.1% for the global skin friction factors Cf¯ and 2.9% for the Nusselt numbers Nu¯, whereas the best classical correlations identified reached errors of 24.9% and 13.5% for Cf¯ and Nu¯ respectively. The deep learning results also proved stable with respect to rough surfaces with abrupt changes in their roughness elements, and only presented a minor sensitivity with respect to variations in the dataset size.","Machine learning; Rough surfaces; Turbulence","en","journal article","","","","","","","","","","","Energy Technology","","",""
"uuid:4fe6aa9f-e1d8-4dd7-9049-4a32c3ab749e","http://resolver.tudelft.nl/uuid:4fe6aa9f-e1d8-4dd7-9049-4a32c3ab749e","Assessing the evolution of educational accessibility with self-avoiding random walk: insights from Helsinki","Aydin, N.Y. (TU Delft System Engineering); Yigitbasi, Emre (Student TU Delft); Casali, Y. (TU Delft Transport and Logistics); van Wee, G.P. (TU Delft Transport and Logistics)","","2023","Rapid urbanization has posed challenges to accessibility to critical services that require in-depth analysis. Complex networks theory has been used to evaluate the evolution of network topologies or the overall accessibility of transportation systems. However, topological metrics to explain the temporal changes in accessibility levels do not fully capture the dynamics and implications of accessibility to specific critical services. In this study, we address this gap and investigate the opportunities of using a self-avoiding random walk (SARW) algorithm to evaluate and explain the evolution of spatial accessibility to education facilities. We used hotspot analysis to understand the temporal changes and investigated changes in hot and cold spots over time. Furthermore, we explored the relationship between the network indicators and the SARW-based accessibility metric. We illustrated this method in a case study from Helsinki, where large-scale open data spanning from 1991 to 2016 is available. Our findings indicate that the SARW-based metric delivers more detailed node-level results than the traditional isochrone-based metric. The latter generates accessibility zones where accessibility is assumed to be uniform, while the SARW metric captures the dynamic nature of educational facility accessibility more accurately. The developed methodology helps to identify the impacts on the historical development of accessibility and can be applied to investigate accessibility to other critical services.","Accessibility; Complex networks; Geographic information systems; Temporal analysis; Transportation; OA-Fund TU Delft","en","journal article","","","","","","","","","","","System Engineering","","",""
"uuid:99c53934-02f1-41ce-8a2c-69208893cccc","http://resolver.tudelft.nl/uuid:99c53934-02f1-41ce-8a2c-69208893cccc","Feasibility of generating structured motivational messages for tailored physical activity coaching","Ghantasala, Ramya (Student TU Delft); Albers, N. (TU Delft Interactive Intelligence); Penfornis, Kristell M. (Universiteit Leiden); van Vliet, Milon (Leiden University Medical Center); Brinkman, W.P. (TU Delft Interactive Intelligence)","","2023","Tailored motivational messages are helpful to motivate people in eHealth applications for increasing physical activity, but it is not sufficiently clear how such messages can be effectively generated in advance. We, therefore, put forward a theory-driven approach to generating tailored motivational messages for eHealth applications for behavior change, and we examine its feasibility by assessing how motivating the resulting messages are perceived. For this, we designed motivational messages with a specific structure that was based on an adaptation of an existing ontology for tailoring motivational messages in the context of physical activity. To obtain tailored messages, experts in health psychology and coaching successfully wrote messages with this structure for personas in scenarios that differed with regard to the persona’s mood, self-efficacy, and progress. Based on an experiment in which 60 participants each rated the perceived motivational impact of six generic and six tailored messages based on scenarios, we found credible support for our hypothesis that messages tailored to mood, self-efficacy, and progress are perceived as more motivating. A thematic analysis of people’s free-text responses about what they found motivating and demotivating about motivational messages further supports the use of tailored messages, as well as messages that are encouraging and empathetic, give feedback about people’s progress, and mention the benefits of physical activity. To aid future work on motivational messages, we make our motivational messages and corresponding scenarios publicly available.","","en","journal article","","","","","","","","","","","Interactive Intelligence","","",""
"uuid:d096c509-b7ed-4d26-8221-e84967184359","http://resolver.tudelft.nl/uuid:d096c509-b7ed-4d26-8221-e84967184359","Cities of the Future: Societal by Nature","Harteveld, Maurice (TU Delft Urban Design)","Cavallo, Roberto (editor); Kuijper, Joran (editor); Harteveld, Maurice (editor); Carreiro Matias, Marcelo (editor); Ulkü, Mesut (editor); Drašković, Sonja (editor)","2023","","city of the future; urban design; Urban Design; multidisciplinary; interdisciplinary; public space; architecture; mobility; infrstructure; transport planning; social science; Humanism; Modernism; transitions; Inclusive city","en","book chapter","TU Delft OPEN Publishing","","","","","","","","","","Urban Design","","",""
"uuid:a4f9463f-6623-4f07-a554-919e062df182","http://resolver.tudelft.nl/uuid:a4f9463f-6623-4f07-a554-919e062df182","Hybrid neuroelectronics: towards a solution-centric way of thinking about complex problems in neurostimulation tools","Drakopoulou, Sofia (Universiteit Gent); Varkevisser, F. (TU Delft Bio-Electronics); Sohail, Linta (Universiteit Gent); Aqamolaei, M. (TU Delft Bio-Electronics); Lopes Marta da Costa, T.M. (TU Delft Bio-Electronics); Spyropoulos, George D. (Universiteit Gent)","","2023","Responsive neuromodulation is increasingly being used to treat patients with neuropsychiatric diseases. Yet, inefficient bridges between traditional and new materials and technological innovations impede advancements in neurostimulation tools. Signaling in the brain is accomplished predominantly by ion flux rather than the movement of electrons. However, the status quo for the acquisition of neural signals is using materials, such as noble metals, that can only interact with electrons. As a result, ions accumulate at the biotic/abiotic interface, creating a double-layer capacitance that increases impedance and negatively impacts the efficiency of neural interrogation. Alternative materials, such as conducting polymers, allow ion penetration in the matrix, creating a volumetric capacitor (two orders of magnitude larger than an area-dependent capacitor) that lowers the impedance and increases the spatiotemporal resolution of the recording/stimulation. On the other hand, the increased development and integration capabilities of CMOS-based back-end electronics have enabled the creation of increasingly powerful and energy-efficient microchips. These include stimulation and recording systems-on-a-chip (SoCs) with up to tens of thousands of channels, fully integrated circuitry for stimulation, signal conditioning, digitation, wireless power and data telemetry, and on-chip signal processing. Here, we aim to compile information on the best component for each building block and try to strengthen the vision that bridges the gap among various materials and technologies in an effort to advance neurostimulation tools and promote a solution-centric way of considering their complex problems.","","en","journal article","","","","","","","","","","","Bio-Electronics","","",""
"uuid:b3fe5fa2-e2e7-42c1-8aa7-9ccbae355e3e","http://resolver.tudelft.nl/uuid:b3fe5fa2-e2e7-42c1-8aa7-9ccbae355e3e","A method for early-stage design current loads determination on drill-ships","Mauro, F. (TU Delft Ship Design, Production and Operations; Sharjah Maritime Academy); Valentina, Enrico Della (Maritime Research Institute Netherlands (MARIN)); Ferrari, Victor (Maritime Research Institute Netherlands (MARIN)); Begovic, Ermina (Università degli Studi di Napoli Federico II)","","2023","The increasing demand for offshore operations in deep water implies the necessity to predict station-keeping ability of offshore vessels since the early stages of design. To this end, besides developing sufficiently fast and accurate methodologies for the equilibrium resolution of the forces acting on the ship, it is of utmost importance to estimate, in a reliable way, the external forces acting on the vessel. This work focuses on the current loads, aiming at developing a model for fast current load prediction based on high-fidelity Computational Fluid Dynamics (CFD) computations. Selecting the drill-ships as reference vessel-type for the study, starting from the actual fleet operating worldwide, a systematic series of hulls has been generated varying the main hull-form parameters inside the database, according to a Box-Behnken scheme. CFD calculations based on RANS equations have been performed on the whole ship set, for a set of incidence angle varying from 0 to 180 degrees considering the hull symmetric. As numerical analyses are not suitable for fast calculations the results on the systematic series have been used as input for developing a surrogate model based on Multiple Linear Regressions (MLR). The method allows for scaling the results as a function of the Reynolds number, allowing for general and flexible applicability among different vessel dimensions. The results obtained with the developed model are compared with the conventional current loads estimation methods, and the obtained results are compared on the capability plot, highlighting the higher reliability of the proposed model for early-stage predictions.","CFD; Current loads; Drill-ships; Dynamic positioning; Hull forms","en","journal article","","","","","","","","","","","Ship Design, Production and Operations","","",""
"uuid:5d1cd47d-20a8-4d85-8a92-c1a1f6b3e32e","http://resolver.tudelft.nl/uuid:5d1cd47d-20a8-4d85-8a92-c1a1f6b3e32e","Is There Value in Show and Tell? Creating a Salient General Deterrent Effect Through Overt & Covert Enforcement Technology","Truelove, Verity (Queensland University of Technology; USC – University of the Sunshine Coast); Stefanidis, Kayla (USC – University of the Sunshine Coast); Oviedo-Trespalacios, O. (TU Delft Safety and Security Science)","","2023","This report addresses two prominent risky driving behaviors, namely mobile phone use while driving and speeding, within the context of road safety research. Using a handheld phone while driving significantly heightens crash risk and impairs driver performance, manifesting in increased braking response time, augmented steering wheel corrections (Collet et al., 2010), elevated reaction time, and lane departure (Lipovac et al., 2017; Oviedo-Trespalacios et al., 2016). Speeding similarly escalates crash risk and severity (Kloeden et al., 1997; Kloeden et al., 2001). Due to the severe consequences of these behaviors in terms of fatalities, injuries, and societal costs, governments worldwide have implemented policies and enforcement initiatives to dissuade drivers from engaging in such actions. Automated cameras have emerged as a primary method for curbing speeding behavior, and several Australian jurisdictions, including New South Wales, Queensland, and Victoria, have also introduced mobile phone detection cameras to combat the increasing prevalence of mobile phone use while driving. The Australian Capital Territory (ACT) is scheduled to fully implement these cameras in October 2023.
However, the implementation of these cameras can take diverse forms. Some enforcement cameras adopt an overt approach, making them highly visible to drivers through the use of bright paint and/or signage. In contrast, a covert approach has been employed for some enforcement cameras, rendering them inconspicuous without bright paint or overt signage. Despite these different approaches, a definitive standard for achieving lasting deterrent effects remains elusive. This paper presents findings from a project funded by the ACT Road Safety Fund, aiming to identify the most salient road rule camera enforcement approach (overt, covert, or a combination of both) for creating a strong deterrent effect against mobile phone use while driving and speeding violations.
Two studies were conducted to address this objective. The first study involved focus groups to gain in-depth qualitative insights into the perceived advantages and disadvantages of various camera enforcement methods, as well as their potential impact on attitudes toward road safety, high-risk behaviors, and road rule compliance. These focus groups, consisting of 58 participants across Australia, generated four enforcement-type scenarios for the subsequent quantitative study. The second study, a cross-sectional survey of 1168 participants across Australia, aimed to examine how different types of exposure to road rule enforcement influence perceptual deterrence, offending behaviors, and awareness of the broader road safety issue. Results from both studies indicate that a combination of overt and covert enforcement cameras is the most effective strategy for reducing speeding and mobile phone use while driving. Furthermore, personal factors, such as age and gender, influence the impact of different camera signage types.
The implications of these findings suggest that a comprehensive approach involving both overt and covert cameras is essential to maximize deterrence, technology acceptance, and the reduction of risky driving behaviors. Recommendations include deploying overt cameras on high-risk roads to enhance rule compliance and using warning signs in conjunction with covert cameras to increase drivers' perceptions of being monitored. Additionally, advertising campaigns should complement enforcement cameras to raise awareness of the risks associated with these behaviors. Continued evaluation and vigilance regarding the effectiveness of these cameras should consider driver adaptation and avoidance strategies.","","en","report","University of the Sunshine Coast","","","","","","","","","","Safety and Security Science","","",""
"uuid:0f696bce-7542-47e5-ad81-394ec84e3638","http://resolver.tudelft.nl/uuid:0f696bce-7542-47e5-ad81-394ec84e3638","A model predictive control approach towards the energy efficiency of submerged dredging","Bakker, Mathijs (C-Job Naval Architects); Coraddu, A. (TU Delft Ship Design, Production and Operations); Hijdra, Rolph (C-Job Naval Architects)","","2023","Autonomous submerged dredging offers numerous benefits, such as reduced ship resistance and lower vacuum requirements for the dredge pumps. However, this method also presents new challenges, such as stability and buoyancy control, which must be addressed to minimize the energy requirements and ensure cost-effectiveness and sustainability. To achieve these goals, this paper proposes a Model Predictive Control (MPC) strategy to minimize control effort and energy requirements. Compared to traditional motion control methods such as proportional–integral–derivative (PID) control, MPC shows great promise in terms of energy efficiency and trajectory-tracking. The Autonomous Low Energy Replenishment Dredger (ALERD) is used as a case study to showcase the potential of the proposed control strategy. A time-domain simulation model is developed, and the ALERD is modeled as an underwater vehicle using a state-space representation. The classic PID control and the proposed MPC framework are compared in terms of trajectory-tracking, energy requirements, and robustness to modeling uncertainties, using sensitivity analysis. The results show that the proposed MPC control framework outperforms PID control in all aspects considered. Furthermore, a comparison between the energy requirements of the ALERD and a conventional dredger, for the same operational profile and hopper volume, indicates that autonomous submerged dredging can potentially decrease total energy requirements by 66%.","Autonomous shipping; Dredging; Model predictive control; Monte Carlo simulation; Underwater vehicles","en","journal article","","","","","","","","","","","Ship Design, Production and Operations","","",""
"uuid:09ee05b9-4e42-4d8f-8d44-c4b3d925ef09","http://resolver.tudelft.nl/uuid:09ee05b9-4e42-4d8f-8d44-c4b3d925ef09","Spider dynamics under vertical vibration and its implications for biological vibration sensing","Wu, Jun (University of Oxford); Miller, Thomas E. (University of Oxford); Cicirello, A. (TU Delft Mechanics and Physics of Structures; University of Oxford); Mortimer, Beth (University of Oxford)","","2023","Often overlooked, vibration transmission through the entire body of an animal is an important factor in understanding vibration sensing in animals. To investigate the role of dynamic properties and vibration transmission through the body, we used a modal test and lumped parameter modelling for a spider. The modal test used laser vibrometry data on a tarantula, and revealed five modes of the spider in the frequency range of 20-200 Hz. Our developed and calibrated model took into account the bounce, pitch and roll of the spider body and bounce of all the eight legs. We then performed a parametric study using this calibrated model, varying factors such as mass, inertia, leg stiffness, damping, angle and span to study what effect they had on vibration transmission. The results support that some biomechanical parameters can act as physical constraints on vibration sensing. But also, that the spider may actively control some biomechanical parameters to change the signal intensity it can sense. Furthermore, our analysis shows that the parameter changes in front and back legs have a greater influence on whole system dynamics, so may be of particular importance for active control mechanisms to facilitate biological sensing functions.","dynamics; modal test; modelling; spiders; vibration sensing","en","journal article","","","","","","","","","","","Mechanics and Physics of Structures","","",""
"uuid:68f121ca-216d-4f48-86c9-4f769b7d8f76","http://resolver.tudelft.nl/uuid:68f121ca-216d-4f48-86c9-4f769b7d8f76","Occupational Health and Safety in China: A Systematic Analysis of Research Trends and Future Perspectives","Chen, Xinglin (Fuzhou University); Yang, Fuqiang (Fuzhou University); Cheng, Shuo (Fuzhou University); Yuan, S. (TU Delft Safety and Security Science)","","2023","The frequent occurrence of various occupational accidents has resulted in significant casualties and occupational disease issues, which hinder economic and social development seriously. The promotion and enhancement of occupational health and safety (OHS) require greater efforts to be made to achieve sustainable economic development, particularly in developing countries. With remarkable progress and achievements that have been made in terms of OHS in China, a systematic and thorough review is needed to gain insight into the development process, current status, and research trends regarding OHS in China. Additionally, pathways for future work need to be discussed to boost the OHS development in China in the new era. Therefore, a systematic literature review is performed in this study to investigate the development of OHS in China with the help of a bibliometric analysis. Firstly, a total of 5675 publications related to OHS in China between 1979 and 2022 were collected from the Web of Science Core Collection (WoSCC) and the Chinese Science Citation Database (CSCD) before being refined manually. Then, the temporal distribution and journal sources of the collected publications were analyzed before the collaboration networks of the “productive institutions” and “productive authors” were discussed. Furthermore, the key research topics (e.g., disease prevention, psychological safety, occupational exposure) and dominant research methods (e.g., epidemiological methods, risk modeling) associated with OHS during different periods were identified and discussed based on the keywords and bibliographic analysis. Finally, the current needs and promising pathways for future work were discussed. It is suggested that the prevention and control of conventional and new occupational diseases, the protection of workers’ occupational health rights and interests, the development and implementation of advanced technologies for OHS, and the development of more sophisticated and efficient health and safety risk assessment models may be focused on to accelerate the development of OHS in China. This study systematically reviews the development processes, current status, and future prospects regarding OHS in China. The results of this study provide valuable insights for researchers and practitioners who are involved in the Chinese OHS development, and the promising pathways for future works are suggested to boost the OHS development in China.","occupational health and safety; China; bibliometric analysis; literature review; research trends","en","review","","","","","","","","","","","Safety and Security Science","","",""
"uuid:973e77eb-89b4-4568-bcb2-645b38503258","http://resolver.tudelft.nl/uuid:973e77eb-89b4-4568-bcb2-645b38503258","Learning state machines from data streams: A generic strategy and an improved heuristic","Baumgartner, R. (TU Delft Cyber Security); Verwer, S.E. (TU Delft Cyber Security)","Coste, Francois Coste (editor); Ouardi, Faissal (editor); Rabusseau, Guillaume (editor)","2023","State machines models are models that simulate the behavior of discrete event systems, capable of representing systems such as software systems, network interactions, and control systems, and have been researched extensively. The nature of most learning algorithms however is the assumption that all data be available at the begining of the algorithm, and little research has been done in learning state machines from streaming data. In this paper, we want to close this gap further by presenting a generic method for learning state machines from data streams, as well as a merge heuristic that uses sketches to account for incomplete prefix trees. We implement our approach in an open-source state merging library and compare it with existing methods. We show the effectiveness of our approach with respect to run-time, memory consumption, and quality of results on a well known open dataset.State machines models are models that simulate the behavior of discrete event systems, capable of representing systems such as software systems, network interactions, and control systems, and have been researched extensively. The nature of most learning algorithms however is the assumption that all data be available at the begining of the algorithm, and little research has been done in learning state machines from streaming data. In this paper, we want to close this gap further by presenting a generic method for learning state machines from data streams, as well as a merge heuristic that uses sketches to account for incomplete prefix trees. We implement our approach in an open-source state merging library and compare it with existing methods. We show the effectiveness of our approach with respect to run-time, memory consumption, and quality of results on a well known open dataset.